KR20070004009A - 비정질 탄소 막의 cvd 증착용 액체 전구체 - Google Patents

비정질 탄소 막의 cvd 증착용 액체 전구체 Download PDF

Info

Publication number
KR20070004009A
KR20070004009A KR1020067020494A KR20067020494A KR20070004009A KR 20070004009 A KR20070004009 A KR 20070004009A KR 1020067020494 A KR1020067020494 A KR 1020067020494A KR 20067020494 A KR20067020494 A KR 20067020494A KR 20070004009 A KR20070004009 A KR 20070004009A
Authority
KR
South Korea
Prior art keywords
processing
substrate
amorphous carbon
processing chamber
carbon layer
Prior art date
Application number
KR1020067020494A
Other languages
English (en)
Other versions
KR101098632B1 (ko
Inventor
마틴 제이 씨몬스
웬디 에이치. 예
서드하 에스.알. 라띠
디니쉬 파디히
앤디 루안 (신 치아오)
썸-이 베티 탕
프리야 쿨카르니
비스웨스워렌 시바라마크리쉬난
복헌 김
히쳄 엠'사드
유시앙 메이 왕
마이클 치우 관
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/799,146 external-priority patent/US7638440B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070004009A publication Critical patent/KR20070004009A/ko
Application granted granted Critical
Publication of KR101098632B1 publication Critical patent/KR101098632B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

비정질 탄소 재료를 증착하는 방법이 제공된다. 일 양상에서, 본 발명은 처리 챔버 내에 상기 기판을 위치시키는 단계, 상기 처리 챔버 내측으로 처리 가스를 도입시키는 단계, 이중 주파수 RF 소오스로부터 전력을 인가함으로써 상기 처리 가스의 플라즈마를 발생시키는 단계, 및 상기 기판 상에 비정질 탄소 층을 증착시키는 단계를 포함하며, 상기 처리 가스는 캐리어 가스, 수소 및 하나 이상의 전구체 화합물을 포함한다.

Description

비정질 탄소 막의 CVD 증착용 액체 전구체 {LIQUID PRECURSORS FOR THE CVD DEPOSITION OF AMORPHOUS CARBON FILMS}
본 발명은 집적 회로의 제조 및 기판 상에 재료를 증착하는 방법, 그리고 상기 재료에 의해 형성되는 구조물에 관한 것이다.
근대 반도체 장치의 제조는 화학 기상 증착(CVD)으로서 언급되는 화학 반응 가스에 의해 기판 상에 유전체 층 및 금속의 형성을 요구한다. 에너지-유도 화학 반응이 바람직한 층을 생성시키도록 발생하는 열 CVD 공정은 반응 가스를 기판 표면에 제공한다.
CVD 공정은 다마신 방법 또는 하나 이상의 재료 층의 패터닝 및 증착을 수반하는 이중 다마신 방법에 의해 수직 인터커넥트 및 수평 인터커넥트를 형성하는데 유용할 수 있다.
다마신 방법에서, 저유전체 상수 k 재료(즉 4.0 미만의 유전체 상수(k)를 갖음)는 비아(vias)로서 공지된 수직 인터커넥트, 및 라인으로서도 공지된 수평 인터커넥트를 형성하도록 증착되어 패턴화 식각된다. 구리를 포함하는 재료와 같은 전도성 재료, 및 구리 함유 재료의 주변 저 유전체 상수 k 재료로의 확산을 방지하는데 이용되는 배리어 층 재료를 포함하는 다른 재료는 식각된 패턴으로 삽입 (inlaid)된다. 기판의 필드 상에서와 같이, 식각된 패턴과 무관한 어떠한 과 구리 함유 재료 및 과잉 배리어 층 재료도 제거된다.
그러나, 저유전체 상수 k 재료가 다마신 형성에서 이용되는 경우에 표면 결점 또는 피쳐(feature) 변형이 거의 없거나 없는 피쳐를 생성하는 것이 어렵다. 저유전체 상수 k 재료는 종종 천공이 있으며 전도성 재료의 제거 중에 스크래치 및 손상을 입기 쉬워서, 기판 표면 상에 형성되는 결점의 가능성을 증가시킨다. 게다가, 저유전체 상수 k 재료는 종래의 연마 공정 하에서 종종 부서지기 쉬우며, 변형될 수 있다. 표면 결점 및 변형을 제한하거나 감소시키는 한 해결법은 저유전체 상수 k 재료의 패턴화 및 식각 피쳐 데피니션(etching feature definitions)이전에 노출된 저유전체 상수 k 재료 위에 하드마스크를 증착하는 것이다. 하드마스크는 손상 및 변형에 내성이 있다. 하드마스크는 화학 기계식 연마 기술 또는 식각 기술과 같은 연속적인 재료 증착 및 평탄화 또는 재료 제거 공정 중에 하부 저유전체 상수 k 재료를 보호할 수도 있어서 결함 형성 및 피쳐 변형을 감소시킨다. 하드마스크는 기판의 연속적인 처리 이전에 다음의 평탄화 처리로 이동될 수 있다.
이와 달리, 전술된 다마신 공정에서, 에너지 감광 레지스트 층이 기판 상에 재료 층 적층 위에 형성되는 종래의 리소그래피 기술을 이용하여 형성되는 패턴은 에너지 감광 레지스트 재료로 도입되며, 에너지 감광 레지스트 재료로 도입되는 패턴은 마스크로서 에너지 감광 레지스트 층을 이용하여 기판 상에 형성되는 하나 이상의 층의 재료 적층으로 전달된다.
에너지 감광 레지스트로 도입되는 패턴은 화학 식각액(etchant)을 이용하여 하나 이상 층의 재료 적층으로 전달될 수 있다. 화학 식각액은 에너지 감광 레지스트용 보다는 재료 층의 적층용으로 보다 더 식각 선택도를 갖도록 디자인된다. 즉, 화학 식각액은 에너지 감광 레지스트를 식각하는 것보다는 보다 빠른 비율로 하나 이상 층의 재료 적층을 식각한다. 하나 이상의 층의 적층에 있어서 보다 빠른 식각율은 에너지 감광 레지스트 재료가 패턴 전달의 완성 이전에 소비되는 것을 통상적으로 방지한다.
패턴 면적이 감소되기 때문에, 에너지 감광 레지스트의 두께는 패턴 레졸루션을 제어하기 위해서 이에 상응하여 감소되어야만 한다. 이러한 보다 얇은 레지스트 재료(약 6000 Å)는 화학적 식각액을 이용하여 패턴 전달 단계 중에, 하부 재료 층으로의 패턴 전달을 용이하게 하기 위해서 하부 재료 층과 에너지 감광 레지스트 재료 사이에서 이용될 수도 있다. 그러나, 반도체 구조물을 형성하기 위한 몇몇의 분야에서, 기판 표면으로부터 하드마스크 재료를 제거하는 것은 어려우며, 잔여 하드마스크 재료는 반도체 처리에 악영향을 미칠 수 있다. 게다가, 종래의 하드마스크 재료는 형성되는 피쳐의 바람직한 면적을 유지하기 위해서 하드마스크와 식각되는 재료 사이에 충분한 식각 선택도를 제공하지 않을 수 있다.
레지스트 패턴화 문제는 극도의 자외선(DUV) 영상 파장(즉, 약 250 나노미터(nm) 미만)을 구비하여 리소그래피 영상 툴이 레지스트 패턴을 발생시키는데 이용되는 경우에 더 복잡해진다. DUV 영상 파장은 회절이 이러한 보다 짧은 파장으로 감소되기 때문에 레지스트 패턴 레졸루션을 개선시킨다. 그러나, 이러한 DUV 파장에서 폴리실리콘, 금속, 및 금속 실리사이드와 같은 여러 하부 재료의 증가된 반사 성질이 최종 레지스트 패턴의 질을 떨어뜨릴 수 있다.
하부 재료 층으로부터의 반사를 최소화하기 위해서 제안되는 하나의 기술은 반-반사적 코팅(ARC)을 이용한다. ARC는 패턴화에 저항하기 이전에 반사적 재료 층 위에 형성된다. ARC는 레지스트 영상화 중에 하부 재료 층으로부터의 반사를 억제하여 에너지 감광 레지스트의 층 내에 정확한 패턴 반복을 제공한다. ARC 재료의 수는 에너지 감광 레지스트와 조합하여 이용하기 위해서 제안되지만 만족스러운 결과를 갖지는 않는다. 이와 달리, 하드 마스크 및 반-반사적 코팅을 위한 몇몇의 전류 증착 공정은 바람직할 수 있는 스텝-커버리지보다 적은 전구체 및 공정을 이용한다. 게다가, 하드마스크 재료와 같은, ARC 재료는 제거하기에 어려우며, 위에 잔여물을 남길 수 있으며, 연속적인 집적 회로 제조 단계와 잠재적으로 간섭한다.
따라서, 양호한 식각 선택도 및/또는 반-반사적 특성을 가지며, 게다가 거의 없거나 최소의 잔여물을 제거할 수 있는, 집적 회로 제조를 위해 유용할 수 있는 층을 위한 기술 분야에 필요하다.
본 발명의 양상은 일반적으로, 비정질 탄소 재료를 증착하는 방법을 제공한다. 일 양상에서, 본 발명은 처리 챔버 내에 기판을 위치시키는 단계, 처리 챔버 내로 처리 가스를 도입시키는 단계를 포함하며, 이중-주파수 RF 소오스로부터 전력을 인가함으로써 처리 가스의 플라즈마를 발생시키는 단계, 기판 상에 비정질 탄소 층을 증착시키는 단계를 포함하여 기판을 처리하는 방법을 제공하며, 상기 처리 가스는 한다.
본 발명의 다른 양상에서, 방법은 기판의 표면 상에 유전체 재료를 형성하는 단계, 하나 이상의 탄화수소 화합물 및 수소를 포함하는 처리 가스를 도입시키는 단계를 포함하는 공정에 의해 유전체 재료 층 상에 하나 이상의 비정질 탄소 층을 증착시키는 단계, 이중 주파수 RF 소오스로부터 전력을 인가함으로써 처리 가스의 플라즈마를 발생키는 단계, 패턴화된 비정질 탄소 층을 형성하도록 하나 이상의 비정질 탄소 층을 식각하는 단계, 및 패턴화된 하나 이상의 비정질 탄소 층에 사응하는 유전체 재료 내의 피쳐 데피니션을 식각하는 단계를 포함하여 기판을 처리하기 위해 제공된다.
본 발명의 다른 양상에서, 방법은 기판 표면 상에 하나 이상의 유전체 층을 증착하는 단계, 하나 이상의 탄화수소 화합물 또는 이들의 유도체, 및 수소를 포함하는 처리 가스를 도입시키는 단계를 포함하는 공정에 의해 하나 이상의 유전체 층 상에 하나 이상의 비정질 탄소 층을 형성하는 단계, 이중 주파수 RF 소오스로부터 전력을 인가함으로써 처리 가스의 플라즈마를 발생시키는 단계, 하나 이상의 비정질 탄소 층의 하나 이상의 영역 내에 패턴을 정의하는 단계, 하나 이상의 비정질 탄소 층의 하나 이상의 영역 내에 형성되는 패턴에 의해 하나 이상의 유전체 층 내에 피쳐 데피니션을 형성하는 단계 및 피쳐 데피니션 내에 하나 이상의 전도성 재료를 증착시키는 단계를 포함하여 기판을 처리하기 위해 제공되며, 상기 하나 이상의 유전체 층들 중 하나 이상은 약 3 이하의 유전체 상수를 가지며 실리콘, 산소, 및 탄소를 포함한다.
본 발명의 전술된 특징들을 더 잘 이해하기 위해서, 간단하게 전술한 본 발명을 몇몇의 예가 첨부 도면에 도시되어 있는 실시예를 참조하여 보다 구체적으로 설명한다. 그러나, 첨부 도면은 본 발명의 전형적인 실시예만을 설명하며 따라서 본 발명의 범위를 제한하는 것은 아니며, 본 발명이 다른 동일한 효과의 실시예를 허용할 수 있다는 것을 주목해야 한다.
도 1a 내지 도 1e는 비정질 탄소 증착 공정의 일 실시예를 도시하는 단면도이며,
도 2a 내지 도 2e는 본 발명의 증착 순서의 일 실시예를 도시하는 단면도이며,
도 3a 내지 도 3g는 이중 다마신 증착 순서의 일 실시예를 도시하는 단면도이며,
도 4는 비정질 탄소 증착 공정 일 실시예의 단면도이며,
도 5는 비정질 탄소 증착 공정의 대안적인 실시예의 단면도이며,
도 6은 본 발명의 실행을 위해서 이용될 수 있는 장치의 개략적 도면이다.
본 발명의 양상을 더 잘 이해하기 위해서, 다음의 상세한 설명을 참조할 수 있다.
본 발명에 이용된 단어 및 구는 별도로 더 정의되지 않는 한, 당업자들에 의해 기술 분야에서 이들의 보통 통상적인 의미로 주어질 수 있다. 본 발명의 양상 은 비정질 탄소 재료를 증착, 처리 및 제거하기 위한 방법을 제공한다. 산화물과 같은 유전체 재료에 대한 비정질 탄소 증착률 및 식각 선택도는 비정질 탄소 처리 가스 내의 캐리어 가스로서 아르곤 및 희석 가스로서 수소의 포함과 이중 주파수 RF 전력 소오스의 이용에 의해 통상적인 비정질 탄소 증착 공정에 의해 증착되는 비정질 탄소에 비해 증가될 수 있다. 비정질 탄소 증착은 본 발명의 청구범위 및 설명이 일치하지 않는 범위로 참조되며, "비정질 탄소 층을 증착하는 방법(Method for Depositing an Amorphous Carbon Layer)"의 명칭으로 2003년 6월 3일 허여된 미국 특허 제 6,573,030 호에 더 기재된다.
캘리포니아, 산타 클라라(Santa Clara, California)에 소재하는 어플라이드 머티어리얼즈사(Applied Materials, Inc.)로부터 상용화된 DxZ™ 처리 챔버, 등록상표 프리시젼(PRECISION) 5000 시스템, 등록상표 프로듀서(PRODUCER) 시스템, 및 등록상표 프로듀서 에스이(PRODUCER SE) 처리 챔버를 이용할 수 있는 등록상표 센츄라(CENTURA) 시스템을 포함한다. 공정은 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼즈사로부터 사용화된 등록상표 프로듀서 처리 챔버와 같은 증착 챔버 내의 200 mm 기판 상에서 실행될 수 있다. 다음의 증착 공정은 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼즈사로부터 상용화된 등록상표 300 mm 프로듀서 이중 증착 스테이션 처리 챔버의 이용이 기재되어 있으며, 그에 따라서 해석될 수 있으며, 예를 들어, 유동률은 전체 유동률이며 챔버 내의 각각의 증착 스테이션에서 공정 유동률을 기재하도록 두 개로 분류될 수 있다. 부가적으로, 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼즈사로부터 상용화 된 DxZ 처리 챔버와 같은 단일 증착 챔버는 적합한 공정 전환과 함께 다음의 공정 즉, 전체 이중 증착 스테이션 등록상표 프로듀서 처리 챔버 유동률에서 단일 증착 스테이션 유동률로 평가되는 유동을 조절하는 단계를 수행하는데 이용될 수 있다.
예 장치
도 6은 비정질 탄소 층 증착을 수행하는데 이용될 수 있는 기판 처리 시스템의 일 실시예의 개략적 도면이다. 이 장치는 공정 챔버(625), 가스 패널(630), 제어 유닛(610), 및 전력 공급원 및 진공 펌프와 같은 다른 하드웨어 부품을 포함한다. 본 발명에 이용되는 시스템의 일 실시예의 상세한 설명이 본원에 참조되며 2002년 4월 2일 허여된 일련 번호 제 6,364,954 호에 공동 양도된 미국 특허, "고온 화학 기상 증착 챔버(High Temperature Chemical Vapor Deposition Chamber)"의 명칭으로 기재되어 있다.
공정 챔버(625)는 일반적으로, 반도체 기판(690)과 같은 기판을 지지하는데 이용되는 지지 받침대(650)를 포함한다. 이러한 받침대(650)는 이동 메커니즘(도시되지 않음)을 이용하여 챔버(625) 내측으로 수직 방향으로 이동한다. 공정에 따라서, 기판(690)은 처리 이전에 바람직한 온도로 가열될 수 있다. 기판 지지 받침대(650)는 삽입형 가열 부재(670)에 의해 가열된다. 예를 들어, 받침대(650)는 AC 공급원(606)으로부터 가열 부재(670)로 전기 전류를 가함으로써 내성 있게 가열될 수 있다. 차례로, 기판(690)은 받침대(650)에 의해 가열된다. 열전대와 같은 온도 센서(672)는 받침대(650)의 온도를 측정하기 위해서 기판 지지 받침대(650) 내에 삽입되기도 한다. 측정된 온도는 가열 부재(670)를 위해 전력 공급원(606)을 제어하도록 피드백 루프 내에서 이용된다. 기판 온도는 특정 공정 분야를 위해 선택되는 온도에서 유지되거나 제어될 수 있다. 받침대(650)는 플라즈마를 이용하거나 복사열(radiant heat)에 의해 선택적으로 가열된다.
진공 펌프(602)는 챔버(625) 내에 적합한 가스 유동 및 압력을 유지하며 공정 챔버(625)를 진공화시키는데 이용된다. 샤워 헤드(620)를 통해 공정 가스가 챔버(625) 내측으로 도입되며, 상기 샤워 헤드는 기판 지지 받침대(650) 위에 위치된다. 샤워 헤드(620)는 공정 순서의 여러 단계에서 이용되는 다양한 가스를 제어하고 공급하는 가스 패널(630)에 연결된다.
가스 패널(630)은 다양한 기상 액체 전구체를 제어하고 공급하는데 이용될 수도 있다. 도시되지 않았지만, 액체 전구체 공급원으로부터 액체 전구체는 예를 들어, 액체 주입 기화기에 의해 증발될 수 있으며, 캐리어 가스가 존재하는 공정 챔저로 전달된다. 캐리어 가스는 통상적으로, 질소와 같은 불활성 가스, 또는 아르곤 또는 헬륨과 같은 0족 가스이다. 이와 달리, 액체 전구체는 열 및/또는 진공 강화 기상 공정에 의해 앰풀로부터 증발될 수 있다.
샤워 헤드(620) 및 기판 지지 받침대(650)는 한 쌍의 이격된 전극을 형성할 수도 있다. 전기장이 이러한 전극들 사이에서 발생하는 경우에, 챔버(625) 내측으로 도입되는 공정 가스는 플라즈마로 고도로 가열된다. 통상적으로, 전기장은 매칭 네트워크(matching network)(도시되지 않음)를 통해 단일 주파수 또는 이중 주파수 라디오 주파수(RF) 전력(도시되지 않음)의 소오스에 기판 지지 받침대(650)를 연결함으로써 발생한다. 이와 달리, RF 전력 소오스 및 매칭 네트워크는 샤워헤드 (620)에 연결될 수 있거나, 샤워헤드(620) 및 기판 지지 받침대(650) 모두에 연결될 수 있다.
플라즈마 강화 화학 기상 증착(PECVD) 기술은 기판 표면 근처의 반응 영역에 전기 장의 적용에 의해 반응 가스의 여기 및/또는 해리를 촉진시켜, 반은 종의 플라즈마를 생성시킨다. 플라즈마 내의 종의 반응성은 이러한 PECVD 공정을 위해 사실상 요구되는 온도를 내리도록 화학 반응을 위해 요구되는 에너지를 감소시킨다.
본 발명의 실시예에서, 비정질 탄소 층 증착은 탄화수소 화합물의 플라즈마 강화 열 분해에 의해 달성된다. 탄화수소 화합물은 가스 패널(630)의 제어하에 공정 챔버(625) 내측으로 도입된다. 탄화수소 화합물은 조절되는 유동과 함께 가스로서 공정 챔버 내측으로 도입된다.
가스 패널(630)을 통한 가스 및 액체 유동의 적합한 제어 및 조절은 질량 유동 제어기(도시되지 않음) 및 컴퓨터와 같은 제어기 유닛(610)에 의해 수행된다. 샤워헤드(620)는 가스 패널(630)로부터 공정 가스가 공정 챔버(625) 내측으로 균일하게 분배하여 도입되게 한다. 실례로서, 제어 유닛(610)은 중앙 처리 유닛(CPU)(612), 지지 회로(614), 및 메모리 포함 합동 제어 소프트웨어(616)를 포함한다. 제어 유닛(610)은 기판 전달, 가스 유동 제어, 액체 유동 제어, 온도 제어, 챔버 진공 등과 같은 기판 처리를 위해 요구되는 다수의 단계의 자동화 제어에 책임이 있다. 장치의 다양한 부품과 제어 유닛(610) 사이의 양방향 통신은 도 6에 몇몇 도시된, 단일 버스(618)로서 집합적으로 언급되는 다수의 단일 케이블을 통해 취급된다.
본 발명에 이용되는 가열된 받침대(650)는 받침대(650)의 기판 지지 표면 아래에 거리를 두고 삽입되는 가열 부재(670)를 포함하며 알루미늄으로 형성된다. 가열 부재(670)는 등록상표 인콜리(Incoly™)외장 튜브 내에 캡슐화된 니켈-크롬 전선으로 형성될 수 있다. 가열 부재(670)에 공급되는 전류를 적합하게 조절함으로써, 기판(690) 및 받침대(650)는 막 증착 중에 상대적으로 일정한 온도로 유지될 수 있다. 이는 받침대(650)의 온도가 받침대(650) 내에 삽입되는 열전대(672)에 의해 연속적으로 측정되는, 피드백 제어 루프에 의해 달성된다. 이러한 정보는 가열 전력 공급원에 필요한 신호를 보냄으로써 응답하는 신호 버스(618)를 통해 제어 유닛(610)에 전달된다. 조정은 바람직한 온도 예를 들어 특정 공정 분야에 적합한 온도로 받침대(650)를 유지하고 제어하기 위해서 전류 공급원(606) 내에서 후속적으로 이루어진다. 공정 가스 혼합물이 샤워헤드(620)에서 빠져나오는 경우에, 탄화수소 화합물의 플라즈마 강화 열 분해는 가열된 기판(690)의 표면(691)에서 발생하여 기판 상에 비정질 탄소 층의 증착을 야기한다.
증착 공정
비정질 탄소 층은 하나 이상의 탄화수소 화합물, 또는 이들의 유도체의 가스 혼합물을 처리 챔버 내측으로 도입시키는 단계를 포함하는 공정에 의해 증착될 수 있다. 부가적으로, 산소 함유 화합물이 이용된다. 탄화수소 화합물은 선택적으로 질소를 포함할 수 있거나, 암모니아와 같은 질소 함유 가스로 증착될 수 있다. 또한, 탄화수소 화합물은 플루오르 및 산소와 같은 치환기를 가질 수 있다. 탄화수 소 화합물 및 이들의 유도체는 식 CAHBOCFD를 가지며, 여기서 A는 1 내지 24의 범위이며, B는 0 내지 50의 범위이며, C는 0 내지 10의 범위이며, D는 0 내지 50의 범위이며, B와 D의 합은 2 이상이다.
적합한 탄화수소 화합물의 예는 포화되거나 불포화된 지방족 또는 지환식 탄화수소 및 방향족 탄화수소이다. 보다 구체적으로, 지환식 탄화수소는 예를 들어, 메탄, 프로판, 부탄, 펜탄, 헥산, 헵탄, 옥탄, 노난, 데칸, 등과 같은 알칸; 에틸렌, 프로필렌, 부틸렌, 펜틴, 등과 같은 알켄; 부타디엔, 이소프렌, 펜타디엔, 헥사디엔, 등과 같은 디엔; 아세틸렌, 비닐아세틸렌, 등과 같은 알킨을 포함한다. 지환식 탄화수소는 예를 들어, 시클로프로판, 시클로부탄, 시클로펜탄, 시클로펜타디엔, 톨루엔, 등을 포함한다. 방향족 탄화수소는 예를 들어, 벤젠, 스티렌, 톨루엔, 크실렌, 피리딘, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세ㅌ테이트, 페놀, 크레졸, 퓨란, 등을 포함한다. 부가적으로, 알파-테르피넨, 시멘, 1,1,3,3,-테트라메틸부틸벤젠, 티-부틸에테르, 티-부틸에틸렌, 메틸-메타크릴레이트, 및 티-부틸푸르푸릴에테르가 선택될 수 있다.
탄화수소 화합물의 적합한 유도체의 예는 플루오르화 알칸, 할로겐화 알칸, 및 할로겐화 방향족 화합물이다. 플루오르화 알칸은 예를 들어, 모노플루오르메탄, 디플루오르에탄, 트리플루오르메탄, 테트라플루오르메탄, 모노플루오르에탄, 테트라플루오르에탄, 펜타플루오르에탄, 헥사플루오르에탄, 모노플루오르프로판, 트리플루오르프로판, 펜타플루오르프로판, 퍼플루오르프로판, 모노플루오르부탄, 트리플루오르부탄, 테트라플루오르부탄, 옥타플루오르부탄, 디플루오르부탄, 모노플루오르펜탄, 펜타플루오르펜탄, 테트라플루오르헥산, 테트라플루오르헵탄, 헥사플루오르헵탄, 디플루오르옥탄, 펜타플루오르옥탄, 디플루오르테트라플르오르옥탄, 모노플르오르노난, 헥사플루오르노난, 디플루오르데칸, 펜타플루오르데칸, 등이다. 할로겐화 알켄은 모노플루오르에틸렌, 디플루오르에틸렌, 트리플루오르에틸렌, 테트라플루오르에틸렌, 모노클로로에틸렌, 디클로로에틸렌, 트리클로로에틸렌, 테트라클로로에틸렌 등을 포함한다. 할로겐화 방향족 화합물은 모노플루오르벤젠, 디플루오르벤젠, 테트라플루오르벤젠, 헥사플루오르벤젠 등을 포함한다.
탄화수소 화합물 또는 5 개 이상의 탄소 원소를 갖는 유도체는 실온, 약 20℃에서 액체이며, 스텝 커버리지와 같은 강화된 막 커버리지 및 비정질 탄소 증착 공정 이전에 개선된 정형성(conformality)을 제공한다. 액체 전구체는 보다 큰 분자 및 웨이퍼 표면 상에서 흡수하는 준-안정 중간 종을 형성하는 종을 가지며, 몇몇의 지형학적 이동성을 가지며, 따라서 개선된 스텝 커버리지를 갖는다.
이와 달리, 부분적 또는 완전히 도핑된 탄화수소 화합물의 유도체가 이용도리 수 있다. 유도체는 질소, 플루오르, 산소, 히드록시기, 및 탄화수소 화합물의 붕소 함유 유도체뿐만 아니라 이들의 플루오르화 유도체를 포함한다. 적합한 플루오르계 화합물은 플루오르(F2), 트리플루오 질소(NF3)와 같은 무기 화합물 플루오르 유도체, 탄화수소 화합물의 부분적 또는 완전히 플루오르화된 유도체, 및 이들의 유도체를 포함한다. 플루오르화 탄화수소 화합물은 식 CxHyFz를 가지며, 여기서 x 는 5 내지 20 범위와 같이 5보다 크며, y는 0 내지 18의 범위를 가지며, z는 0 내지 42의 범위를 가지며 y+z가 2 이상 62 이하의 범위를 갖는다. 예는 플루오르화 비정질 탄소 층을 증착하는데 이용될 수 있으며, 비정질 플루오르화 탄소로서 기재될 수 있는 C3F8, C4F8, 및 C6F6와 같은 완전히 플루오르화된 탄화수로를 포함한다. 탄화수소 화합물과 탄화수소 화합물의 도핑된 유도체의 조합물이 비정질 탄소 층 또는 비정질 플루오르탄소 층을 증착하는데 이용될 수 있다.
하나 이상의 플루오르 함유 화합물은 비정질 탄소 증착 공정 중에 도입될 수 있거나, 증착되는 비정질 탄소 재료의 탄소 농도를 증가시키는 중개 단계로서 도입될 수 있다. 플루오르는 비정질 탄소를 위한 증착 공정 전에, 중에, 또는 증착 공정 중에 중개적으로 첨가될 수 있다. 클로린계 화합물 및 브롬계 화합물과 같은 다른 할로겐화물 화합물이 이용될 수도 있다. 플루오르계 화합물은 증착 공정 중에 또는 중개 단계로서 도입될 수 있다. 플루오르계 화합물은 전체 증착 공정 중에, 증착 공정의 일부 중에, 또는 증착 공정 중에 주기적으로 또는 순환적으로 탄화수소 화합물로 도입될 수 있다.
플루오르계 화합물은 100%의 탄화수소 도입 중에 도입될 수 있다. 이와 다리, 플루오르계 화합물이 증착 사이클 중에 탄화수소 화합물에 연속적으로 첨가되지만, 플루오르계 화합물 농도가 비정질 탄소 층의 증착 중에 두 개 또는 세 개의 사이클과 같이 주기적으로 또는 순환적으로 증가하거나 감소할 수 있다.]
플루오르계 화합물은 증착 사이클의 약 40% 내지 60% 범위와 같은 증착 공정 의 일부 중에 도입될 수 있거나, 예를 들어, 증착 사이클 지속의 약 40% 내지 60% 범위와 같은 증착 사이클의 중간 부분 중에 도입될 수 있다. 게다가, 공정에 일부에 있어서 플루오르계 화합물을 가하는 경우에, 플루오르계 화합물은 비정질 탄소 층의 증착 중에 두 개 또는 세 개의 사이클과 같이 주기적으로 또는 순환적으로 도입될 수 있다.
다른 실시예에서, 탄화수소의 유동은 종결될 수 있으며, 플루오르계 화합물의 유동이 탄화 수소 화합물 재도입 및 비정질 탄소 재료의 다른 증착 이전에 증착된 비정질 탄소 재료의 중개 처리 단계로서 처리 챔버 내측으로 도입될 수 있다. 부가적으로, 유사한 효과가 플루오르계 화합물 및 탄화수소 화합물의 농도, 예를 들어, 고 탄화수소 농도 및 낮은 플루오르계 화합물 농도를 갖는 초기 공정 단계, 낮은 탄화수소 농도 및 높은 플루오르계 화합물 농도를 갖는 단계, 및 높은 탄화수소 농도 및 낮은 플루오르계 화합물 농도를 갖는 최종 단계 또는 다른 주기적 단계를 변경함으로써 달성될 수 있다.
부가적으로, 플루오르는 비정질 탄소 증착을 위한 처리 가스를 도입시키기 전에 첨가될 수 있다. 이러한 실시예에서, 플루오르 가스는 처리 챔버를 세정할뿐만 아니라 비정질 탄소 처리 가스의 도입을 위한 플루오르가 풍부한 환경을 제공하는데 이용될 수 있다. 플루오르 예비 처리의 존재는 비정질 탄소 처리 가스 내의 플루오르의 대체로서 또는 이와 함께 이용될 수 있다.
플루오르계 화합물의 도입은 플루오르계 화합물 내의 플루오르가 반응하여 증착 공정 및 증착된 재료로부터 수소를 제거하며, 즉 세정하기 때문에 증착된 비 정질 탄소 층 내의 탄소 원자 농도의 증가를 허용하는 것으로 여겨진다. 증착된 비정질 탄소 층 내의 증가한 탄소 농도는 반도체 제조를 위한 종래의 리쏘그래피 공정에 이용되는 900nm 파장에 대해 157 nm의 흡수도 (k, 흡수 계수)로의 증가를 야기한다. 증가한 흡수도는 증가한 ARC 효율을 야기한다. 게다가, 플루오르는 몇몇의 종래의 비정질 탄소 증착 공정보다 적은, 반도체 제조에 이용되는 대부분의 재료의 열 보존율(thermal budget) 내의 약 550 ℃ 이하의 온도에서 효과적인 비정질 탄소 증착을 허용한다.
이와 달리, 하나 이상의 탄화수소는 식 CxHy를 갖는 탄화수소 화합물과 같은 5개 이하의 탄소 원자를 갖는 탄화수소 화합물과 혼합되거나 도입될 수 있으며, 여기서, x는 2 내지 4의 범위를 가지며, y는 2 내지 10의 범위를 갖는다. 예를 들어, 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 또는 아세틸렌(C2H2)뿐만 아니라 이들의 조합물은 본 원에 기재된 탄화수소 화합물과 함께 이용될 수 있다.
바람직하게, 메탄, 에탄, 에틸렌, 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 브타디엔(C4H6), 아세틸렌(C2H2), 펜탄, 펜틴, 펜타디엔, 시클로펜탄, 시클로펜타디엔, 벤젠, 톨루엔, 알파-테르피넨, 페놀, 및 시멘, 및 이들의 조합물은 비정질 탄소 층을 증착하는데 이용될 수 있다.
반응 가스와 불활성 가스의 혼합물은 비정질 탄소 층을 증착하기 위해 처리 가스에 첨가될 수 있다. 불활성 가스는 아르곤(Ar), 헬륨(He)을 포함할 수 있다. 불활성 가스는 비정질 탄소 층의 증착률 및 밀도를 제어하는데 이용될 수 있다.
부가적으로, 여러 가지 처리 가스는 비정질 탄소 재료의 특성을 변경하기 위해서 가스 혼합물에 첨가될 수 있다. 수소(H2)는 비정질 탄소 재료의 특성을 변경하기 위해서 처리 가스에 첨가된다. 또한, 탄화수소 화합물은 질소를 포함할 수 있거나 암모니아와 같은, 질소 함유 가스로 증착될 수 있다. 가스는 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 플루오르계 화합물, 또는 이들의 조합물과 같은 반응 가스일 수 있다. H2 및/또는 NH3의 첨가는 반사율과 같은 층 특성을 제어하기 위해 비정질 탄소 층의 수소 비율을 제어하는데 이용될 수 있다.
비정질 탄화수소 층을 증착하기 위해서, 플루오르계 화합물은 바람직하게, 탄화수소 화합물에 약 1:20(플루오륵계 화합물:탄화수소 화합물) 및 약 2:1, 예를 들어 약 1:20 내지 약 1:5의 유동 비율로 도입된다. 플루오르계 화합물은 약 50 sccm 내지 약 3000 sccm, 예를 들어, 약 100 sccm 내지 약 2000 sccm 범위의 유동률로 처리 챔버 내측으로 탄화수소 화합물과 함께 또는 상기 탄화수소 화합물 없이 도입될 수 있다. 처리 가스는 캐리어 또는 불활성 가스 예를 들어, 질소, 헬륨, 또는 아르곤을 약 50 sccm 내지 약 1000 sccm, 예를 들어, 약 500 sccm 내지 약 5000 sccm 범위의 유동률로 더 포함할 수 있다.
비정질 탄소 층은 약 10% 수소에서 약 60% 수소의 범위를 갖는 조정가능한 탄소:수소 비율을 가질 수 있는 탄소 및 수소 원자를 포함한다. 비정질 탄소 층의 수소 비율을 제어하는 것은 개개의 광학 특성, 식각 선택도, 및 화학 기계식 연마 저항 특성을 조정하기 위해 바람직할 수 있다. 수소의 보다 높은 농도는 수소가 헬륨 또는 아르곤에 비해 낮은 이온화 한계를 갖기 때문에 반응 가스의 혼합물 내에서 바람직할 수 있으며, 수소가 보다 낮은 분자량을 갖기 때문에 증착된 필름의 표면으로 보다 신속하게 확산될 수 있다고 여겨진다. 수소 이온(H+)은 메틸기 이온(CHx +)의 침투 깊이의 10 배가되는 침투 깊이를 갖는다. 수소는 기판 상에 아크를 야기할 수 있는 플라즈마의 편재를 방지하며 측면으로 플라즈마를 확산시키는데 기여하며 최종 막 균일성을 개선하기 때문에 바람직할 수 있다.
하드마스크 분야에서, 수소 함량이 감소함에 따라서, 비정질 탄소 층의 식각 내성, 및 그에 따른 선택도가 증가한다. 하드마스크는 1:10 보다 크며 1:20 이하와 같은 약 1:10 보다 큰 유전체 재료에 대한 비정질 탄소의 제거율 또는 선택도를 제공한다. 전도성 재료로 내측으로 식각되는 피쳐를 형성하는, 비정질 탄소 층 제거의 감소 비율은 비정질 탄소 층의 손실 없이 효과적인 유전체 재료 식각을 허용한다. 본 발명의 공정에 의해 증착되는 비정질 탄소 층은 헬륨 증착되는 비정질 탄소 재료보다 큰 약 5% 내지 약 20% 범위의 식각 선택도 개선을 갖도록 관찰된다.
반-반사적 코팅 분야에서, 비정질 탄소 층 함량의 제어 및 비정질 탄소 층을 증착하기 위한 공정은 반-반사적 코팅 특성의 제어를 야기할 수 있다. 예를 들어, 수소 함량이 감소함에 따라서, 예를 들어, 굴절률(n) 및 흡수 계수(k)와 같은 에즈-증착된 층(as-deposited layer)의 광학 특성이 증가한다.
비정질 탄소 층의 빛 흡수 계수 k는 약 193 nm 내지 약 250 nm 범위와 같은 약 250 nm 이하의 파장으로 약 0.1 내지 약 1.0 범위로 변경될 수 있어서 DUV 파장 에서 반-반사적 코팅(ARC)로서 이용하기에 적합할 수 있는 비정질 탄소 층을 형성한다. 부가적으로, 반-반사적 코팅 분야에 이용되는 비정질 탄소 층 함량의 제어 및 비정질 탄소 층을 증착하기 위한 공정은 최종 반-반사적 코팅 특성의 제어를 제공할 수 있다. 예를 들어, 수소 함량이 감소함에 따라서, 굴절률(n) 및 흡수 계수(k)와 같은 에즈-증착된 층의 광학 특성이 증가한다.
비정질 탄소 층의 흡수 계수는 증착 온도의 작용으로서 변경될 수 있다. 특히, 온도가 증가함에 따라서, 증착된 층의 흡수 계수가 마찬가지로 증가한다. 예를 들어, 프로필렌이 탄화수소 화합물인 경우에, 증착된 비정질 탄소 층의 k 값은 약 150℃에서 약 480℃로 증가함으로써 약 0.2 내지 약 0.7로 증가할 수 있다. 통상적으로, 비정질 탄소 층은 약 200 Å 내지 약 1100 Å의 두께를 갖는다. 증착 온도의 감소는 탄소 탄소 이중 결합 형성의 가능성을 감소시킨다. 탄소 탄소 이중 결합 형성의 감소는 최종 막 투명도를 증가시킬 수 있으며 유전체 상수를 감소시킬 수 있다.
비정질 탄소 층의 흡수 계수는 가스 혼합물 내에 이용되는 첨가제의 작용으로서 변경될 수도 있다. 특히, 가스 혼합물 내의 수소(H2), 암모니아(NH3), 및 질소(N2), 또는 이들의 조합물은 약 10 % 내지 약 100%로 k 값을 증가시킬 수 있다. 비정질 탄소 층은 본 원의 상세한 설명 및 청구범위와 일치하지 않는 범위로 참조 되며, 200년 6월 8일 "비정질 탄소 층을 증착하는 방법(Method for Depositing an Amorphous Carbon Layer)"의 명칭으로 출원된 미국 특허 출원 제 09/590,322 호에 더 기재된다.
부가적으로, 흡수 계수는 증착 챔버의 압력 작용으로 변경될 수 있다. 증착 챔버 내의 보다 적은 압력은 챔버 내의 이온의 평균 자유 행로를 증가시킨다. 기판 표면 근처에서 이온이 이동함에 따라서, 이들은 기판의 표면을 따라서 원자와 함께 상호작용하기 때문에 증가한 활동도를 겪는다. 챔버 내의 압력은 약 1 토르에서 약 10 토르로 변경될 수 있다. 막 증착 중에 챔버 내의 증가한 압력은 최종 막 밀도를 감소시키는 것으로 여겨진다.
대안적인 실시예에서, 비정질 탄소 층은 층의 두께에 걸쳐서 변하는 흡수 계수(k)를 가질 수 있다. 즉, 비정질 탄소 층은 내부에 형성되는 흡수 계수 증감 (gradient)이 있을 수 있다. 흡수 계수 증감은 층 형성 중에 가스 혼합물의 구성 및 온도의 변화 작용으로서 형성된다.
두 개의 재료 층들 사이의 임의의 경계면에서, 반사는 이들의 굴절률(n) 및 흡수 계수(k)의 차이로 인해 발생할 수 있다. 비정질 탄소 ARC가 증감을 갖는 경우에, 두 개의 재료 층의 굴절률(n) 및 흡수 계수(k)를 조화시킬 수 있어서 비정질 탄소 ARC로의 최소의 굴절과 최대의 투과성이 있다. 그 후, 비정질 탄소 ARC의 굴절률(n) 및 흡수 계수(k)는 일반적으로 내부에 투과되는 모든 빛을 흡수하도록 조절될 수 있다.
비정질 탄소 층은 여러 광학 특성을 갖는 두 개 이상의 층으로 증착될 수 있 다. 예를 들어, 비정질 탄소 이중 층은 전술된 공정 매개변수에 따라서 제 1 비정질 탄소 층을 포함하며, 주로 빛 흡수성을 위해 디자인된다. 이와 같이, 제 1 비정질 탄소 층은 약 250 nm 미만의 파장에서 약 0.5 내지 약 1.0 범위의 흡수 계수(k) 및 약 1.5 내지 약 1.9 범위의 굴절률을 갖는다. 제 2 비정질 탄소 층, 반-반사 코팅 층은 약 0.1 내지 내지 약 0.5 범위의 흡수 계수 및 약 1.5 내지 약 1.9 범위의 굴절률을 갖도록 전술된 공정 매개변수에 따라서 제 1 비정질 탄소 층 상에 형성된다. 제 2 비정질 탄소 층은 에너지 감광 레지스트 재료, 예를 들어, 레지스트와 같은 상부 재료 층을 갖춘 경계면에서 발생하는 상을 취소하는 반사를 생성시킴으로써 상 천이 취소를 위해 주로 디자인된다. 제 1 및 제 2 비정질 탄소 층의 굴절률(n) 및 흡수 계수(k)는 이들이 층 형성 중에, 온도의 작용뿐만 아니라 가스 혼합물의 구성으로서 변경될 수 있다는 점에서 조정될 수 있다.
유전체 재료로부터 비정질 탄소 재료의 선택적 제거는 비정질 탄소 층을 수소 함유 가스, 질소 함유 가스, 및/또는 산소 함유 가스의 플라즈마에 영향받게 함으로써 달성될 수 있다. 수소 함유 가스 및/또는 산소 함유 가스의 플라즈마는 하부에 증착되는 핵심 재료의 표면 거칠기 또는 하부에 증착되는 유전체 재료의 최소의 효과로 비정질 탄소 재료를 제거하는 것으로 여겨진다.
비정질 탄소 증착 공정은 열 화학 기상 증착 공정 또는 플라즈마 강화 화학 기상 증착 공정일 수 있다. 비정질 탄소 층은 약 200 mm 기판에 있어서 약 50 sccm 내지 약 2000 sccm 범위의 유동률에서, 수소 가스, 및 임의의 불활성 또는 반응성 가스를 도입시키며, 약 1 토르 내지 약 20 토르 범위의 챔버 압력을 유지시키 고, 450 ℃ 이하와 같은 약 100℃ 내지 약 700 ℃ 범위의 기판 온도를 유지함으로써 처리 가스로부터 증착될 수 있으며, 플라즈마는 기판 표면으로부터 약 200 밀 내지 약 1000 밀 범위인 가스 분배기를 갖추어, 약 200 mm 기판에 있어서 약 0.03 W/㎠ 내지 약 20 W/㎠, 또는 약 10 와트(W) 내지 약 6000 와트(W), 예를 들어, 약 0.3 W/㎠ 내지 약 3 W/㎠, 또는 약 100 와트 내지 약 1000 와트의 RF 전력을 인가함으로써 발생한다. 전술된 공정 매개변수는 약 100 Å/min 내지 약 5000 Å/min의 범위에서 비정질 탄소 층을 위한 증착률을 제공한다.
바람직하게, 이중-주파수 시스템은 비정질 탄소 재료를 증착하는데 이용된다. 이중 주파수는 플럭스 에너지 및 이온 에너지의 독립적 제어를 제공하는 것으로 여겨진다. 필름 표면에 충돌하는 이온의 에너지는 막 밀도에 영향을 주는 것으로 여겨진다. 수소 이온에 의한 높은 비율의 표면 충격은 막 표면으로부터 높은 비율의 수소 제거를 산출한다. 고주파수 플라즈마는 플라즈마 밀도를 제어한다. 저 주파수 플라즈마는 웨이퍼 표면에 충돌하는 이온의 운동 에너지를 제어한다. 혼합된 RF 전력의 이중-주파수 소오스는 약 10 ㎒ 내지 약 30 ㎒, 예를 들어, 약 13.56 ㎒ 범위의 고주파수 전력뿐만 아니라, 약 10 ㎑ 내지 약 1 ㎒, 예를 들어, 약 350 ㎑ 범위의 저주파수를 제공한다. 혼합된 주파수 RF 전력 분야의 예는 약 200 와트 내지 약 1600 와트 범위의 전력과 약 0.27 W/㎠ 내지 약 1.4 W/㎠ 범위의 전력 밀도에서 약 10 ㎒ 내지 약 30 ㎒ 범위의 주파수를 갖는 제 1 RF 전력 및 약 10 ㎑ 내지 약 1 ㎒ 범위의 주파수뿐만 아니라 약 1 와트 내지 약 1000 와트 범위의 전력 및 약 0.27 W/㎠ 내지 약 1.4 W/㎠ 범위의 전력 밀도를 갖는 적어도 제 2 RF 전력을 포함할 수 있다. 전체 혼합된 주파수 전력에 대한 제 2 RF 전력의 비율은 바람직하게, 약 0.6 내지 1.0 (0.6:1)미만이다. 인가된 RF 전력 및 하나 이상의 주파수의 이용은 기판 크기 및 사용된 장비에 따라서 변할 수 있다.
고주파수 RF 전력 및 저주파수 RF 전력은 가스 분배기(샤워헤드) 또는 기판 지지부에 연결될 수 있거나, 하나는 샤워헤드에 연결될 수 있으며, 다른 하나는 지지 받침대에 연결될 수 있다. 혼합된 RF 전력 소오스(119)의 상세한 설명이 본 발명에 참조되며, 2000년 3월 28일 허여된, "기판 처리 중에 이온 충돌을 제어하는 비대칭 파형의 이용(Use of Asymmetric Waveform to Control Ion Bombardment During Substrate Processing)"의 명칭으로 공통 양도된 미국 특허 제 6,041,734 호에 기재되어 있다.
후 플라즈마 처리는 수소, 암모니아, 수증기(H2O), 또는 이들의 조합물을 포함하는 수소 함유 가스를, 약 100 sccm 내지 약 8000 sccm, 바람직하게는 약 500 sccm 내지 2500 sccm 범위의 유동률로 처리 챔버에 제공하는 단계, 및 처리 챔버 내에 플라즈마를 발생시키는 단계를 포함한다. 플라즈마는 200 mm 기판에 있어서 약 50 와트 내지 약 1500 와트 범위의 RF 전력 레벨인, 약 0.15 W/㎠ 내지 약 5 W/㎠ 범위의 전력 밀도를 이용하여 발생할 수 있다. RF 전력은 13 ㎒ 내지 약 14 ㎒ 범위와 같은 고 주파수로 제공될 수 있다. RF 전력은 연속으로 또는 짧은 주기 사이클로 제공될 수 있으며, 여기서 전력은 약 200 ㎒ 미만의 사이클에 있어서 정해진 레벨 및 전체 듀티 사이클의 약 10% 내지 약 30% 범위의 전체 사이클에서 온 상 태이다.
플라즈마 처리는 플라즈마 처리 중에, 약 1 토르 내지 약 10 토르 사이, 바람직하게는 약 3 토르 내지 약 8 토르의 챔버 압력을 유지시키며, 약 100℃ 내지 약 300℃, 바람직하게는, 약 200℃ 내지 약 300℃의 온도에서 약 15초 내지 약 120초 동안 유지시킴으로써 수행될 수 있으며, 또는 플라즈마 처리 중에 기판 표면으로부터 약 100 밀 내지 약 2000 밀, 바람직하게는 약 200 밀 내지 약 1000 밀 범위로 위치되는 가스 분배기를 갖춘 비정질 탄소 재료를 제거하는데 필수적일 수 있다. 그러나, 각각의 매개변수는 200 mm 내지 300 mm 기판 범위와 같은 여러 기판 크기에 있어서, 여러 챔버 내의 플라즈마 공정을 수행하는데 변경될 수 있다는 점을 주목해야 한다. 이와 달리, 플라즈마 처리 공정 매개변수는 재료 증착 공정 매개변수와 동일하거나 실질적으로 동일할 수 있다.
전술된 공정 매개변수는 어플라이드 머티어리얼즈사로부터 상용화된 등록상표 프로듀서 처리 챔버와 같은 증착 챔버 내의 300 mm 기판 상에서 수행될 수 있으며, 약 100Å/min 내지 약 1000Å/min 범위 내의 비정질 탄소 층에 있어서 통상적인 증착률을 제공한다.
본 원에 전술된 비정질 탄소 재료의 수소-함유 가스 플라즈마 제거 및 비정질 탄소 재료 증착을 수행하기 위해 적합한 반응로는 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼즈사로부터 상용화된 등록상표 DxZ 화학 기상 증착 챔버 또는 등록상표 프로듀서 처리 챔버 내에서 수행될 수 있다.
하드마스크 /반-반사 코팅(ARC)분야
도 1a 내지 도 1e는 하드마스크로서 비정질 탄소 층을 도입시키는 집적 회로 제조 순서의 여러 단계에서 기판(100) 일 실시예의 개략적 단면도이다. 일반적으로, 기판(100)은 임의의 피가공재를 언급하는 것이며, 상기 피가공재 상에서 처리가 수행되며, 기판 구조물(150)은 일반적으로 기판(100) 상에 형성되는 다른 재료 층과 함께 기판(100)을 표시하는데 이용된다. 처리의 특정 단계에 따라서, 기판(100)은 실리콘 기판 또는 기판 상에 형성된 다른 재료에 상응할 수 있다. 예를 들어, 도 1a는 통상적으로 상부에 형성된 재료 층(102)을 갖는 기판 구조물(150)의 단면도이다. 재료 층(102)은 산화물(예를 들어, SiO2)일 수 있다. 일반적으로, 기판(100)은 실리콘, 실리사이드, 금속 또는 다른 재료의 층을 포함할 수 있다.
도 1b는 도 1a의 기판 구조물(150) 상에 증착되는 비정질 탄소 층(104)을 도시하고 있다. 비정질 탄소 층(104)은 전술된 공정 매개변수에 따라서 기판 구조물(150) 상에 형성된다. 비정질 탄소 층의 두께는 처리의 특정 단계에 따라서 변할 수 있다. 통상적으로, 비정질 탄소 층은 약 50 Å 내지 약 1000 Å 범위의 두께를 갖는다.
제조 순서에 사용되는 에너지 감광 레지스트 재료의 식각 화학약품에 따라서, 광학 캡핑 층(106)은 비정질 탄소 층(104) 상에 형성될 수 있다. 광학 캡핑 층(106)은 패턴이 내부에 전달되는 경우에, 비정질 탄소 층(104)을 위한 마스크로서 작용한다. 광학 캡핑 층(106)은 실리콘 산화물과 같은 산화물, 실리콘 질화물 또는 티타늄 질화물, 실리콘 산질화물과 같은 질화물, 실리콘 카바이드, 비정질 실 리콘, 비도핑된 실리카 글래스(USG), 도핑된 실리콘 산화물을 포함하는 재료 또는 다른 재료를 포함할 수 있다. 광학 캡핑 층(106)은 약 100 Å 내지 약 1000 Å 범위의 두께로 증착될 수 있으며, 층 두께는 공정 조건에 따라서 변할 수 있다. 캡핑 층은 비정질 탄소 재료 내에 형성되는 핀홀과 같은 임의의 층 결함을 덮을 뿐만 아니라 포토레지스트로부터 비정질 탄소 층을 보호하는 것을 여겨진다.
에너지 감광 레지스트 재료(108)의 층은 선택적 캡핑 층 상에 형성된다. 에너지 감광 레지스트 재료(108)의 층은 약 2000 Å 내지 약 6000 Å 범위 내의 두께로 기판 상에 스핀 코팅될 수 있다. 대부분의 에너지 감광 레지스트 재료는 약 450 nm 미만의 파장을 갖는 자외선(UV)에 반응한다. DUV 레지스트 재료는 245 nm 또는 193 nm의 파장을 갖는 UV 선에 반응한다.
패턴의 상은 마스크(110)를 통해 UV 선에 에너지 감광 레지스트 재료(108)를 노출시킴으로써 에너지 감광 레지스트 재료(108)의 층으로 도입된다. 에너지 감광 레지스트 재료(108)의 층 내에 도입되는 패턴은 도 1c에 도시된 바와 같은 층을 통해 패턴을 형성하는데 적합한 디벨로퍼(developer)에서 전개된다.
그 후에, 도 1d에 있어서, 에너지 감광 레지스트 재료(108) 내에 형성되는 패턴은 광학 캡핑 층(106) 및 비정질 탄소 층(104)을 통해 전달된다. 패턴은 마스크로서 에너지 감광 레지스트 재료(108)를 이용하여 선택적 캡핑 층(106)을 통해 전달된다. 패턴은 적합한 화학 식각액을 이용하여 광학 캡핑 층(106)을 식각함으로써 광학 식각 층(106)을 통해 전달된다. 패턴은 마스크로서 광학 캡핑 층(106)을 이용하고, 적합한 화학 식각액(예를 들어, 오존, 산소 또는 암모니아 플라즈마) 를 이용하여 비정질 탄소 층(104)을 통하여, 그 후 도 1e에 도시된 바와 같은 하드마스크로서 비정질 탄소 층(104)을 이용하여 재료 층(102)을 통해 전달된다. 재료 층(102) 즉, 실리콘 이산화물이 패턴화된 후에, 비정질 탄소 층(104)은 전술된 바와 같이, 기판(100)으로부터 선택적으로 스트리핑(stripped)된다.
제조 순서의 특정 예에서, 비정질 탄소 하드마스크 내에 형성되는 패턴은 다마신 구조물과 같은 집적 회로의 구조물로 도입될 수 있다. 다마신 구조물은 통상적으로, 집적 회로 상에 금속 인터커넥트를 형성하는데 이용된다.
전도성 피쳐 형성
본 원에 기재된 비정질 탄소 재료 제거 공정 및 반-반사 코팅(ARC) 및/또는 식각 정지부로서 비정질 탄소로 형성되는 전도성 또는 유전체 피쳐의 예는 상부에 형성되는 본 발명의 단계를 갖는 기판의 단면도인 도 2a 내지 도 2e에 도시되어 있다.
도 2a에 도시된 바와 같이, 광학 배리어 층(210)은 기판(200)과 후속적으로 증착되는 재료 사이의 상호-레벨 확산을 제거하기 위해서 기판 표면 상에 증착된다. 기판 표면(205)은 도시되지는 않았지만, 유전체 또는 전도성 재료를 포함할 수 있으며, 기판 표면(205)은 유전체 재료 내에 형성되는 금속 피쳐를 포함할 수 있다. 배리어 층(210)은 약 100 Å 내지 약 1000 Å 범위의 두께로 증착될 수 있다.
배리어 층(210)은 예를 들어, 실리콘 질화물, 실리콘 산질화물, 또는 이들의 조합물을 포함하는 임의의 종래의 배리어 층 재료를 포함할 수 있다. 배리어 층은 약 5 이하의 유전체 상수를 갖는 실리콘 카바이드 또는 질소 함유 실리콘 카바이드와 같은, 저 유전체 상수 재료를 포함할 수도 있다. 저 유전체 상수 k 재료의 예는 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼즈사로부터 상용화된 등록상표 블록(BLOK™) 유전체 재료이다.
유전체 재료 층(220)은 배리어 층(210) 상에 증착된다. 유전체 재료 층은 예를 들어, 소자분리(shallow trench isolation; STI) 증착 공정에 이용하기 위한 실리콘 산화물계 재료를 포함할 수 있다. 유전체 재료의 예는 실리콘 산화물, 예로서, PSG, PBSG, 및 탄소 도핑된 실리콘 산화물과 같은 도핑된 실리콘 산화물을 포함한다. 저유전체 상수 k 재료의 예는 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼즈사로부터 상용화된 등록상표 블랙 다이아몬드(Black Diamond™) 유전체 재료이다.
비정질 탄소 층(230)은 유전체 재료 층(220) 상에 증착된다. 통상적으로, 비정질 탄소 층(230)은 연마 방법으로부터 또는 식각 중에 손상으로부터, 유전체 재료 층(220)과 같은, 하부 재료를 보호하면서 재료의 선택적 제거를 허용하기 위해서 화학 기계식 연마 기술을 위한 정지부로서 수행될 수 있는 하드마스크이다.
비정질 탄소 층(230)은 반-반사 코팅으로서 및/또는 하부 유전체 재료의 선택적 제거를 허용하며 식각 정지부로서 수행될 수도 있다. 비정질 탄소 층의 빛 흡수 계수 k는 약 193 nm 내지 약 250 nm 범위와 같은 약 250 nm 이하의 파장에서 약 0.1 내지 약 1.0 범위로 변할 수 있으며, DUV 파장에서 반-반사 코팅(ARC)로서 이용하기에 적합할 수 있는 비정질 탄소 층을 형성한다. 통상적으로, 비정질 탄소 층(230)은 약 200 Å 내지 약 10,000 Å 범위의 두께를 갖는다.
선택적으로, 반-반사 코팅(240)은 비정질 탄소 층(230) 상에 증착될 수 있다. 반-반사 코팅은 산화물, 질화물, 실리콘 산질화물, 실리콘 카바이드, 비정질 실리콘, 및 이들의 조합물로 구성되는 그룹으로부터 선택되는 재료를 포함할 수 있다. 반-반사 코팅(240)은 패턴이 내부에 전달되는 경우에, 비정질 탄소 층(230)을 위한 하드마스크로서 작용할 수 있다.
이와 달리, 반-반사 코팅(240)은 다른 비정질 탄소 층을 포함할 수 있다. 반-반사 코팅(240)이 비정질 층이라면, 비정질 탄소 이중 층은 전술된 공정 매개변수에 따라서 제 1 비정질 탄소 층(230)을 포함할 수 있으며, 주로 빛 흡수를 위해 디자인된다. 이와 같이, 제 1 비정질 탄소 층(230)은 약 250 nm 미만의 파장에서 약 0.3 내지 약 1.0 범위의 흡수 계수(k) 및 약 1.2 내지 약 1.9 범위 내의 굴절률을 갖는다. 제 1 비정질 탄소 층(230)의 두께는 처리의 특정 단계의 처리에 따라서 변할 수 있다. 통상적으로, 제 1 비정질 탄소 층(230)은 약 300 Å 내지 약 10,000 Å 범위의 두께를 갖는다.
제 2 비정질 탄소 층, 반-반사 코팅 층(240)은 약 1.2 내지 약 1.9 범위의 굴절률, 및 약 0.01 내지 약 0.5 범위의 흡수 계수를 갖도록 전술된 공정 매개변수에 따라서 제 1 비정질 탄소 층(230) 상에 형성된다. 제 2 비정질 탄소 층은 에너지 감광 레지스트 재료, 예를 들어, 레지스트와 같은 상부 재료 층을 갖춘 경계면에서 발생하는 상을 취소하는 반사를 생성시킴으로써 상 천이 취소를 위한 주로 디자인된다. 제 2 비정질 탄소 층의 두께는 예를 들어, 약 200 Å 내지 약 700 Å 범위의, 특정 처리 단계에 따라서 변할 수도 있다. 제 1 및 제 2 비정질 탄소 층의 굴절률(n) 및 흡수 계수(k)는 이들이, 층 형성 중에 가스 혼합물의 구성뿐만 아니라 온도 및 전력의 작용으로서 변할 수 있다는 점에서 조절될 수 있다.
레지스트 재료(250)와 같은 에너지 감광 재료는 비정질 탄소 재료의 표면 상에 증착되어 패턴화된다. 레지스트 재료(250)는 약 200 Å 내지 약 6000 Å 범위 내의 두께로 기판 상에 증착되어 패턴화된다. 포토레지스트 재료는 약 450 nm 미만의 파장을 갖는 자외선(UV)에 영향을 받는다. DUV 레지스트 재료는 245 nm 또는 193 nm의 파장을 갖는 UV에 영향을 받는다. 패턴의 상은 포토리쏘그래피 레티클(reticle)을 통해 UV선 노출에 의해 레지스트 층(250)의 층으로 도입된다. 레지스트 재료(250)의 층 내에 도입되는 패턴의 상은 도 2a에 도시된 바와 같은 패턴을 형성하기 위해서 적합한 디벨로퍼로 전개된다.
레지스트 재료(250) 내에 형성되는 패턴은 도 2b에 도시된 바와 같은 반-반사 코팅(240)과 같은 임의의 간섭 층 및 비정질 탄소 층(230)을 통해 전달된다. 패턴은 적합한 화학 식각액을 이용하여 식각함으로써 임의의 간섭 층 및 비정질 탄소 층을 통해 전달된다. 예를 들어, 오존, 산소, 또는 암모니아 플라즈마는 비정질 탄소 재료를 식각하는데 이용될 수 있다. 가변성 식각 가스 조성물을 포함하는 다수의 식각 단계는 비정질 탄소 층(230) 및 임의의 간섭층을 통해 식각하는데 이용된다. 선택적으로, 식각 공정 후 임의의 잔여 레지스트 재료는 다른 공정 이전에 제거될 수 있다.
비정질 탄소 층(230) 내에 형성되는 패턴은 도 2d에 도시된 바와 같은 피쳐 (260)를 형성하기 위해서 적합한 화학 식각액을 이용하여 식각함으로써 임의의 간섭층 및 유전체 재료 층(220)으로 전달될 수 있다. 임의의 공지된 전도성 재료 식각액은 전도성 재료(120)를 식각하는데 이용될 수 있다.
비정질 탄소 층(230)은 기판의 표면으로부터 비정질 탄소 재료를 제거하도록 수소 함유 가스의 플라즈마에 노출될 수 있다. 수소 함유 플라즈마 제거 공정의 예는 약 1000 sccm의 유동률로 수소 가스를 도입시키는 단계, 약 5 토르의 챔버 압력을 유지시키는 단계, 약 250 ℃로 기판 온도를 유지시키는 단계, 200 mm 기판에 있어서 RF 전력 레벨을 약 100 와트 내지 약 300 와트 범위로 공급함으로써 플라즈마를 발생시키는 단계, 및 약 60 초 동안 플라즈마를 유지시키는 단계에 의해 수행될 수 있으며, 비정질 탄소 재료를 제거하는데 필요할 수 있기 때문이다. 가스 분배기는 도 2d에 도시된 바와 같이, 플라즈마 처리 중에 기판 표면으로부터 약 500 밀에 위치된다. ARC 재료와 같은 임의의 잔여 간섭 재료는 식각액 또는 비정질 탄소 제거 공정에 의해 제거된다. 본 발명은 ARC 층을 위한 개개의 제거 공정이 비정질 탄소 제거 이전에 이러한 층 잔여물을 제거하는데 필요할 수 있음을 고려해야 한다.
전도성 피쳐는 다량 증착에 의해 피쳐(260)들 사이에 피쳐 데피니션(270) 내에 형성될 수 있다. 전도성 재료는 알루미늄, 텅스텐, 또는 구리와 같은 금속, 또는 폴리실리콘과 같은 다른 전도성 재료를 포함할 수 있으며, 텅스텐 실리사이드와 같은 금속 실리사이드를 포함할 수 있다. 전도성 재료는 예를 들어, 원자 층 증착 기술을 포함하는 화학 기상 증착, 고 밀도 물리 기상 증착 기술을 포함하는 물리 기상 증착, 전기 도금 및 무전해 증착 기술을 포함하는 전기 화학적 증착, 또는 증착 기술의 조합에 의해 증착될 수 있다. 전도성 재료는 약 2,000 Å 내지 약 4,000 Å 범위의 두께로 증착될 수도 있으며, 제조될 구조물의 크기에 따라서 두께가 변할 수 있다.
저 유전체 상수 k 재료를 포함하는 유전체 재료는 도 2e에 도시된 바와 같은 피쳐 데피니션(270) 내에 증착될 수도 있다. 저 유전체 상수 k 재료를 갖춘 갭-충진 공정의 예는 본 원의 청구범위 및 상세한 설명과 일치하지 않는 범위로 참조되며, 2000년 4월 25일 허여된 미국 특허 제 6,054,379 호에 기재되어 있다. 실리콘 산화물 및 실리콘 산화물계 재료와 같은 유전체 재료의 갭 충진 증착은 소자분리(STI) 증착 공정을 위해 이용될 수 있다. 유전체 재료는 약 2,000Å 내지 약 4,000Å 범위의 두께로 증착될 수도 있으며, 제조될 구조물의 크기에 따라 두께가 변할 수 있다.
제 1 및 제 2 비정질 탄소 층의 굴절률(n) 및 흡수 계수(k)(흡광 계수로 언급되기도 함)는 층 형성 중에 가스 혼합물의 조성물뿐만 아니라 온도의 작용으로서 변할 수 있다는 점에서 조정될 수 있다. 두 개의 탄소계 반-반사 코팅 층의 조합물이 이용되는 경우에, 수소 함량은 여러 가지 수소 농도를 갖는 막을 생성시키기 위해서 적합하게 조절될 수 있다. 예를 들어, 보다 높은 함량의 수소 ARC 층은 비정질 탄소 처리 가스 내의 최소의 또는 거의 없는 농도의 플루오르로 증착될 수 있지만, 보다 높은 흡수 계수를 갖는 다른 층은 비정질 탄소 처리 가스 내에 보다 높은 플루오르 농도를 가질 수 있다. 게다가, ARC 층은 증착되는 층 내에 수소 함량 에 변화를 주면서 증착될 수 있어서, ARC 층은 비정질 탄소 처리 층 내에 플루오르 농도 초과 시간을 변경시킴으로써 원위치에 연속적으로 증착될 수 있다. 이러한 변화에 있어서, 점차 변하는 수소 농도를 갖는 하나의 두께 비정질 탄소 층은 다층 ARC 적층 대신에 이용될 수 있다.
이중 다마신 구조물의 증착
저 유전체 상수 k 실리콘, 산소, 및 탄소 재료, 비정질 탄소 재료, 및 본원에 기재된 비정질 탄소 재료 제거 공정을 이용하여 형성되는 다마신 구조물의 예는 도 3a 내지 도 3g에 단면도로 도시되어 있다.
도 3a에 도시된 바와 같이, 실리콘 카아이드 배리어 층(310)은 후속적으로 증착되는 재료와 기판 사이의 상호-레벨 확산(inter-level diffusion)을 제거하기 위해서, 기판 표면 상에 증착된다. 기판 표면은 유전체 재료(305) 내에 형성되는 금속 피쳐(307)를 포함할 수 있다. 실리콘 카바이드 배리어 층(310)은 산소, 붕소, 인, 또는 이들이 조합물로 도핑될 수 있다.
본 원에 기재된 바와 같은 실리콘, 산소, 및 탄소를 포함하는 제 1 유전체 층(312)은 유전체 재료(305) 내에 형성되는 금속 피쳐(307)를 포함하는 기판 표면 상에 증착된다. 층간 유전체 재료의 제 1 유전체 층(312)은 트리메틸실란과 같은 유기실록산, 유기실란을 산화시킴으로써 제 1 실리콘 카바이드 배리어 층(310) 상에, 제조될 구조물의 크기에 따라서, 약 5,000 내지 약 15,000 Å의 두께로 증착된다.
층간 유전체 재료로서 이용될 수 있는 저 유전체 상수 재료의 예는 캘리포니 아 산타클라라에 소재하는 어플라이드 머티어리얼즈사로부터 상용화된 등록상표 블랙 다이아몬드이다. 이와 달리, 제 1 유전체 층은 비도핑된 실리콘 글래스(USG) 또는 플루오르 도핑된 실리콘 글래스(FSG)와 같은 저 유전체 상수 k 스핀-온 글래스 또는 파라린(paralyne)을 포함하는 저 유전체 상수 k 폴리머 재료와 같은 다른 저 유전체 상수 k 재료를 포함할 수도 있다. 실리콘 옥시카바이드 층에 있어서 본 원에 기재된 증착을 수반하는 플라즈마 공정은 제 1 유전체 층(312)을 처리할 수 있다. 저 유전체 상수 k 층 및 비정질 탄소 층 적층의 예는 본 원의 청구범위 및 상세한 설명과 일치하지 않는 범위로 참조되며, 2004년 4월 1일 허여된 미국 특허 제 6,541,397 호에 더 기재되어 있다.
산화된 유기 실란 층 또는 실리콘 카바이드 재료의 저 유전체 상수 k 식각 정지부(또는 제 2 배리어 층)(314)는 제 1 유전체 층(312) 상에 약 200 Å 내지 약 1000 Å 범위의 두께로 증착된다. 이와 달리, 식각 정지부(314)는 질소 함유 실리콘 카바이드 재료일 수 있다. 저 유전체 상수 k 식각 정지부(314)는 컨택/비아가 도 3a에 도시된 바와 같이 형성되는 영역 내에 제 1 유전체 층(312)을 노출시키며 컨택/비아 개구(316)를 형성하기 위해서 패턴 식각된다. 바람직하게, 저 유전체 상수 k 식각 정지부(314)는 플루오르, 탄소, 및 산소 이온을 이용하여 통상적인 포토리쏘그래피 및 식각 공정을 이용하여 식각되는 패턴이다. 도시되지 않았지만, 약 100 Å 내지 약 500 Å 두께 범위의 질소-프리 실리콘 카바이드 또는 실리콘 산화물 캡 층은 다른 재료를 증착하기 이전에 식각 정지부(314) 상에 증착될 수 있다.
저 유전체 상수 k 식각 정지부(314)가 컨택/비아를 패턴화하기 위해 식각된 후에, 포토레지스트가 제거되며, 전술된 바와 같은 실리콘 옥시카바이드의 제 2 유전체 층(318)은 도 3a에 도시된 바와 같이 약 5,000 내지 약 15,000 Å 범위의 두께로 증착된다. 제 2 유전체 층(318)은 실리콘 옥시카바이드 층을 위해 본원에 기재된 바와 같이 처리되는 플라즈마일 수도 있다.
대안적인 실시예에서, 약 100 Å 내지 약 500 Å 두께 범위의 질소-프리 실리콘 카바이드 또는 실리콘 산화물 캡 층은 포토레지스트 재료와 같은 부가적인 재료를 증착하기 이전에 제 2 유전체 층(318) 상에 증착될 수 있다. 다른 대안적인 실시예에서, 실리콘 카바이드 캡 층(도시되지 않음)은 포토레지스트 재료와 같은 부가적인 재료를 증착하기 이전에 제 2 유전체 층(318) 상에 증착될 수 있다.
비정질 탄소 층(322)은 도 3b에 도시된 바와 같은 인터커넥트 라인(320)을 형성하기 위해서 바람직하게 종래의 포토리쏘그래피 공정을 이용하여 패턴화되며, 제 2 유전체 층(318)(또는 캡 층) 상에 증착된다. 비정질 탄소 층(322)은 본원에 기재된 바와 같이 증착된다. 통상적으로, 비정질 탄소 층은 약 50 Å 내지 약 1000Å 범위의 두께를 갖는다.
비정질 탄소 층(322)은 연마 방법으로부터 또는 식각 중의 손상으로부터, 제 2 유전체 층(318)과 같은 저 유전체 상수 k 유전체 재료를 보호하면서 전도성 재료의 제거를 허용하기 위해서 화학 기계식 연마 기술을 위한 정지부로서 수행될 수 있는 하드마스크이다. 비정질 탄소 층(322)은 하드마스크 이외에 반-반사 코팅으로서 작용할 수도 있다. 비정질 탄소의 다른 다 층은 비정질 탄소 층(322)을 위해 이용될 수 있다. 예를 들어, 본원에 기재된 비정질 탄소 ARC 이중층은 비정질 탄소 층(322)으로서 이용될 수 있다.
피쳐는 도 3c에 도시된 바와 같이, 제 2 유전체 층(318), 저 유전체 상수 k 식각 정지부(314), 제 1 유전체 층(312), 및 실리콘 카바이드 배리어 층(310)을 통해 식각된다. 비정질 탄소 층은 약 1:10 보다 크며 약 1:20 범위와 같은 약 1:10 보다 큰, 증착되는 하부로서 유전체 재료에 대한 선택도, 또는 제거 비율을 가지며, 1:30 보다 큰 제거 비율을 포함할 수 있다.
인터커넥트 라인(320)은 알루미늄, 구리, 텅스텐 또는 이들의 조합물과 같은 전도성 재료로 금속화 구조물을 형성하도록 충진된다. 현재, 추세는 구리의 낮은 내성(알루미늄에 있어서 3.1mW-cm에 비해 1.7mW-cm)으로 인해 보다 작은 피쳐를 형성하기 위해서 구리를 이용하는 것이다. 바람직하게, 도 3d에 도시된 바와 같이, 탄탈 또는 탄탈 질화물과 같은 적합한 배리어 층(324)은 주변 실리콘 및/또는 유전체 재료로의 구리의 이동을 방지하기 위해서 금속화 패턴 내에서 공형적으로(conformally) 우선 증착된다. 그 후, 구리(326)는 도 3e에 도시된 바와 같은 구조물을 충진하기 위해서 화학 기상 증착, 물리 기상 증착, 전기 도금, 또는 이들의 조합을 이용하여 증착된다.
구조물이 구리 또는 다른 금속으로 충진된다면, 표면은 임의의 초과 구리(326) 및 배리어 층(324) 재료를 제거하기 위해서 화학 기계식 연마를 이용하여 평탄화된다. 그러나, 비정질 탄소 층(322)은 연마 방지제이며 도 3f에 도시된 바와 같은 연마 공정 후에 유지된다. 비정질 탄소 층은 약 5:1 내지 약 30:1 범위와 같 은 약 1:1 보다 큰 비정질 탄소에 대한 전도성 재료인, 구리 또는 배리어 층 재료의 선택도, 또는 제거율을 가지며, 30:1 보다 큰 제거율을 포함할 수 있다.
비정질 탄소 층(322)은 기판의 표면으로부터 비정질 탄소 재료를 제거하기 위해서 수소 함유 가스의 플라즈마에 노출된다. 수소 함유 플라즈마 제거 공정의 예는 약 1000 sccm의 유동률로 수소 가스를 도입시키는 단계, 약 5 토르의 챔버 압력을 유지시키는 단계, 약 250 ℃로 기판 온도를 유지시키는 단계, 200mm 기판에 있어서 약 100 와트 내지 약 300 와트의 범위로 RF 전력 레벨을 공급함으로써 플라즈마를 발생시키는 단계, 및 약 60 초 동안 플라즈마를 유지시키는 단계에 의해서 수행될 수 있으며, 비정질 탄소 재료를 제거하는데 필요할 수 있다. 가스 분배기는 플라즈마 처리 중에 기판 표면으로부터 약 500 밀에 위치된다.
대안적 하드마스크 /반-반사 코팅(ARC) 분야
도 4는 비정질 탄소 층을 도입시키는 집적 회로 제조 순서의 여러 단계에서 비정질 탄소 증착 공정의 일 실시예의 단면도이다. 기판 구조물(401)은 기판 상에 형성되는 다른 재료 층을 함께 갖춘 기판을 언급하고 있다. 처리의 단계에 따라서, 기판은 기판 상에 형성되는 다른 재료 층, 또는 실리콘 기판에 상응할 수 있다. 도 4는 종래에 내부에 형성된 재료 층(402)을 갖는 기판 구조물(401)을 도시하고 있다. 재료 층(402)은 산화물(예를 들어, SiO2)일 수 있다. 일반적으로, 금속 층(402)은 실리콘, 실리사이드, 금속 또는 다른 재료의 층을 포함할 수 있다. 비정질 탄소 층(403)은 전술된 공정 매개변수에 따라서 재료 층(402) 상에 형성된 다. 비정질 탄소 층의 두께는 특정 처리 단계에 따라서 변할 수 있다. 통상적으로, 비정질 탄소 층은 약 50 Å 내지 약 1000 Å 범위의 두께를 갖는다.
도 4는 선택적 캡핑 층(404)을 더 도시하고 있다. 제조 순서에 이용되는 에너지 감광 레지스트 재료의 식각 화학약품에 따라서, 선택적 캡핑 층(404)은 비정질 탄소 층(403) 상에 형성될 수 있다. 선택적 캡핑 층(404)은 내부에 패턴을 전달함으로써 비정질 탄소 층(403)을 위한 마스크로서 작용할 수 있다. 선택적 캡핑 층(404)은 실리콘 산화물와 같은 산화물, 실리콘 질화물 또는 티타늄 질화물, 실리콘 산질화물과 같은 질화물, 실리콘 카바이드, 비정질 실리콘, 비도핑된 실리카 유리(USG), 도핑된 실리콘 산화물, 또는 다른 재료를 포함할 수 있다. 선택적 캡핑 층(404)은 약 100 Å 내지 약 1000 Å 범위의 두께로 증착될 수 있다. 그러나, 층 두께는 공정 요구조건에 따라서 변할 수 있다. 캡 층(404)은 비정질 탄소 재료 내에 형성되는 핀홀과 같은 이의의 층 결함을 덮을 뿐만 아니라 포토레지스트로부터 비정질 탄소 층을 보호하는 것으로 여겨진다.
도 4는 스핀 온 재료 층(405) 및 패턴화된 포토레지스트(406)를 더 도시하고 있다. ㅂ스핀 온 재료 층(405)은 실리콘, 실리콘 플루오르화물, 탄소 도핑된 실리콘, 플루오르 도핑된 실리콘 산화물, 질소 도핑된 실리콘, 또는 탄소 도핑된 실리콘 산화물과 같은 임의의 실리콘 함유 유전체 재료일 수 있다. 스핀 온 재료 층(405)은 회전 기판 표면에 인가되는 액체 전구체를 이용하여 증착될 수 있다. 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼즈사로부터 이용가능한 상용화된 공정, 등록상표 바크(BARC™)는 스핀 온 재료 층(405)을 위한 증착 공정으 로서 이용될 수도 있다.
에너지 감광 재료(406)의 층은 스핀 온 층(405) 상에 형성된다. 약 2000 Å 내지 약 6000 Å 범위 내의 두께로 기판 상에 스핀 코팅될 수 있다. 대부분의 에너지 감광 재료는 약 450 nm 미만의 파장을 갖는 자외선(UV) 선에 영향을 받는다. DUV 레지스트 재료는 245 nm 또는 193 nm의 파장을 갖는 UV 선에 영향을 받는다.
패턴의 상은 마스크(도시되지 않음)를 통해 UV 선에 이러한 에너지 감광 레지스트 재료(108)를 노출시킴으로써 에너지 감광 레지스트 재료(406)의 층으로 도입된다. 에너지 감광 레지스트 재료(406)의 층 내에 도입되는 패턴의 상은 이러한 층을 통해 패턴을 형성하기 위해서 적합한 디벨로퍼에서 전개된다.
부가적인 대안 하드마스크 /반-반사 코팅(ARC) 분야
도 5는 하드마스크로서 비정질 탄소 층을 도입시키는 집적 회로 제조 순서의 여러 단계에서 비정질 탄소 증착 공정 대안적인 실시예의 단면도이다. 일반적으로, 기판 구조물(501)은 임의의 피가공재를 언급하는 것이며, 피가공재 상에서 처리가 수행된다. 특정 처리 단계에 따라서, 기판 구조물(501)은 실리콘 기판, 또는 기판 상에 형성되는 다른 재료 층일 수 있다. 예를 들어, 도 5는 통상적으로 상부에 형성되는 재료 층을 포함할 수도 있는 기판 구조물(501)의 단면도이다. 기판 구조물은 산화물(예를 들어, SiO2)을 포함할 수 있다. 일반적으로, 기판 구조물(501)은 실리콘, 실리사이드, 금속 또는 다른 재료를 포함할 수 있다.
도 5는 기판 구조물(501) 상에 증착되는 비정질 탄소 층(502)을 더 도시하고 있다. 비정질 탄소 층(502)은 전술된 공정 매개변수에 따라서 기판 구조물 상에 형성된다. 비정질 탄소 층의 두께는 특정 처리 상황에 따라서 변할 수 있다. 통상적으로, 비정질 탄소 층은 약 50 Å 내지 약 1000 Å 범위의 두께를 갖는다.
실험 결과
최종 막 밀도에 수소 유동률이 어떻게 영향을 받는지 설명하기 위해서, 비정질 탄소 막은 탄화수소 화합물 및 수소로 증착된다. 막이 1000 sccm 수소, 2000 sccm 수소, 또는 3000 sccm 수소로 증착되는 것을 제외하고는 모든 처리 상황이 일정하다. 1000 sccm 수소 막의 밀도는 1.44 g/cc이며, 2000 sccm 수소 막의 밀도는 1.47 g/cc이며, 그리고 3000 sccm 막의 밀도는 1.54 g/cc이다. 이러한 결과는 보다 높은 수소 유동률에서, 보다 밀도있는 막이 증착되는 것을 나타낸다. 막 밀도는 탄소-탄소 이중 결합 형성의 일 추정값(estimate)이다. 따라서, 보다 높은 수소 유동률을 갖춘 막 증착 공정은 탄소-탄소 이중 결합의 증가된 가능성을 갖추어 막을 형성하기 쉽다.
다양한 온도에서 세 개의 개개의 전구체 최종 막의 비교는 수행되며, A-TRP는 알파-테르피넨이다.
Figure 112006071676603-PCT00001
550℃에서 프로필렌을 이용하여 형성된 막은 보다 낮은 온도에서 프로필렌을 이용하여 형성되는 막보다 약 2 배의 식각 선택도와 약 20% 보다 높은 밀도를 갖는다. 탄소-탄소 결합 농도는 보다 높은 온도에서 또한 보다 높다.
프로필렌, A-TRP, 및 톨루엔 전구체는 350에서 550℃로 5 변하는 온도에서 막을 형성하도록 각각 전구체를 개별적으로 이용함으로써 비교된다. 굴절률은 증가한 온도에서 증착된 막을 초래한다. 온도의 작용으로서 굴절률의 응답 곡선은 전구체 각각에 있어서 다르다. A-TRP 및 톨루엔 막에 대한 유전체 상수 또한 측정된다. 유전체 상수는 막이 보다 높은 온도에서 증착됨에 따라서 증가한다. 적외선 분광 (Fourier Transform Infrared; FTIR) 분석기는 최종 막 상에서 또한 수행된다. 400 ℃ 보다 높은 온도에서 프로필렌으로 형성되는 막은 탄소-탄소 이중 결합을 갖는다.
분리된 세트의 실험에서, 파 수의 작용으로서의 흡수도는 350, 400, 425, 450, 및 500 ℃에서 톨루엔을 이용하여 증착되는 막을 위해 플로팅된다. 곡선에서의 피크는 탄소-탄소 이중 결합이 형성되며, 이중 결합의 형성이 전구체로서 톨루 엔으로 형성되는 막을 위해 400 ℃보다 높은 온도에서 발생하는 것을 나타낸다.
저 주파수 RF 전력은 비정질 탄소 막의 밀도를 맞추는 방법으로서 조사된다. 0, 50, 100, 및 200 와트의 RF 전력이 관찰된다. 350 및 450 ℃에서 막 전구체로서 톨루엔을 이용하여 실험되는 경우에, 막의 밀도는 약 1.17에서 1.28 g/cc로 증가한다. 저 주파수 RF 전력은 비정질 패턴화된 막의 밀도를 증가시킬 수 있다. 450 ℃에서, LFRF가 0에서 200와트로 증가함에 따라서, 흡광 계수가 극적으로 증가한다. 350 ℃에서, LFRF가 0에서 800℃로 증가함에 따라서, 흡광 계수가 0.05를 초과하여 증가하지 않는다.
전구체로서 톨루엔을 이용하여 증착되는 막이 측정되며 0, 200, 400, 600, 및 800 와트 LFRF에 대한 파수의 작용으로서 흡수도가 플로팅된다. O 와트 LFRF 막은 -CH3 결합, -CH2 결합, 및 -CH 결합에 대한 피크에서 가장 큰 파수를 갖는다. 800 와트 LFRF 막은 -CH2 결합에 대한 피크에서 가장 적은 파수를 갖는다. CH2/CH3 및 CH/CH3의 비율은 LFRF 시험(trial)을 비교하는 경우에 일관성이 있다. 파수 그래프는 LFRF에 대한 전력이 증가하면 CH3에 대한 벤제의 비율이 증가함을 나타내고 있다. 이는 증가한 전력 LFRF를 갖춘 보다 많은 벤젠 고리 구조물의 존재를 나타낸다.
톨루엔 막 형성에 영향을 받는 800 와트에서 LFRF를 유지하면서 온도를 얼마나 변화시키는지 관찰하기 위해서, 350, 375, 400, 및 425 ℃에서 형성되는 막이 FTIR 스펙트라를 이용하여 측정된다.
LFRF의 작용으로서 증착률이 또한 관찰된다. LFRF에 대한 전력이 증가함에 따라서, 증착률이 직선으로 증가한다. 전구체를 희석시키기 위해서 수소를 첨가하는 것은 증착률을 감소시킨다.
여러 가지 전구체가 비교된다. 제공되는 톨루엔 및 알파 테르피넨은 비교될 수 있는 온도 범위에서 광학 특성 및 유전체 상수 측정을 포함하는 최고의 막 특성을 갖는다. 전구체로서 프로필렌 및 아세틸렌을 이용하는 것은 수용할 수 있는 광학 특성 및 유전체 상수 결과를 막에 제공한다. 톨루엔계 막은 SEM에 의해 측정되는 것처럼 최고의 스텝 커버리지를 구비하고 있다. 톨루엔계 막처럼 유사한 온도에서 증착되는 알파-테르피넨계 막은 보다 낮은 흡광 계수 측정을 갖는다.
낮은 압력 막 증착이 또한 시험된다. 보다 낮은 압력이 다중 기판이 시험됨에 따라서 균일한 막을 제공하기 위해서 바람직할 수 있다. 그러나 막 특성 내의 탐지할 수 있는 개선점이 관찰되지는 않는다.
전술된 설명이 본 발명의 실시예를 지향하면서, 본 발명의 다른 실시예가 다음의 청구범위에 의해 결정되는 본 발명의 범위 및 기본 범위를 벗어나지 않고 고안될 수 있다.

Claims (24)

  1. 처리 챔버 내의 기판을 처리하는 방법으로서,
    처리 챔버 내에 상기 기판을 위치시키는 단계;
    상기 처리 챔버 내측으로 처리 가스를 도입시키는 단계;
    이중 주파수 RF 소오스로부터 전력을 인가함으로써 상기 처리 가스의 플라즈마를 발생시키는 단계; 및
    상기 기판의 상에 비정질 탄소 층을 증착시키는 단계를 포함하며,
    상기 처리 가스는 CAHBOCFD의 식을 갖는 하나 이상의 전구체 화합물 및 수소를 포함하며, 여기서 A는 1 내지 24의 범위이며, B는 0 내지 50의 범위이며, C는 0 내지 10의 범위이며, D는 0 내지 50의 범위이며, B와 D의 합은 2 이상인,
    처리 챔버 내의 기판을 처리하는 방법.
  2. 제 1 항에 있어서,
    패턴화된 비정질 탄소 층을 형성하기 위해서 상기 비정질 탄소 층을 식각하는 단계를 더 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  3. 제 1 항에 있어서,
    상기 하나 이상의 전구체 화합물은 메탄, 에탄, 에틸렌, 프로필렌, 프로핀, 프로판, 부탄, 부틸렌, 부타디엔, 아세틸렌, 펜탄, 펜틴, 펜타디엔, 시클로펜탄, 시클로펜타디엔, 벤젠, 톨루엔, 알파-테르피넨, 페놀 및 시멘, 및 이들의 조합물로 구성되는 그룹으로부터 선택되는,
    처리 챔버 내의 기판을 처리하는 방법.
  4. 제 1 항에 있어서,
    상기 기판으로부터 상기 비정질 탄소 층을 수소 함유 플라즈마, 질소 함유 플라즈마, 산소 함유 플라즈마, 또는 이들의 조합물을 이용하여 제거하는 단계를 더 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  5. 제 1 항에 있어서,
    상기 플라즈마를 발생시키는 단계는 제 1 주파수에서 제 1 RF 전력을 인가하는 단계 및 상기 제 1 주파수 보다 작은 제 2 주파수에서 제 2 RF 전력을 인가하는 단계를 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  6. 제 5 항에 있어서,
    상기 플라즈마를 발생시키는 단계는 약 10 MHz 내지 약 30 MHz 범위의 제 1 주파수에서 제 1 RF 전력을 인가하는 단계, 약 10 kHz에서 약 1 MHz 범위의 제 2 주파수에서 제 2 RF 전력을 인가하는 단계를 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  7. 제 6 항에 있어서,
    상기 제 1 RF 전력에 대한 제 2 RF 전력의 비율은 약 0.6: 1 미만인,
    처리 챔버 내의 기판을 처리하는 방법.
  8. 제 5 항에 있어서,
    상기 제 1 RF 전력은 약 200 와트 내지 약 1600 와트 범위이며, 상기 제 2 RF 전력은 약 200 와트 내지 약 1000 와트 범위인,
    처리 챔버 내의 기판을 처리하는 방법.
  9. 제 1 항에 있어서,
    상기 하나 이상의 탄화수소 화합물은 약 20 ℃의 온도에서 액체인,
    처리 챔버 내의 기판을 처리하는 방법.
  10. 제 9 항에 있어서,
    상기 처리 챔버 내측으로 도입되기 전에 상기 하나 이상의 수소 화합물을 증발시키는 단계를 더 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  11. 제 1 항에 있어서,
    상기 하나 이상의 탄화수소 전구체는 질소, 붕소, 플루오르, 산소, 히드록시기, 또는 이들의 조합물을 각각 더 포함할 수 있는,
    처리 챔버 내의 기판을 처리하는 방법.
  12. 제 1 항에 있어서,
    상기 하나 이상의 플루오르계 화합물은 플루오르(F2), 질소 트리플루오르화물(NF3), CHF3, CH2F2, 및 이들의 조합물로 구성되는 그룹으로부터 선택되는 재료인,
    처리 챔버 내의 기판을 처리하는 방법.
  13. 제 1 항에 있어서,
    상기 반-반사 코팅은 실리콘 질화물, 실리콘 카바이드, 탄소 도핑된 실리콘 산화물, 비정질 탄소, 및 이들의 조합물의 그룹으로부터 선택되는,
    처리 챔버 내의 기판을 처리하는 방법.
  14. 제 1 항에 있어서,
    상기 유전체 재료에 대한 비정질 탄소의 상기 식각 선택도는 약 1:7 보다 큰 ,
    처리 챔버 내의 기판을 처리하는 방법.
  15. 제 1 항에 있어서,
    상기 처리 가스는 캐리어 가스를 더 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  16. 처리 챔버 내의 기판을 처리하는 방법으로서,
    처리 챔버 내에 상기 기판을 위치시키는 단계;
    상기 처리 챔버 내측으로 처리 가스를 도입시키는 단계;
    이중 주파수 RF 소오스로부터 전력을 인가함으로써 상기 처리 가스의 플라즈마를 발생시키는 단계;
    상기 기판 상에 비정질 탄소 층을 증착시키는 단계;
    패턴화된 비정질 탄소 층을 형성하기 위해서 상기 비정질 탄소 층을 식각하는 단계;
    상기 하나 이상의 비정질 탄소 층을 제거하는 단계; 및
    상기 기판의 표면상에 전도성 재료를 증착시키는 단계를 포함하며,
    상기 처리 가스는 CAHBOCFD 의 식을 갖는 하나 이상의 전구체 화합물, 수소 및 캐리어 가스를 포함하며, 여기서 A는 1 내지 24의 범위이며, B는 0 내지 50의 범위이며, C는 0 내지 10의 범위이며, D는 0 내지 50의 범위이며, B와 D의 합은 2 이상인,
    처리 챔버 내의 기판을 처리하는 방법.
  17. 제 16 항에 있어서,
    상기 하나 이상의 비정질 탄소 층 상에 하나 이상의 반-반사 코팅을 증착시키는 단계; 및
    상기 반-반사 코팅 상에 레지스트 재료를 패턴화하는 단계; 및
    상기 하나 이상의 비정질 탄소 층을 식각함과 동시에 또는 이전에 상기 반-반사 코팅을 식각하는 단계를 더 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  18. 제 16 항에 있어서,
    상기 전도성 재료를 증착하기 이전에 유전체 재료를 증착하는 단계를 더 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  19. 제 16 항에있어서,
    상기 전도성 재료를 증착하기 이전에 배리어 층을 증착하는 단계를 더 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  20. 처리 챔버 내의 기판을 처리하는 방법으로서,
    처리 챔버 내에 상기 기판을 위치시키는 단계;
    상기 처리 챔버 내측으로 처리 가스를 도입시키는 단계;
    이중 주파수 RF 소오스로부터 전력을 인가함으로써 상기 처리 가스의 플라즈마를 발생시키는 단계;
    상기 기판 상에 비정질 탄소 층을 증착시키는 단계;
    상기 하나 이상의 비정질 탄소 층의 하나 이상의 영역 내에 패턴을 형성하는 단계; 및
    상기 하나 이상의 비정질 탄소 층의 상기 하나 이상의 영역 내에 형성된 상기 패턴에 의해 상기 하나 이상의 유전체 재료 층 내에 피쳐 데피니션을 형성하는 단계를 포함하며,
    상기 처리 가스는 CAHBOCFD 의 식을 갖는 하나 이상의 전구체 화합물, 수소 및 캐리어 가스를 포함하며, 여기서 A는 1 내지 24의 범위이며, B는 0 내지 50의 범위이며, C는 0 내지 10의 범위이며, D는 0 내지 50의 범위이며, B와 D의 합은 2 이상인,
    처리 챔버 내의 기판을 처리하는 방법.
  21. 제 20 항에 있어서,
    상기 피쳐 데피니션 내에 하나 이상의 전도성 재료를 증착하기 이전에 상기 하나 이상의 비정질 탄소 층을 플라즈마에 노출시킴으로써 상기 하나 이상의 비정질 탄소 층을 제거하는 단계를 더 포함하며,
    상기 플라즈마는 수소 함유 가스, 질소 함유 가스, 산소 함유 가스, 및 이들의 조합물의 그룹으로부터 선택되는 가스인,
    처리 챔버 내의 기판을 처리하는 방법.
  22. 제 20 항에 있어서,
    상기 하나 이상의 전도성 재료를 연마하여 상기 하나 이상의 비정질 탄소 층을 차단하는 단계; 및
    수소 함유 가스의 플라즈마에 상기 하나 이상의 비정질 탄소 층을 노출시킴으로써 상기 하나 이상의 비정질 탄소 층을 제거하는 단계를 더 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  23. 제 20 항에 있어서,
    상기 하나 이상의 비정질 탄소 층 상에 반-반사 코팅을 증착시키는 단계;
    상기 반-반사 코팅 상에 레지스트 재료를 패턴화하는 단계; 및
    상기 하나 이상의 비정질 탄소 층을 식각함과 동시에 또는 이전에 상기 반-반사 코팅을 식각시키는 단계를 더 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
  24. 제 20 항에 있어서,
    상기 처리 챔버 내측으로 하나 이상의 지방족 탄화수소 화합물을 포함하는 처리 가스를 도입시키는 단계; 및 상기 처리 가스의 플라즈마를 발생시키는 단계를 포함하는 방법에 의해 상기 제 2 비정질 탄소 층 상에 제 3 비정질 탄소 층을 증착시키는 단계를 더 포함하는,
    처리 챔버 내의 기판을 처리하는 방법.
KR1020067020494A 2004-03-05 2006-09-29 비정질 탄소 막의 cvd 증착용 액체 전구체 KR101098632B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US55038604P 2004-03-05 2004-03-05
US60/550,386 2004-03-05
US10/799,146 2004-03-12
US10/799,146 US7638440B2 (en) 2004-03-12 2004-03-12 Method of depositing an amorphous carbon film for etch hardmask application
US56563904P 2004-04-26 2004-04-26
US60/565,639 2004-04-26
US64934405P 2005-02-02 2005-02-02
US60/649,344 2005-02-02
PCT/US2005/005855 WO2005087974A2 (en) 2004-03-05 2005-02-24 Cvd processes for the deposition of amorphous carbon films

Publications (2)

Publication Number Publication Date
KR20070004009A true KR20070004009A (ko) 2007-01-05
KR101098632B1 KR101098632B1 (ko) 2011-12-26

Family

ID=34961150

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067020494A KR101098632B1 (ko) 2004-03-05 2006-09-29 비정질 탄소 막의 cvd 증착용 액체 전구체

Country Status (5)

Country Link
US (1) US7407893B2 (ko)
JP (1) JP4879159B2 (ko)
KR (1) KR101098632B1 (ko)
TW (1) TWI332034B (ko)
WO (1) WO2005087974A2 (ko)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101041720B1 (ko) * 2007-04-10 2011-06-14 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학적 기상 증착 프로세스를 위한플라즈마-유도 전하 손상 제어 방법
KR101330516B1 (ko) * 2012-04-24 2013-11-18 주식회사 테스 비정질 탄소막의 형성방법
KR101353258B1 (ko) * 2012-04-27 2014-01-23 주식회사 테스 반도체 소자의 갭필 방법
US9305802B2 (en) 2013-10-10 2016-04-05 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices using hard masks
KR20160095633A (ko) * 2015-02-03 2016-08-11 램 리써치 코포레이션 비정질 탄소 하드마스크 막들의 탄소-수소 함량을 감소시키기 위한 시스템들 및 방법들
KR20160120844A (ko) * 2015-04-08 2016-10-19 (주)제너코트 흑연 기판의 표면 특성 개질 방법
US9824051B2 (en) 2012-04-04 2017-11-21 Avocent Huntsville, Llc Access appliance providing direct display data channel (DDC) interface connection and stored monitor calibration information
KR20180133539A (ko) * 2010-11-22 2018-12-14 어플라이드 머티어리얼스, 인코포레이티드 합성의 제거가능한 하드마스크
WO2020168084A1 (en) * 2019-02-14 2020-08-20 Applied Materials, Inc. Method of processing a substrate

Families Citing this family (581)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7480990B2 (en) * 2006-01-06 2009-01-27 International Business Machines Corporation Method of making conductor contacts having enhanced reliability
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
JP5154140B2 (ja) * 2006-12-28 2013-02-27 東京エレクトロン株式会社 半導体装置およびその製造方法
KR100867123B1 (ko) * 2007-04-03 2008-11-06 삼성전자주식회사 반도체소자의 식각방법
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
JP2009059804A (ja) * 2007-08-30 2009-03-19 Elpida Memory Inc 半導体装置の製造方法およびハードマスク
US8962101B2 (en) * 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
CN101795961B (zh) * 2007-09-06 2013-05-01 3M创新有限公司 用于制备微结构化制品的工具
JP5951928B2 (ja) 2007-09-06 2016-07-13 スリーエム イノベイティブ プロパティズ カンパニー 光出力の領域制御を提供する光抽出構造体を有する光ガイド
CN101795838B (zh) 2007-09-06 2014-02-12 3M创新有限公司 形成模具的方法以及使用所述模具形成制品的方法
US20090087796A1 (en) * 2007-09-27 2009-04-02 Air Products And Chemicals, Inc. Cyclopentene As A Precursor For Carbon-Based Films
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
WO2009048808A1 (en) * 2007-10-11 2009-04-16 3M Innovative Properties Company Chromatic confocal sensor
WO2009057225A1 (ja) * 2007-11-02 2009-05-07 Fujitsu Microelectronics Limited 半導体装置とその製造方法
JPWO2009060602A1 (ja) * 2007-11-07 2011-03-17 トーヨーエイテック株式会社 炭素質薄膜及びその製造方法
JP5524856B2 (ja) * 2007-12-12 2014-06-18 スリーエム イノベイティブ プロパティズ カンパニー エッジ明瞭性が向上した構造の製造方法
US7795104B2 (en) * 2008-02-13 2010-09-14 Chartered Semiconductor Manufacturing Ltd. Method for fabricating device structures having a variation in electrical conductivity
US8605256B2 (en) 2008-02-26 2013-12-10 3M Innovative Properties Company Multi-photon exposure system
JP4882055B2 (ja) * 2008-04-11 2012-02-22 スパンション エルエルシー 半導体装置の製造方法
JP4876231B2 (ja) * 2008-04-11 2012-02-15 スパンション エルエルシー 半導体装置の製造方法
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
WO2010045153A2 (en) * 2008-10-14 2010-04-22 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8198671B2 (en) 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US20100298832A1 (en) 2009-05-20 2010-11-25 Osseon Therapeutics, Inc. Steerable curvable vertebroplasty drill
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2563233B1 (en) 2010-04-29 2020-04-01 Dfine, Inc. System for use in treatment of vertebral fractures
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
SG193093A1 (en) * 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
WO2013152031A1 (en) * 2012-04-04 2013-10-10 Kla-Tencor Corporation Protective fluorine-doped silicon oxide film for optical components
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
JP2012233259A (ja) * 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
JP6045975B2 (ja) * 2012-07-09 2016-12-14 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20150371851A1 (en) * 2013-03-15 2015-12-24 Applied Materials, Inc. Amorphous carbon deposition process using dual rf bias frequency applications
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP5755314B2 (ja) * 2013-11-13 2015-07-29 スパンション エルエルシー 半導体装置及び半導体装置の製造方法
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR20160039739A (ko) 2014-10-01 2016-04-12 삼성전자주식회사 하드 마스크막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) * 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9385318B1 (en) * 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10478241B2 (en) 2016-10-27 2019-11-19 Merit Medical Systems, Inc. Articulating osteotome with cement delivery channel
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
WO2018098433A1 (en) 2016-11-28 2018-05-31 Dfine, Inc. Tumor ablation devices and related methods
US10463380B2 (en) 2016-12-09 2019-11-05 Dfine, Inc. Medical devices for treating hard tissues and related methods
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10660656B2 (en) 2017-01-06 2020-05-26 Dfine, Inc. Osteotome with a distal portion for simultaneous advancement and articulation
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN110249410B (zh) * 2017-02-01 2023-07-04 应用材料公司 用于硬掩模应用的硼掺杂碳化钨
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN117612918A (zh) 2018-05-03 2024-02-27 应用材料公司 用于基座的rf接地配置
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102709511B1 (ko) 2018-05-08 2024-09-24 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7186032B2 (ja) * 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344B (zh) 2018-10-01 2024-10-25 Asmip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11510723B2 (en) 2018-11-08 2022-11-29 Dfine, Inc. Tumor ablation device and related systems and methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7180847B2 (ja) * 2018-12-18 2022-11-30 東京エレクトロン株式会社 カーボンハードマスク、成膜装置、および成膜方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TWI756590B (zh) 2019-01-22 2022-03-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11986229B2 (en) 2019-09-18 2024-05-21 Merit Medical Systems, Inc. Osteotome with inflatable portion and multiwire articulation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210093163A (ko) 2020-01-16 2021-07-27 에이에스엠 아이피 홀딩 비.브이. 고 종횡비 피처를 형성하는 방법
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11437230B2 (en) 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11322352B2 (en) 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
CN113818002B (zh) * 2020-06-19 2024-06-07 拓荆科技股份有限公司 一种薄膜制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
US12062536B2 (en) 2020-09-08 2024-08-13 Applied Materials, Inc. Amorphous carbon for gap fill
TW202217045A (zh) * 2020-09-10 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積間隙填充流體之方法及相關系統和裝置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202226899A (zh) 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
TW202242184A (zh) 2020-12-22 2022-11-01 荷蘭商Asm Ip私人控股有限公司 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4289519A1 (en) * 2022-06-10 2023-12-13 Basf Se Plasma-created barriers for packaging
WO2024112724A1 (en) * 2022-11-21 2024-05-30 Saint-Gobain Performances Plastics Corporation Cell culture surfaces and containers and methods for making and using them

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262262A (en) 1985-05-31 1993-11-16 Fuji Xerox Co., Ltd. Electrophotographic photoreceptor having conductive layer and amorphous carbon overlayer
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
EP0381109A3 (de) 1989-02-01 1990-12-12 Siemens Aktiengesellschaft Feuchtesperre für organische Dielektrika
DE69005938T2 (de) 1989-07-31 1994-05-19 Matsushita Electric Ind Co Ltd Vorrichtung zur Herstellung von einer dünnen diamantartigen Kohlenstoffschicht.
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
JP3361918B2 (ja) 1995-07-26 2003-01-07 沖電気工業株式会社 半導体集積回路装置の微細ホールの形成方法
JP2956571B2 (ja) 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5759746A (en) 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US5759913A (en) 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
JPH1018569A (ja) * 1996-06-28 1998-01-20 Kajima Corp 浮基礎の支持構造
KR100205318B1 (ko) 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
EP1376684B1 (en) 1997-01-21 2008-11-26 Georgia Tech Research Corporation Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections
JP2962272B2 (ja) 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
EP0881668A3 (en) 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Deposition of an electrically insulating thin film with a low dielectric constant
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
JPH1126578A (ja) 1997-07-02 1999-01-29 Sony Corp 微細接続孔の形成方法
US6008140A (en) 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6133618A (en) 1997-08-14 2000-10-17 Lucent Technologies Inc. Semiconductor device having an anti-reflective layer and a method of manufacture thereof
US6333255B1 (en) 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6203898B1 (en) 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6211065B1 (en) 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6323119B1 (en) 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US5981000A (en) 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6057226A (en) 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
TWI246633B (en) 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
JP3507322B2 (ja) 1997-12-24 2004-03-15 キヤノン株式会社 電子写真装置
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
US6184572B1 (en) 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US5882830A (en) 1998-04-30 1999-03-16 Eastman Kodak Company Photoconductive elements having multilayer protective overcoats
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
JP2000106396A (ja) 1998-09-29 2000-04-11 Sharp Corp 半導体装置の製造方法
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6140224A (en) 1999-04-19 2000-10-31 Worldiwide Semiconductor Manufacturing Corporation Method of forming a tungsten plug
KR100307629B1 (ko) 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
KR100304708B1 (ko) 1999-07-14 2001-11-01 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP5121090B2 (ja) * 2000-02-17 2013-01-16 アプライド マテリアルズ インコーポレイテッド アモルファスカーボン層の堆積方法
US20020086547A1 (en) 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6413852B1 (en) 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6380106B1 (en) 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
TW462122B (en) 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
US6541842B2 (en) 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6653735B1 (en) 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US20040038537A1 (en) 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040166691A1 (en) 2003-02-26 2004-08-26 Chun-Feng Nieh Method of etching a metal line
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
US20040229470A1 (en) 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101041720B1 (ko) * 2007-04-10 2011-06-14 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학적 기상 증착 프로세스를 위한플라즈마-유도 전하 손상 제어 방법
KR20180133539A (ko) * 2010-11-22 2018-12-14 어플라이드 머티어리얼스, 인코포레이티드 합성의 제거가능한 하드마스크
US9824051B2 (en) 2012-04-04 2017-11-21 Avocent Huntsville, Llc Access appliance providing direct display data channel (DDC) interface connection and stored monitor calibration information
KR101330516B1 (ko) * 2012-04-24 2013-11-18 주식회사 테스 비정질 탄소막의 형성방법
KR101353258B1 (ko) * 2012-04-27 2014-01-23 주식회사 테스 반도체 소자의 갭필 방법
US9305802B2 (en) 2013-10-10 2016-04-05 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices using hard masks
KR20160095633A (ko) * 2015-02-03 2016-08-11 램 리써치 코포레이션 비정질 탄소 하드마스크 막들의 탄소-수소 함량을 감소시키기 위한 시스템들 및 방법들
KR20160120844A (ko) * 2015-04-08 2016-10-19 (주)제너코트 흑연 기판의 표면 특성 개질 방법
WO2020168084A1 (en) * 2019-02-14 2020-08-20 Applied Materials, Inc. Method of processing a substrate

Also Published As

Publication number Publication date
WO2005087974A3 (en) 2005-12-15
KR101098632B1 (ko) 2011-12-26
WO2005087974A2 (en) 2005-09-22
TW200600605A (en) 2006-01-01
JP2007531987A (ja) 2007-11-08
TWI332034B (en) 2010-10-21
JP4879159B2 (ja) 2012-02-22
US20050287771A1 (en) 2005-12-29
US7407893B2 (en) 2008-08-05

Similar Documents

Publication Publication Date Title
KR101098632B1 (ko) 비정질 탄소 막의 cvd 증착용 액체 전구체
US7638440B2 (en) Method of depositing an amorphous carbon film for etch hardmask application
EP1176226B1 (en) Method of deposition of silicon carbide film in integrated circuit fabrication
US6858153B2 (en) Integrated low K dielectrics and etch stops
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US6927178B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
KR101184072B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
US7115534B2 (en) Dielectric materials to prevent photoresist poisoning
KR101003475B1 (ko) 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리
US6777171B2 (en) Fluorine-containing layers for damascene structures
KR20060127250A (ko) 금속 에칭 하드마스크 분야용 비정질 탄소막 증착 방법
KR20020033577A (ko) 유기실리케이트층을 증착시키는 방법
KR20020013771A (ko) 유기규산염층의 증착 방법
CN1930320A (zh) 用于无定型碳膜的化学气相沉积的液体前驱体
KR101106425B1 (ko) 질소-비함유 유전성 반사방지 코팅부 및 하드마스크
WO2004104698A2 (en) Dielectric materials to prevent photoresist poisoning

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171002

Year of fee payment: 7