KR101106425B1 - 질소-비함유 유전성 반사방지 코팅부 및 하드마스크 - Google Patents

질소-비함유 유전성 반사방지 코팅부 및 하드마스크 Download PDF

Info

Publication number
KR101106425B1
KR101106425B1 KR1020057010820A KR20057010820A KR101106425B1 KR 101106425 B1 KR101106425 B1 KR 101106425B1 KR 1020057010820 A KR1020057010820 A KR 1020057010820A KR 20057010820 A KR20057010820 A KR 20057010820A KR 101106425 B1 KR101106425 B1 KR 101106425B1
Authority
KR
South Korea
Prior art keywords
oxygen
nitrogen
free
layer
dielectric
Prior art date
Application number
KR1020057010820A
Other languages
English (en)
Other versions
KR20050084286A (ko
Inventor
복 에이치. 김
수다 라티
상 에이치. 안
크리스토퍼 디. 벤처
유시앙 엠. 왕
히쳄 엠'사드
마리오 디. 실베티
미구엘 에스. 펑
기 범 정
레이 주
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050084286A publication Critical patent/KR20050084286A/ko
Application granted granted Critical
Publication of KR101106425B1 publication Critical patent/KR101106425B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Abstract

유전체 재료를 증착시키기 위한 방법이 제공된다. 유전체 재료는 반사방지 코팅부를 위해 또는 하드마스크로서 사용될 수 있다. 일 태양에서, 실란-기반 화합물 및 산소와 탄소 함유 화합물을 포함하는 처리 가스를 처리 챔버로 유입시키는 단계와 기판 상에 질소-비함유 유전체 재료를 증착시키기 위해 처리 가스를 반응시키는 단계를 포함하는 기판 처리 방법이 제공된다. 유전체 재료는 실리콘과 산소를 포함한다. 또 다른 태양에서, 유전체 재료는 이중층 반사방지 코팅부에서 하나 또는 두 개의 층을 형성한다.

Description

질소-비함유 유전성 반사방지 코팅부 및 하드마스크{NITROGEN-FREE DIELECTRIC ANTI-REFLECTIVE COATING AND HARDMASK}
본 발명은 집적회로에 관한 것이며 기판 상의 유전체층 및 유전체층에 의해 형성된 구조물을 증착하기 위한 공정에 관한 것이다.
현대 반도체 소자 제조의 주요 단계들 중 하나는 기체의 화학 반응에 의해 기판 상에 금속 및 유전체층을 형성하는 것이다. 이러한 증착 공정은 화학 기상 증착 또는 CVD라고 불린다. 종래 CVD 공정은 열-유발 또는 에너지-강화 화학 반응이 원하는 층을 형성하도록 기판 표면에 반응 기체를 공급한다.
반도체 소자 형상은 소자가 최초로 도입된지 수십년 동안 그 크기가 매우 감소하였다. 그 후로, 칩에 장착되는 소자의 수는 2년마다 2배씩 증가하였다. 그래서 오늘날의 설비는 더 작은 형상을 갖는 소자를 생산한다.
집적회로 상의 소자 크기를 더욱 감소시키기 위하여, 낮은 저항률을 갖는 도전체와 낮은 유전 상수(4.0보다 작은 유전 상수)를 갖는 절연체를 사용하여 인접한 금속 라인들 간의 용량성 결합을 감소시킨다. 이러한 낮은 유전 상수(낮은 k) 재료는 실리콘, 산소, 및 탄소를 포함하며, 다마신 피쳐를 제조할 때 유전체로서 증착될 수 있다. 낮은 저항률을 갖는 도전체는 구리 및 구리 합금이며, 이들은 구리가 알루미늄보다 낮은 저항률(알루미늄의 3.1μΩ-cm에 비해 1.7μΩ-cm임), 높은 전류 및 높은 이동 용량성을 갖기 때문에 0.25-미크론 이하(sub-quarter-micron) 상호 접속 기술을 위한 재료로 선택되었다. 이들 재료의 특성은 높은 레벨의 집적에서 겪게 되는 높은 전류 밀도 및 증가된 소자 속도를 지원하는데 중요하다. 또한, 구리는 바람직한 열 전도율을 가지며 고순도로 이용할 수 있다.
안타깝게도, 구리는 에칭 및 정밀한 패턴 형성이 어렵다. 상호접속부를 형성하기 위해 종래 증착과 에칭 공정을 이용한 구리 에칭은 만족스럽지 못했다. 따라서, 구리와 낮은 k의 유전체를 포함한 상호접속부를 제조하는 새로운 방법이 개발되고 있다.
수직 및 수평 상호접속부를 형성하는 한가지 방법은 다마신 또는 듀얼 다마신 방법을 사용하는 것이다. 다마신 방법에서, 낮은 k 유전체를 포함하는 하나 이상의 유전체가 증착되고 수직 상호접속부(비아)와 수평 상호접속부(라인)을 형성하기 위해 패턴 에칭된다. 구리와 같은 도전체 및 구리가 주위 낮은 k 유전체로 확산되는 것을 방지하는데 사용되는 장벽 층 재료는 에칭된 패턴으로 상감된다(inlaid). 다음에 기판의 필드 위에 남아있는 에칭된 패턴 외부의 잉여 구리와 장벽층 재료가 제거된다.
그러나, 낮은 k 유전체는 종종 다공질이며 단락 회로를 형성하거나 소자 고장을 유발할 수 있는 도전체의 층간 확산에 민감하다. 유전체 장벽층 재료는 층간 확산을 감소시키거나 방지하기 위해 구리 다마신 구조물에 사용된다. 종래 유전체 장벽층 재료는 종종 7 또는 그 이상의 높은 유전 상수를 갖는다. 주위 낮은 k 유 전체와 높은 k 유전체의 조합은 원하는 유전 상수 보다 높은 유전 상수를 갖는 유전체 스택을 형성한다.
게다가, 다마신 구조물을 형성하는 것은 리소그래픽 공정의 사용을 필요로 한다. 예컨대, 종래 리소그래픽 기술을 이용한 공정 시퀀스에서, 에너지 감응 레지스트 층은 기판 상의 재료층 스택 위에 형성된다. 많은 하부 재료층은 자외선 광을 반사시킨다. 이러한 반사는 에너지 감응 레지스트 재료에 형성된 라인 및 비아와 같은 피쳐 크기를 변형시킬 수 있다. 이는 반사성 금속층을 갖는 193 nm 복사를 이용할 때 문제가 된다. 하부 재료층으로부터의 반사를 최소화시키기 위해 제시된 한가지 기술은 반사방지 코팅부(ARC)를 사용하는 것이다. ARC는 레지스트 패터닝이전에 반사성 재료 위에 형성된다. ARC는 레지스트 이미지화 동안 하부 재료층의 반사를 억제시키고, 에너지 감응 레지스트 층의 정밀한 패턴 복제를 제공한다.
그러나, 종래 ARC 재료는 실리콘 질화물과 티타늄 질화물을 포함한 질소를 포함한다. ARC 층의 질소는 포토레지스트 재료의 구성을 화학적으로 변형시킬 수 있다. 질소와 포토레지스트 재료 간의 화학 반응은 포토레지스트 포이즈닝으로 불린다. 변형된 포토레지스트 재료는 기대한 대로 리소그래피적으로 패터닝될 수 없고 포토레지스트 재료의 피쳐를 부정확하게 형성하거나 포토레지스트 패터닝 후에 기판 표면 상에 과도한 포토레지스트 잔류물이 남아 있게 하며, 이들은 모두 에칭 공정과 같은 후속 공정에 유해한 영향을 줄 수 있다. 예컨대, 질소는 포토레지스트와 ARC 인터페이스 부근의 중성 산이 될 수 있으며 피쳐의 하부와 측벽의 인터페이스에서 원하는 수직각 보다는 곡선화되거나 둥근 모양을 형성하는 푸팅(footing)으로 알려진 잔류 형성물을 형성할 수 있다.
게다가, 낮은 k 재료는 종래 폴리싱 공정 하에서 도전체의 폴리싱 및 제거 동안 표면 결함 또는 피쳐 변형에 민감할 수 있다. 표면 결함 및 변형을 제한하거나 감소시키기 위한 한가지 해결책은 낮은 k 재료의 피쳐 한정부(definition)를 패터닝 및 에칭하기 전에 노출된 낮은 k 재료 위에 하드마스크를 증착시키는 것이다. 하드마스크는 손상 및 변형에 저항적이다. 또한 하드마스크는 후속하는 재료 증착 및 평탄화 또는 결함 형성 및 피쳐 변형을 감소시키기 위한 재료 제거 공정 동안 하부 낮은 k 재료를 보호한다.
또한, 종래 하드마스크 재료는 폴리싱 동안 산화물 또는 금속에 충분한 선택도를 갖지 않으며, 이는 하드마스크의 조기(premature) 제거 및 하부 재료를 공정에 노출시킬 수 있다. 노출된 하부 낮은 k 유전체는 손상될 수 있고 표면 결함과 피쳐 변형을 유발할 수 있다. 게다가, 하드마스크와 ARC 재료는 하부 유전 층이 에칭된후에 구조물의 일부로서 남아 있을 수 있고 구조물의 전체 유전 상수에 영향을 미친다. 종래 하드마스크 재료는 종종 7 또는 그 이상의 높은 유전 상수를 갖는데, 이는 바람직한 유전 상수 보다 높은 유전체 스택을 형성할 수 있다. 현재의 하드마스크 재료는 낮은 k 재료와 다마신 제조에 사용되기에 충분한 폴리싱 선택도를 만족스럽게 갖지 못한다.
종래 재료로 형성된 하드마스크와 ARC 재료는 다공질 표면을 나타낼 수 있다. 작은 구멍은 핀홀로 불린다. 핀홀은 ARC 층을 통해 완전하게 형성될 수 있고 이로써 실리콘 질화물과 같은 ARC 층의 아래에 놓인 재료에 대해 ARC 층 상에 증착된 포토레지스트 재료를 노출시킨다. 실리콘 질화물로부터의 질소 또는 다른 질소 함유 재료는 ARC 층을 통해 확산할 수 있고 포토레지스트 재료의 조성을 화학적으로 바꾸거나 포토레지스트 포이즈닝을 유발한다.
또한, 소자 크기가 0.13 um 또는 그 이하의 크기로 축소되고 칩 제조사가 빠르고 높은 레벨의 성능을 위해 듀얼 다마신 공정을 널리 사용함에 따라, 리소그래피 기술은 새로운 도전에 직면하게 되었다. 포토리소그래피 패터닝 파장은 0.13 um 또는 그 이하의 피쳐를 형성하기 위해 193 nm까지 감소되기 때문에, 새로운 포토레지스트(PR)가 193 nm의 파장에서 포토리소그래피와 함께 작업하도록 개발되고 있다. SiOxNy와 같은 현재의 유전체 반사방지 코팅부로부터의 아민 라디칼(NH2)은 193 nm PR에서 산촉매를 중성화시킨다. 푸팅은 PR의 중성화된 부분이 현상액에 용해되지 않기 때문에 형성된다. 또한 짧은 193 nm 파장의 사용은 기판이 더욱 반사성이 되게 하고, 임계 치수 변화(CD 스윙)의 제어 곤란을 증가시키며, 유효한 포토리소그래피 프로세싱이 짧은 파장에서 1 퍼센티지 이하의 기판 반사율을 필요로 한다.
듀얼 다마신 공정은 CD 스윙의 제어에 있어서 또 다른 도전에 직면한다. 예컨대, Al 상호접속부 프로세싱은 블랭킷 Al 층 증착, Al 층을 패턴화시키기 위한 포토리소그래피 공정, 및 유전체 갭 충전으로 이루어진다. DUV 파장의 Al 층의 높은 반사율과 흡수는 어떠한 DUV 광도 Al 층을 통과하지 못하게 한다. 따라서, Al 층 하부의 다양한 구조물은 Al 층 위에 어떠한 반사에도 영향을 미치지 않는다. 대조적으로, 듀얼 다마신 공정에 있어서, 산화물와 같은 낮은 k 유전체는 기판 반사가 하부 구조물에 의존하여 변화하도록 패터닝 파장에 투과적이다. 게다가, 반사방지 층은 층간 층이 낮은 k 금속간 유전체(IMD)와 충분히 접합되도록 듀얼 다마신 사용을 필요로 한다. 현재의 반사방지 코팅 재료와 공정은 이러한 조건을 충족시키기에 충분치 않다.
따라서, 다마신 사용을 위해 만족스러운 에칭 선택도를 갖는 반사방지 코팅부 또는 하드마스크로서 적합한 유전체 재료를 위한 개선된 공정 및 재료가 필요하다.
본 발명의 태양은 하드마스크 또는 반사방지 코팅부로서 사용하기 위한 질소-비함유 유전체층을 증착시키는 방법을 제공한다. 일 태양에서, 본 발명은 산소 비함유 실란-기반 화합물과 산소와 탄소 함유 화합물을 포함한 처리 기체를 처리 챔버로 유입시키는 단계 및 기판상에 질소-비함유 유전체 재료을 증착하기 위해 처리 기체를 반응시키는 단계를 포함하는 기판 처리 방법을 제공하며, 상기 유전체 재료는 실리콘과 산소를 포함한다.
본 발명의 또 다른 태양에서, 적어도 하나의 유전체층을 기판 표면 상에 증착하는 단계, 적어도 하나의 유기물 또는 무기물 층 상에 하드마스크 층을 형성하는 단계, 하드마스크 층의 적어도 하나의 영역에 패턴을 형성하는 단계, 및 하드마스크 층의 적어도 하나의 영역에 형성된 패턴에 의해 적어도 하나의 유기물 또는 무기물 층에 피쳐 형성부를 형성하는 단계를 포함하는 기판 처리 방법이 제공되며, 상기 하드마스크 층은 상기 기판 상에 질소-비함유 유전체 재료를 증착시키기 위해 산소-비함유 실란-기반 화합물과 산소와 탄소 함유 화합물을 포함한 처리 기체를 반응시키는 단계를 포함한 공정에 의해 증착되고, 상기 하드마스크 층은 실리코과 산소를 포함하며 약 4:1 또는 그 이상의 산화물 대 하드마스크 선택도를 갖는다.
본 발명의 또 다른 태양에서, 제 1 반사방지층을 증착시키는 단계와 제 2 반사방지층을 증착시키는 단계를 포함하는 기판 처리 방법이 제공되며, 제 2 반사방지층은 산소 비함유 실란-기반 화합물 및 산소와 탄소를 함유한 화합물을 포함한 처리 기체를 처리 챔버로 유입시키는 단계 및 적어도 하나의 실리콘과 산소를 포함한 질소-비함유 유전체 재료를 기판 상에 증착시키도록 처리 기체를 반응시키는 단계를 포함한 공정에 의해 증착된다.
본 발명의 상기 특징을 달성하고 상세히 이해될 수 있도록 상기 간단히 요약된 본 발명은 첨부된 도면에서 설명된 실시예를 참조로 더 상세히 설명된다.
그러나, 첨부된 도면은 본 발명의 통상적인 실시예만을 설명한 것이며 따라서 본 발명의 범위를 제한하지 않으며, 본 발명은 다른 동등한 효과의 실시예들을 가질 수 있다.
도 1은 본 명세서에서 설명한 바와 같은 질소-비함유 반사방지 코팅부를 포함하는 듀얼 다마신 구조물을 도시한 단면도이다.
도 2A-H는 듀얼 다마신 증착 시퀀스의 일 실시예를 도시하는 단면도이다.
도 3A-3G는 듀얼 다마신 증착 시퀀스의 일 실시예를 도시하는 단면도이다.
도 4는 광 반사를 나타내는 이중 층 반사방지 코팅부의 개략도이다.
도 5는 SiH4/N이 없는 기체 유량과 흡광 계수 간의 관계를 나타내는 그림이다.
도 6은 처리 조건 함수로서 사후 산소 애싱 흡광 계수 감소의 결과를 도시한다.
도 7은 9일 후의 셰프 라이프 모니터링의 흡광 계수 변화를 도시한다.
도 8은 처리 조건의 함수로서 압축 응력을 도시한다.
본 발명의 태양을 이해할 수 있도록, 계속해서 상세한 설명이 개시된다.
본 명세서에서 설명한 본 발명의 태양은 질소-비함유 유전체 재료를 증착시키는 방법으로 불린다. 질소-비함유 유전체 재료는 다마신 또는 듀얼 다마신 공정을 위해 금속화 스켐의 유전체 재료 또는 하드마스크 유전체층에 인접한 반사방지 코팅부로서 사용될 수 있다.
일 태양에서, 질소-비함유 유전체 재료는 실란-기반 화합물과 이산화탄소 또는 유기실리콘 화합물과 같은 산소 및 탄소 함유 화합물을 포함하는 처리 기체를 반응시킴으로써 증착될 수 있다. 질소-비함유 유전체 재료는 적어도 실리콘과 산소를 포함하며, 탄소를 추가로 포함할 수 있다. 질소-비함유 유전체 재료는 플라즈마-강화 화학 기상 증착 처리에 의해 증착될 수 있다. 증착된 질소-비함유 유전체 재료는 약 4 이하와 같이 약 11 이하의 유전 상수를 나타낼 수 있다.
본 명세서에서 설명된 공정을 위한 적절한 실란-기반 화합물은 산소 비함유 실란-기반 화합물을 포함한다. 산소 비함유 실란-기반 화합물은 화학식 SixH2x+2, SixHyClz,(CH3)zSixHy을 가지며 이들의 조합물은 본 명세서에 개시된 증착 공정에 사용될 수 있으며, X는 1 내지 4이고, Y는 2X+1이고, Z는 1 내지 2X+2이다. 이러한 화합물의 예는 실란, 디실란, 클로로실란, 디클로로디실란, 헥사클로로실란, 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 및 이들의 조합물을 포함한다. 하나 이상의 산소 비함유 실란-기반 화합물은 본 명세서에서 설명한 증착 공정에 사용될 수 있다. 실란과 같은 실란-기반 화합물은 약 100 sccm 내지 약 700 sccm 사이의 유량으로 플라즈마 처리 챔버에 공급될 수 있다.
적절한 산소와 탄소 함유 화합물은 이산화탄소, 일산화탄소, 및 산소 함유 유기실란 화합물을 포함한다. 산소를 함유한 적절한 유기실란 화합물은 테트라에톡시실란(TEOS), 트리에톡시플루오로실란(TEFS), 1,3,5,7-테트라메틸사이크로테트라실록산(TMCTS), 디메틸디에톡시실란, 디메틸디메톡시실란, 1,3-비스(실라노메틸렌)디실록산, 비스(1-메틸디실록산닐)메탄, 2,2-비스(1-메틸디실록산닐)프로판, 헥사메톡시디실록산(HMDOS), 1,3,5-트리실라노-2,4,6-트리메틸렌, 옥타메틸사이클로테트라실록산(OMCTS), 1,3,5,7,9-펜타메틸사이클로펜타실록산, 1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌, 헥사메틸사이클로트리실록산, 및 이들의 조합물을 포함한다.
유기실리콘 화합물은 증착된 질소-비함유 유전체 재료를 위해 산소와 탄소의 소스를 제공하는데 사용된다. TEOS와 같은 액체 산소-함유 유기실리콘 화합물은 기상화되고 약 2000 mgm 또는 그 이상의 유량에서 플라즈마 처리 챔버에 공급된다. 산소 비함유 실란-기반 화합물과 액체 유기실리콘 화합물은 약 1(sccm):20(mgm) 내지 약 6(sccm):5(mgm) 사이의 산소 비함유 실란-기반 화합물 대 액체 산소 함유 유기실리콘 화합물의 유량 비율로 처리 챔버에 공급된다.
일산화탄소 및 이산화탄소와 같은 산소 및 탄소 소스를 제공할 수 있는 기타 재료들이 유기실리콘 화합물과 함께 사용되거나 유기실리콘 화합물의 대체제로서 사용될 수 있다.
만약 산소-함유 유기실리콘 화합물이 질소-비함유 유전체 재료를 증착시키기 위해 반응한다면, 질소-비함유 유전체 재료는 반사방지 코팅부 또는 하드마스크 층을 형성하기에 충분한 층 특성을 갖도록 약 15 원자 퍼센티지 내지 약 50 원자 퍼센티지 사이, 예컨대 약 15 원자 퍼센티지 내지 약 30 원자 퍼센티지 사이의 산소 함량을 갖는다. 증착된 막의 실리콘 함량은 약 20 원자 퍼센티지 내지 약 50 원자 퍼센티지 사이로 포함되고, 수소는 약 20 원자 퍼센티지 내지 30 원자 퍼센티지를 포함하며, 탄소은 약 15 원자 퍼센티지 미만을 포함한다. 막은 이미 설명한 각각의 실리콘, 산소, 및 탄소 원자 퍼센티지에서 탄소-도핑된 실리콘 산화물로 고려된다.
증착된 필름의 산소, 실리콘 및 탄소 함량의 양은 바뀔 수 있다. 예컨대, 실란-기반 선구재료 유량의 증가는 증착된 재료의 산소 함량 감소를 유발하는 것으로 관찰되었다.
처리 기체는 비활성 기체를 더 포함할 수 있다. 아르곤, 헬륨, 네온, 크세논, 또는 크립톤 및 이들 조합물의 그룹 중에서 선택된 불활성 기체와 같은 비활성 기체는 처리 안정성을 향상시키기 위해 처리 기체에 첨가될 수 있다. 비활성 기체는 약 100 sccm 내지 약 20,000 sccm 사이의 유량으로 제공될 수 있다.
본 명세서에서 설명한 공정은 RF 전력을 제공하면서 유기실리콘 재료를 화학적 기계적으로 증착하기에 적합한 DxZTM 화학 기상 증착 챔버 또는 ProducerTM 증착 챔버와 같은 처리 챔버에서 바람직하게 수행되며, DxZTM 화학 기상 증착 챔버 또는 ProducerTM 증착 챔버는 캘리포니아, 산타 클라라에 있는 어플라이드 머티어리얼스 사로부터 상업적으로 이용가능하다. 본 명세서의 공정과 함께 사용할 수 있는 CVD 반응기의 예는 왕(Wang) 등에게 부여되고 본 발명의 양수인인 어플라이드 머티어리얼스 사에게 양수된 "열 CVD/PECVD 반응기 및 실리콘 디산화물의 열 화학 기상 증착을 위한 사용 및 인시츄 멀티-스텝 평탄화 공정란 제목의 미국 특허 제5,000,113호에 개시되어 있다.
일 실시예에서 질소-비함유 유전체 재료는 실란과 같은 실란-기반 화합물을 약 100sccm 내지 약 700sccm 사이의 유량으로 플라즈마 처리 챔버로 공급하고, TEOS와 같은 산소-함유 유기실리콘 화합물을 약 2000mgm 또는 그 이상의 유량으로 플라즈마 처리 챔버로 공급하며, 약 100sccm 내지 약 20,000sccm 사이의 유량으로 불활성 기체를 공급하며, 약 100 ℃ 내지 약 1000 ℃ 사이의 기판 온도를 유지시키며, 200nm 기판에 대해서 약 50 Torr 이하의 챔버 압력 및 약 0.16 와트/㎠ 내지 약 32 와트/㎠ 사이의 RF 전력 또는 약 50 와트 내지 약 10,000 와트 사이의 전력 레벨을 유지시킴으로써 증착될 수 있다. 기판은 처리 기체 소스로부터 약 0.1 cm 내지 약 10 cm 사이의 거리에서 유지된다.
RF 전력은 약 1kHZ 내지 약 10kHZ 사이의 주파수에서 제공될 수 있다. 일 태양에서, RF 전력은 약 13 MHz 내지 약 14 MHz 사이와 같은 고주파수 또는 예컨대 약 13.56 MHz의 고주파수와 약 356 KHz의 저주파수와 같은 고주파수와 저주파수의 혼합 주파수에서 제공될 수 있다. RF 전력은 연속적으로 또는 짧은 구간 사이클에서 제공될 수 있으며, 전력은 약 200 Hz 보다 작은 사이클의 안정한 상태에서 그리고 전체 듀티 사이클의 약 10 퍼센티지 내지 약 30 퍼센티지 사이의 사이클 전체에서 온(on) 된다. 처리 기체는 기체 분배기에 의해 챔버 안으로 유입될 수 있고, 기체 분배기는 기판 표면으로부터 약 200 mil 내지 약 700 mil 사이에 위치할 수 있다. 아래의 도표는 200 및 300 mm 기판을 사용하는 실시예를 제공한다. 높은 k DARC 증착은 낮은 k DARC 증착 후에 수행된다.
표 1. 높은 및 낮은 k 층 증착을 위한 처리 조건
200 mm 300 mm
낮은 k 높은 k 범위 낮은 k 높은 k 범위
SiH4, sccm 95 109 50-150 198 255 100-350
CO2, sccm 4500 700 300-7000 9000 3000 500-14000
He, sccm 0 3500 0-7000 0 5000 0-10000
T, ℃ 350 350 250-550 350 350 250-550
P, Torr 6 5.5 4.5-8 6 5.5 4.5-8
이격거리, mil 530 485 230-600 520 510 230-600
13.56 MHz RF, W 125 210 50-400 245 200 50-800
356 KHz RF, W 0 0 0-100 0 0 0-200
바람직한 일 태양에서, 질소-비함유 유전체 재료는 약 100 sccm 내지 약 700 sccm 사이의 유량으로 실란을 플라즈마 처리 챔버로 공급하고, 약 2000 mgm 또는 그 이상의 유량으로 TEOS를 플라즈마 처리 챔버로 공급하며, 약 500 sccm 내지 10,000 sccm 사이의 유량으로 헬륨을 공급하고, 약 250℃ 내지 약 450℃ 사이에서 기판 온도를 유지시키고, 약 3 Torr 내지 약 10 Torr 사이에서 챔버 압력을 유지시키고, 200 mm 기판에 대해 약 100 와트 내지 약 1000 와트 사이에서 RF 전력을 공급하며, 약 300 mil 내지 약 500 mil 사이의 거리로 기판을 처리 기체 소스로부터 이격시킴으로써 증착될 수 있다.
상기 처리 파라미터들은 캘리포니아, 산타 클라라에 있는 어플라이드 머티어리얼스 사로부터 이용가능한 증착 챔버에서 200 mm(밀리미터) 기판 상에서 구현할 때 약 2000 Å/분 과 같은 약 500 Å/분 내지 20,000 Å/분의 범위에서 질소-비함유 유전체 재료를 위한 증착 속도를 제공한다.
증착 후에, 증착된 유전체 재료는 만약 필요하다면, 유전체 재료의 스도 함량을 감소시키고 경도와 견고성을 강화시키기 위해 약 1 분 내지 약 60 분 사이 바람직하게는 약 30 분 동안 약 100 ℃ 내지 약 400 ℃ 사이의 온도에서 어닐링될 수 있다. 어닐링은 어닐링 동안 발생할 수 있는 유전체층의 수축 또는 변형을 감소 또는 최소화시키기 위해 후속 층의 증착 후에 수행된다. 아르곤 및 헬륨과 같은 비활성 기체는 어닐링 분위기에 추가될 수 있다.
증착된 질소-비함유 유전체 재료는 재료의 후속 증착 이전에 질소-비함유 유전체층의 노출된 표면의 오염물을 제거하거나 기타 세척을 위해 플라즈마 처리될 수 있다. 플라즈마 처리는 질소-비함유 유전체를 증착하는데 사용된 동일한 챔버에서 수행될 수 있다. 일반적으로 플라즈마 처리는 헬륨, 아르곤, 네온, 크세논, 크립톤 또는 이들의 조합물을 포함하는, 그 중에 헬륨이 바람직한 비활성 기체, 또는 수소, 암모니아, 및 이들의 조합을 포함한 환원 기체를 처리 챔버로 제공하는 것을 포함한다. 플라즈마 처리는 약 10 초 내지 약 100 초 사이에서 수행될 수 있다. 플라즈마 처리는 질소-비함유 유전체의 노출된 표면으로부터 오염물을 세정하고 층을 안정화시키는데 사용되어, 대기 조건 하에서 습기 또는 산소와 거의 반응하지 않게 할 뿐만 아니라 그 위에 형성된 층들의 접합을 가능하게 한다.
그러나, 각각의 파라미터는 다양한 챔버와 300 mm 기판과 같은 상이한 기판 크기에서 플라즈마 처리를 수행하도록 수정될 수 있다. 유전체 막의 플라즈마 처리의 예는 1999년 6월 18일자로 출원된 "탄소-함유 층들의 접합 강화 및 산화 최소화를 위한 플라즈마 처리"란 제목의 미국 특허 출원 제09/336,525호 및 2002년 4월 11일자로 출원된 공동계류중인 "유전체 재료 증착 방법"이란 제목의 미국 특허 출원 제10/122,106호에 개시되어 있고, 이들 모두는 본 명세서의 청구 사항과 설명과 일치하지 않는 범위에서 참조로 포함된다.
증착된 질소-비함유 유전체 재료는 광 흡수 계수 또는 흡광 계수(κ)를 가질 수 있다. κ 값은 통과하는 광의 흡수 양을 나타낸다. κ 값이 증가하면, 광 흡수 양도 증가한다. 약 0.5 보다 큰 κ 값을 갖는 재료는 하드마스크로서 사용하기에 유효한 것으로 관찰되었고 약 0 내지 약 2 사이의 κ 값은 ARC 층의 사용 조건에 따라 ARC 적용에 사용될 수 있다. 흡광 계수는 약 248 nm(나노미터) 파장 또는 193 nm 파장과 같은 약 250 nm 이하의 파장에서 약 0 내지 약 1.5 사이, 예컨대 약 0.1 내지 약 1.1과 같은 약 0 내지 2.0 사이에서 변할 수 있으며, 이는 깊은 자외선(DUV) 파장에서 반사방지 코팅부(ARC)로서 사용되기에 적합하다.
유전체가 193 nm 복사에 투과적일 때, 흡수 ARC(κ>1, 두께>1000)는 도움이 될 수 있다. 반사방지 코팅부는 기판 반사율을 최소화시켜 마스크로부터 포토레지스트까지 정밀하게 패턴을 전사시킨다.
질소-비함유 유전체 재료는 굴절율(n)을 가지며, 이는 통과하는 광의 굴절율을 나타내며, 193 nm 파장 광에서와 같이 약 250 nm 이하의 파장에서 약 3보다 작다. 일반적으로, 약 1.5 내지 약 2.2 사이, 예컨대 약 1.7 내지 약 2.2 사이의 n 값은 본 명세서에서 개시된 하드마스크 및 ARC 층 적용을 사용하여 250 nm(나노미터) 이하의 파장에서 리소그래피 처리에 허용될 수 있다. n 값은 κ 값이 약 0.5에 이를 때까지 κ 값과 함께 증가하는 것으로 관찰되었다. n 값은 일반적으로 κ 값이 0.5 이상으로 증가함에 따라 감소하는 것으로 관찰되었다.
질소-비함유 유전체 재료의 흡광 계수(κ)와 굴절율(n)은 기체 혼합물과 처리 파라미터의 조성 함수로서 변할 수 있다. 증착된 재료의 Si-H 결합의 양은 흡광 계수(κ)에 영향을 주고, 조성 및 처리 파라미터의 수정은 Si-H 결합 양과 증착된 재료의 광학 특성의 제어를 가능하게 한다.
증착된 재료의 실리콘 농도 증가는 증착된 재료의 Si-H 결합을 증가시키고 증착된 재료의 κ 값을 증가시키는 것으로 관찰되었다. 대조적으로, 증착된 재료의 산소 함량 증가는 κ 값을 감소시킨다. 또한 증착된 재료의 탄소 농도는 κ 값을 증가시키는 것으로 관찰되었다.
실란-소스 기체의 농도가 증가함에 따라, 즉 실란 대 TEOS의 비율이 증가함에 따라, Si-H 결합의 양과 증착된 재료의 흡광 계수(κ)는 증가하는 것으로 관찰되었다. 예컨대, 약 500 mgm 의 일정한 TEOS 유량에서 실란 유량이 약 50 sccm 에서부터 약 250 sccm 까지 증가하는 경우, 모든 다른 값들이 일정하게 유지될 때 κ값은 약 0.3 에서부터 0.9 까지 증가한다. 또 다른 예에서, 2000 mgm 의 일정한 TEOS 유량에서 실란 유량이 약 150 sccm 에서부터 약 550 sccm 까지 증가하는 경우, 모든 다른 값들이 일정하게 유지될 때 κ값은 약 0.275 에서부터 0.625 까지 증가한다. 실란-기반 선구재료 유량의 증가는 증착된 재료의 산소 함량을 감소시키는 것으로 관찰되었다.
또한 굴절률(n)은 실란 대 TEOS의 비율을 제어함으로써 조절될 수 있다. 예컨대, 500 mgm 의 TEOS 유량에서 약 50 sccm 내지 약 250 sccm 사이의 실란 유량은 약 1.90 내지 약 2.05 사이의 n 값을 제어하지만, 2000 mgm 의 TEOS 유량에서 약 150 sccm 내지 약 550 sccm 사이의 실란 유량은 약 1.85 내지 약 1.70 사이의 n 값을 제어한다.
또한 κ값과 n 값은 전력 레벨과 압력에 의해 제어될 수 있다. 예컨대, 전력 증가는 일반적으로 증착된 재료의 산소 함량과 κ값을 증가시키는 것으로 관찰되었다. 게다가, 증착 압력의 증가는 낮은 κ값을 유발하는 것으로 관찰되었다.
질소-비함유 유전체 재료는 유전체 실리콘 탄화물 또는 실리콘 옥시탄화물 필름과 같은 유기 재료, 또는 폴리실리콘 또는 구리를 포함하는 금속 재료 또는 탄탈과 같은 장벽 재료와 같은 무기 재료의 증착에 적합하다. 질소-비함유 유전체 재료 증착을 위해 여기서 설명된 실시예는 본 발명의 특정 실시예를 예시하기 위해 제공된 것이며, 본 발명의 범위를 제한하지 않는다.
듀얼 다마신 구조물을 위한 ARC 층의 증착
장벽층으로서 여기서 개시된 질소-비함유 유전체 재료를 이용하여 형성된 다마신 구조물의 예가 도 1에 도시되어 있다. 실리콘 탄화물 또는 산소-도핑된 실리콘 탄화물와 같은 장벽층(110)은 재료의 층간 확산을 감소 또는 최소화시키기 위해 증착될 수 있다. 실리콘 탄화물 증착 공정의 예는 1998년 10월 1일자로 출원되고 "장벽층 및 에칭 스탑으로서의 실리콘 탄화물 증착"이란 제목의 공동 계류중인 미국 특허출원 공개번호 제2003/008992호, 2002년 4월 11일자로 출원되고 "유전체 재료를 증착시키기 위한 방법"이란 제목의 공동 계류중인 미국 특허출원 공개번호 제2003/0194496호에 개시되어 있으며, 이들 특허출원은 청구한 특징과 설명이 본 명세서와 일치하지 않는 부분에 대해 본 명세서에 참조로 포함된다. 기판 표면은 유전체 재료(105)에 형성된 금속 피쳐(107)를 포함할 수 있다.
RF 에너지-강화 화학 기상 증착 프로로세스에서 알킬실란을 산화시켜 형성된 실리콘 옥시탄화물 재료(탄소 도핑된 실리콘 산화물)와 같은 대체로 낮은 k 층간 유전체 재료인, 제 1 유전체층(112)은 유전체 재료(105)에 형성된 금속 피쳐(107)를 포함하는 기판 표면 상의 산소-도핑된 실리콘 탄화물 장벽층(110) 위에 증착된다. 제 1 유전체층(112)의 유전체 재료와 유전체 재료 증착을 위한 공정의 예가 2001년 9월 11일에 부여된 미국 특허 제6,287,990호에 모두 개시되어 있으며, 상기 특허는 그 설명과 청구항이 본 명세서와 일치하지 않는 범위에서 본 명세서에 참조로 포함된다.
예컨대 낮은 k 실리콘 탄화물 재료인 에칭 스톱부(또는 제 2 장벽층)(114)는 제 1 유전체층(112) 위에 증착된다. 에칭 스톱부(114)는 상호접속부 또는 콘택/비아(116)의 개구부를 형성하기 위해 패턴 에칭된다. 제 1 유전체층(112)의 동일한 성분을 가질 수 있는 제 2 유전체층(118)은 패터닝된 에칭 스톱부 위에 증착된다. 여기서 설명된 것처럼 질소-비함유 ARC 층(119)은 제 2 유전체층(118) 위에 증착된다. 그 후에 포토레지스트는 콘택/비아(116)를 형성하기 위해 종래 공지된 수단으로 증착되고 패터닝된다. 단일 에칭 공정이 에칭 스톱부 아래에 콘택/비아(116)를 형성하고 수행되고 콘택/비아(116)를 형성하기 위해 패터닝된 에칭 스톱부에 의해 노출된 보호되지 않은 유전체를 에칭한다. 구리와 같은 하나 이상의 도전체(120)가 형성된 콘택/비아(116)를 충전하기 위해 증착된다.
여기서 설명한 공정들에 의해 증착된 질소-비함유 ARC 층을 포함하는 본 발명에 따라 제조된 바람직한 듀얼 다마신 구조물은 도 2A-2H에서 개략적으로 순서대로 도시되었으며, 이들 도면은 본 발명의 단계들에 따른 기판의 단면도이다.
도 2A에 도시된 것처럼, 산소-도핑된 실리콘 탄화물 장벽층(110)은 기판 표면 위에 증착된다. 산소-도핑된 실리콘 탄화물 증착의 예는 다음과 같다: 약 300 mgm 에서 1,3,5,7-테트라메틸사이클로테트라실록산(TMCTS)를 처리 챔버 안으로 유입시키는 단계, 약 360 mgm 에서 트리메틸실란(TMS)를 처리 챔버 안으로 유입시키는 단계, 약 1000 sccm 에서 헬륨을 처리 챔버 안으로 유입시키는 단계, 950 와트의 RF 에너지를 공급하여 처리 챔버에 플라즈마를 발생시키는 단계, 약 350℃에서 기판 온도를 유지시키는 단계, 산소-도핑된 실리콘 탄화물 층을 증착시키기 위해 약 8.7 Torr 에서 챔버 압력을 유지시키는 단계. 기체 분배기와 기판 표면 사이의 간격은 약 515 mil이다. 상기 예는 단순히 예시적이며 본 발명의 범위를 제한하는 것으로 해석되거나 의미하지 않는다.
산소-도핑된 실리콘 탄화물 재료를 증착하는 또 다른 예는 2002년 3월 12일자 출원된 "하드마스크 사용을 위한 낮은 K 유전막 증착 방법"이란 제목의 미국 특허출원 공개번호 제2003/0113995호에 모두 개시되어 있으며, 상기 특허 출원은 청구항과 설명이 본원 발명과 일치하지 않는 범위에서 본 명세서에 참조로 포함된다. 도시되지 않았지만, 질소-비함유 실리콘 탄화물의 캡핑층은 장벽층(110) 위에 증착될 수 있다. 질소-비함유 실리콘 탄화물 캡핑층은 그 자체로 증착될 수 있다.
산소-도핑된 실리콘 탄화물 장벽층(110)은 헬륨(He), 아르곤(Ar), 네온(Ne), 및 이들의 조합물을 포함하는 비활성 기체, 및/또는 수소, 암모니아, 및 이들의 조합물을 포함하는 환원 기체로 처리된 플라즈마일 수 있다. 플라즈마 처리는 산소-도핑된 실리콘 탄화물 재료의 증착에 의해 그 자체로 수행될 수 있다.
실리콘 옥시탄화물와 같은 층간 유전체 재료의 제 1 유전체층(112)은 제조될 기판의 크기에 따라, 약 5,000 내지 약 15,000 Å까지 제 1 산소-도핑된 실리콘 탄화물 장벽층(110) 위에 증착된다. 층간 유전체 재료로서 사용될 수 있는 낮은 유전 상수 재료의 예는 캘리포니아, 산타 클라라에 있는 어플라이드 머티어리얼스 사로부터 상업적으로 이용할 수 있는 블랙 다이아몬든™(Black Diamond™)이다. 또한 제 1 유전체층은 파랄린과 같은 낮은 폴리머 재료를 포함하는 기타 낮은 k 유전체 재료, 또는 비도핑된 실리콘 유리(USG) 또는 불소-도핑된 실리콘 유리(FSG)와 같은 낮은 k 스핀-온 유리를 포함할 수 있다.
그 후에 제 1 유전체층(112)은 오염물을 제거하고 유전체층(122)의 표면을 밀집시키기 위해 플라즈마 공정에 의해 처리될 수 있다. 플라즈마 공정의 예는 200 밀리미터 기판에 대해 약 40초 내지 약 60초 동안 약 600 와트 내지 약 800 와트의 전력 레벨에서 약 500 sccm 내지 약 1,500 sccm 의 수소와 같은 환원 기체 또는 헬륨 함유 처리 기체를 유입시키는 단계를 포함한다. 처리 챔버는 반응성 세정 공정 동안 약 20 Torr 또는 그 이하의 압력에서 그리고 약 450 ℃ 또는 그 이하의 기판 온도에서 유지된다.
도 2B에 도시된 것처럼, 실리콘 탄화물 재료일 수 있는 낮은 k 에칭 스톱부(114)는 약 200 내지 약 1000 Å의 두께까지 제 1 유전체층 위에 증착된다. 낮은 k 에칭 스톱부(114)는 산소-도핑된 실리콘 탄화물 장벽층(110)에 대해 여기서 설명한 것처럼 플라즈마 처리될 수 있다. 낮은 k 에칭 스톱부(114)는 콘택/비아 개구부(116)를 형성하고 콘택/비아가 도 2C에 도시된 것처럼 형성될 영역 내에서 제 1 유전체층(112)을 노출시키도록 패턴 에칭된다. 바람직하게, 낮은 k 에칭 스톱부(114)는 종래 포토리소그래피를 이용하여 패턴 에칭되고 불소, 탄소, 및 산소 이온을 이용하여 에칭 처리된다. 도시되지 않았지만, 약 100 Å 내지 약 500 Å 두께의 질소-비함유 실리콘 탄화물 또는 실리콘 산화물 캡 층은 추가의 재료를 증착하기 전에 에칭 스톱부(116) 위에 증착될 수 있다.
낮은 k 에칭 스톱부(114)가 콘택/비아를 패터닝하도록 에칭되고 포토레지스트가 제거된 후에, 실리콘 옥시탄화물의 제 2 유전체층(118)은 약 5,000 내지 약 15,000 Å의 두께까지 증착되고 질소-비함유 ARC 층(119)은 도 2D에 도시된 것처럼 그 위에 증착된다. 제 2 유전체층(118)은 질소-비함유 ARC 층(119)을 증착하기 전에 제 1 유전체층(112)을 위해 여기서 개시된 것처럼 플라즈마 처리될 수 있다. 플라즈마 처리는 층(118) 표면의 반응을 후속하는 증착된 재료까지 감소시키는 것으로 여겨진다.
질소-비함유 ARC 층(119)은 여기서 설명한 것처럼 제 2 유전체층(118) 위에 증착될 수 있다. 예컨대, ARC 층(110)은 약 100 sccm 내지 약 700 sccm 사이의 유량으로 실란을 플라즈마 처리 챔버로 공급하고, 약 2000 mgm 또는 그 이상의 유량으로 TEOS를 플라즈마 처리 챔버로 공급하며, 약 500 내지 약 10,000 sccm 사이의 유량으로 헬륨을 공급하고, 약 250 ℃ 내지 약 450 ℃ 사이에서 기판 온도를 유지시키고, 약 3 Torr 내지 약 10 Torr 사이로 챔버 압력을 유지시키며, 200 mm 기판에 대해 약 100 와트 내지 약 1000 와트 사이로 RF 전력을 공급하고, 약 300 mil 내지 약 500 mil 사이의 거리로 처리 기체 소스로부터 기판을 이격시킴으로써 증착될 수 있다.
선택적인 실시예에서, 약 100 Å 내지 약 500 Å 사이의 질소-비함유 실리콘 탄화물 또는 실리콘 산화물 캡 층은 ARC 층(119)과 같은 추가의 재료를 증착하기 전에 제 2 유전체층(118) 상에 증착될 수 있다.
그 후에 포토레지스트 재료(122)는 질소-비함유 ARC 층(119) 위에 증착되고 도 2E에 도시된 것처럼 상호접속 라인(120)을 형성하기 위해 종래 포토리소그래피 공정을 이용하여 바람직하게 패터닝된다. 포토레지스트 재료(122)는 매사추세츠, 말보로에 있는 쉬플리 컴퍼니 사로부터 상업적으로 이용가능한 UV-5와 같은 고활성 에너지 포토레지스트인 당업계에 공지된 재료를 포함한다. 그 후에 상호접속부와 콘택/비아는 도 2F에 도시된 것처럼 금속화 구조물(즉, 상호접속부 및 콘택/비아)을 형성하기 위해 반응성 이온 에칭 또는 기타 이방성 에칭 기술을 이용하여 에칭된다. 에칭 스톱(114) 또는 제 2 유전체층(118)을 패터닝하는데 사용된 포토레지스트 또는 기타 재료는 산소 스트립 또는 기타 적절한 공정을 이용하여 제거된다.
그 후에 금속화 구조물은 알루미늄, 구리, 턴스텐 또는 이들의 조합물과 같은 도전체에 의해 형성된다. 현재, (알루미늄의 3.1mΩ-cm에 비해 1.7mΩ-cm인)구리의 낮은 저항률로 인해 작은 피쳐를 형성하기 위해 구리를 사용하는 경향이 있다. 바람직하게, 도 2G에 도시된 것처럼, 탄탈 질화물과 같은 적절한 장벽층(124)은 먼저 구리가 주위 실리콘 또는 유전체 재료로 이동하는 것을 방지하기 위해 금속화 패턴과 컨포멀하게 증착된다. 그 후에, 구리(126)는 도전성 구조물을 형성하기 위해 화학 기상 증착, 물리 기상 증착, 전해도금 중 하나를 이용하여 또는 이들을 조합하여 증착된다. 일단 구조물이 구리 또는 기타 금속으로 충전되면, 표면은 도 2H에 도시된 것처럼 화학 기계적 폴리싱을 이용하여 평탄화된다.
반사방지 코팅부와 같이 질소-비함유 유전체 재료의 증착은 질소 함유 유전체 재료의 NH2 아민 그룹과 반사방지 코팅부(ARC)와 직접 접촉하는 DUV 193 nm 포토레지스트 간의 나쁜 상호작용을 제거하고, 이로써 포토레지스트 포이즈닝-유발 푸팅의 주된 소스를 제거하는 것으로 관찰되었다. 질소-비함유 유전체 ARC는 단일 웨이퍼 PECVD의 193 nm에서 광학 특성을 넓은 범위: 1.6<n<1.9 및 0<k<1.1로 조정할 있다. 이러한 범위는 비용 효율적이며 듀얼 다마신 공정 동안 낮은 k 유전체층 위에 이중층 유전체 ARC의 자체 증착을 가능하게 한다. 질소-비함유 유전체 ARC는 낮은 k 유전체와 통합되고 낮은 k 유전체와 충분히 접합될 뿐만 아니라 낮은 k 유전체와 함께 에칭되고 화학적 및 기계적으로 폴리싱될 수 있다.
듀얼 다마신 구조물의 증착
다마신 구조물의 선택적인 실시예에서, 여기서 설명된 질소-비함유 유전체 재료는 다마신 형성을 개선하고 금속화 구조물을 에칭할 때 다마신 구조물의 낮은 k 재료를 보호하기 위해 포토레지스트 재료를 증착하기 전에 유전체층 위에 하드마스크 층으로서 증착될 수 있다. 여기서 설명한 하드마스크로서 질소-비함유 유전체 재료를 사용하여 형성된 다마신 구조물의 예는 도 3A-3G에 도시되며, 이는 본 발명의 단계들에 따른 기판의 단면도이다.
도 3A에 도시된 바와 같이, 실리콘 탄화물와 같은 장벽층(310)은 기판과 후속하여 증착된 재료 간의 레벨간 확산을 제거하기 위해 기판 표면 위에 증착된다. 기판 표면은 유전체 재료(305)에 형성된 피쳐 형성부(307)를 포함한다. 장벽층(310)은 산소, 보론, 포스포러스, 또는 이들의 조합물로 도핑될 수 있다.
실리콘 옥시탄화물 재료를 포함할 수 있는 제 1 유전체층(312)은 기판 표면 위의 장벽층(310) 위 및 유전체 재료(305)에 형성된 피쳐 형성부(307)에 증착된다. 층간 유전체 재료의 제 1 유전체층(312)은 제조될 구조물의 크기에 따라, 약 5000 내지 약 15,000 Å의 두께로 트리메틸실란과 같은 유기실란 또는 오르가노실록산을 산화시킴으로써 장벽층(310) 위에 증착된다.
층간 유전체 재료로서 사용될 수 있는 낮은 유전 상수 재료의 예는 캘리포니아, 산타 클라라의 어플라이드 머티어리얼스 사로부터 상업적으로 이용가능한 블랙 다이아몬드™이다. 선택적으로, 제 1 유전체층은 파랄린을 포함하는 낮은 k 폴리머 재료 또는 비도핑된 실리콘 유리(USG) 또는 불소-도핑된 실리콘 유리(FSG)와 같은 낮은 k 스핀-온 유리와 같은 기타 낮은 k 유전체 재료를 포함할 수 있다. 여기서 설명한 것처럼 실리콘 옥시탄화물 층을 위한 증착 후에 수행되는 플라즈마 공정은 제 1 유전체층(3112)을 처리할 수 있다.
실리콘 탄화물 재료 또는 산화된 유기실란층과 같은 낮은 k 에칭 스톱(또는 제 2 장벽층)(314)은 약 200 내지 약 1000 Å의 두께로 제 1 유전체층(3112) 위에 증착된다. 낮은 k 에칭 스톱(314)은 콘택/비아 개구부(316)를 형성하고 도 3A에 도시된 것처럼 콘택/비아가 형성될 영역에 제 1 유전체층(312)을 노출시키도록 패턴 에칭된다. 바람직하게, 낮은 k 에칭 스톱(314)은 종래 포토리소그래피를 이용하여 패턴 에칭되고 불소, 탄소, 및 산소 이온을 이용하여 에칭 처리된다. 도시되지 않았지만, 약 100 Å 내지 약 500 Å 두께의 질소-비함유 실리콘 탄화물 또는 실리콘 산화물 캡 층은 추가의 재료를 증착하기 전에 에칭 스톱(316) 위에 증착될 수 있다.
낮은 k 에칭 스톱(314)이 콘택/비아를 패터닝하도록 에칭되고 포토레지스트가 제거된 후에, 실리콘 옥시탄화물와 같은 제 2 유전체층(318)은 도 3A에 도시된 것처럼 약 5,000 내지 약 15,000 Å의 두께로 증착된다. 또한 제 2 유전체층(318)은 여기서 설명한 것처럼 플라즈마 처리될 수 있다.
여기서 설명된 질소-비함유 유전체 하드마스크 층(322)은 제 2 유전체층(318) 위에 증착되고 도 3B에 도시된 것처럼 상호접속 라인(320)을 형성하기 위해 종래 포토리소그래피 공정을 사용하여 패터닝된다. 질소-비함유 유전체 하드마스크 층(322)은 에칭 공정 동안 제 2 유전체층(318)과 같은 낮은 k 유전체 재료를 손상되지 않게 보호하거나 화학-기계적 폴리싱과 같은 폴리싱 공정로부터 보호하면서 도전체를 제거할 수 있게 하는 화학 기계적 폴리싱 기술을 위한 스톱부로서 수행하는 하드마스크이다. 여기서 설명된 질소-비함유 유전체 재료의 하드마스크 층(322)은 산화물 또는 금속 대 하드마스크의 에칭 선택도가 약 4:1 또는 그 이상을 나타내며, 일부 예에서는 산화물 또는 금속 대 하드마스크의 에칭 선택도가 약 10:1 또는 그 이상을 나타낸다.
질소-비함유 유전체 하드마스크 층(322)은 여기서 설명한 것처럼 증착된다. 하드마스크 증착의 예는 약 100 sccm 내지 약 700 sccm 사이의 유량에서 실란을 플라즈마 처리 챔버로 공급하는 단계, 약 2000 mgm 또는 그 이상의 유량에서 플라즈마 처리 챔버에 TEOS를 공급하는 단계, 약 500 sccm 내지 약 10,000 sccm 사이의 유량으로 헬륨을 공급하는 단계, 약 250 ℃ 내지 약 450 ℃ 사이에서 기판 온도를 유지하는 단계, 약 3 Torr 내지 약 10 Torr 사이에서 챔버 압력을 유지시키는 단계, 200 mm 기판에 대해 약 100 와트 내지 약 1000 와트 사이로 RF 전력을 공급하는 단계, 약 300 mil 내지 약 500 mil 사이의 거리로 기판을 처리기체 소스로부터 이격시키는 단계를 포함한다. 하드마스크(322)는 약 2000 Å/min의 증착 속도로 증착된다. 하드마스크(322)는 산화물 또는 금속 대 하드마스크의 에칭 선택도가 약 10:1인 것으로 관찰되었다.
피쳐는 도 3C에 도시된 것처럼 제 2 유전체층(318), 낮은 k 에칭 스톱부(314), 제 1 유전체층(312), 및 실리콘 탄화물 장벽층(310)을 관통하여 에칭된다. 하드마스크(322)는 에칭 공정에 의해 완전히 제거될 수 있다.
다음에 상호접속 라인(320)은 금속화 구조물을 형성하기 위해 알루미늄, 구리, 텅스텐 또는 이들의 조합물과 같은 도전체로 충전된다. 현재, (알루미늄의 3.1 μΩ-cm 에 비해 1.7 μΩ-cm 인) 낮은 구리 저항률로 인해 작은 피쳐를 형성하기 위해 구리를 사용하는 경향이 있다. 바람직하게, 도 3D에 도시된 것처럼, 탄탈 또는 탄탈 질화물과 같은 적절한 장벽층(324)은 구리가 주위 실리콘 또는 유전체 재료로 이동하는 것을 방지하도록 금속화 패턴과 컨포멀하게 먼저 증착된다. 그 후에, 구리(326)는 도 3E에 도시된 것처럼 구조물을 충전하기 위해 전해도금 또는 무전해 증착과 같은 전기 화학 증착, 화학 기상 증착, 물리 기상 증착, 또는 이들 방법을 조합하여 증착된다.
일단 구조물이 구리 또는 기타 금소으로 충전되면, 표면은 화학 기계적 폴리싱을 이용하여 평탄화된다. 그러나, 폴리싱 저항성 질소-비함유 유전체 하드마스크 층(322)은 도 3F에 도시된 것처럼 폴리싱 공정 후에도 남아있을 수 있다. 질소-비함유 유전체 하드마스크 층(322)은 플라즈마 공정에 의해 기판 표면으로부터 제거될 수 있다.
이중 ARC 층의 증착
여기서 설명된 질소-비함유 유전체 ARC 층은 포토레지스트의 증착 및 패터닝 이전에 이중층(2개층)으로서 증착될 수 있다. 도 4를 참조하면, 이중층 포맷(400)에서, 높은 침해 지수(n)와 높은 흡광 계수(κ)를 갖는 하부 흡수층(410)이 제공되어 반사기와 흡수기로서 작용하며 상부 상-변이 층(낮은 k 층)(420)의 두께 및 광학 특성은 레지스트(440)/상부층(420) 인터페이스 및 상부층(낮은 k)(420)/하부층(높은 k)(410) 인터페이스로부터 반사된 광이 제거되도록 처리된다. 이중층(410,420)은 여기서 설명한 것처럼 탄소-도핑된 실리콘 산화물와 같이 낮은 k 재료 상에 증착된다. 포토레지스트 재료(440)은 포토리소그래피 이전에 상부층 상에 증착된다.
상부층(420)과 하부층(410)은 그 자체로 및 챔버 내 동일한 선구재료로부터 증착될 수 있다. 여기서 질소-비함유 유전체 증착은 상부층(420)과 하부층(410)이 독립한 광학 특성을 갖도록 온도, 압력, 선구재료의 상대량 또는 비율과 같은 처리 파라미터를 갖는다. 통상적으로 이중층 코팅부(400)는 웨이퍼에 대해 1 퍼센티지 이하의 기판 반사율을 제공하여 CD 스윙을 최소화시키도록 설계된다. 선택적으로, 상부층(420) 또는 하부층(410)만이 여기서 설명된 질소-비함유 유전체 ARC 재료를포함하며 다른 층은 종래의 ARC 재료를 포함한다.
아래의 예는 ARC 및 하드마스크 적용을 위해 여기서 설명된 재료의 증착을 예시한다. 재료는 통합된 공정 플랫폼의 일부인 화학 기상 증착 챔버를 사용하여 증착되었다. 특히, 막은 캘리포니아, 산타 클라라의 어플라이드 머티어리얼스 사로부터 상업적으로 이용가능한 프로듀서™ 증착 시스템을 사용하여 증착되었다.
질소-비함유 ARC 층은 약 5 Torr의 챔버 압력과 약 400 ℃의 기판 온도로 후속하는 반응 기체로부터 200 mm 기판 상에 혼합된 선구재료 공정에 의해 증착되었다.
실란(SiH4), 약 400 sccm;
테트라에톡시실란(TEOS), 약 2000 mgm;
헬륨, 약 2,000 sccm
기판은 기체 분배 샤워헤드로부터 약 400 mil 떨어져 위치하였다. 플라즈마는 13.56 MHz의 주파수에서 약 500 W의 전력 레벨을 샤워헤드에 공급하여 생성되었다. 막은 약 528 Å의 증착 두께의 경우에 약 10 초 동안 약 3168 Å/min의 속도로 증착되었다. 증착된 막은 시험되었고 193 nm 파장에 대해 약 0.369의 흡광 계수(κ) 및 1.776의 n 값의 광학 특성을 나타내었다.
질소-비함유 하드마스크 층은 약 5 Torr의 챔버 압력과 약 400 ℃의 기판 온도에서 후속하는 반응 기체로부터 200 nm 기판 상의 혼합된 선구재료 공정에 의해 증착되었다.
실란(SiH4), 약 375 sccm;
테트라에톡시실란(TEOS), 약 1000 mgm;
헬륨, 약 2,000 sccm
기판은 기체 분배 샤워헤드로부터 약 400 mil 떨어져 위치하였다. 플라즈마는 13.56 MHz의 주파수로 약 500 W의 전력 레벨을 샤워헤드에 공급하여 생성되었다. 막은 약 650 Å의 증착 두께에 대해 약 10 동안 약 3898 Å/min의 속도로 증착되었다. 증착된 막은 시험되었으며 193 nm 파장에 대해 약 1.017의 흡광 계수(κ) 및 1.774의 n 값의 광학 특성을 나타내었다.
이중층 코팅부 예
샘플 질소-비함유 유전체 반사방지 코팅부는 실란(SiH4)과 질소-비함유 산화제를 사용하여 T=350℃에서 평행판 플라즈마-강화 화학 기상 증착(PECVD)에서 증착된다. 써머웨이브 옵티프로브(Thermawave Optiprobe) 모델 5340이 λ=193 nm에서 두께, n, κ값을 측정하는데 사용되었다. 고주파 전력, 압력, 기체 속도 및 서셉터 이격과 같은 처리 파라미터는 광학 특성의 조정가능한 범위를 조사하기 위해 변화되었다. 공지된 광학 특성과 두께를 이용하여 주어진 막 스택에 대해 ARC 막의 두 개의 변수 까지의 함수로서 기판 반사율을 계산할 수 있는 프롤리스 반사 시뮬레이터가 최소 기판 반사율이 주어진 막 스택에 대해 최적의 n, κ 및 두께 세트를 찾는데 사용되었다. 질소-비함유 유전체 반사방지 막의 조성은 러더포드 백 스캐터링 방법(RBS), 수소 포워드 스캐터링(HFS), 핵 반응 분석(NRA)에 의해 분석되었다. 바이오-래드 FTIR 모델 QS-312이 증착된 층의 화학 결합을 연구하는데 사용되었다. 낮은 κ 유전체 SiOCH을 갖는 질소-비함유 유전체 코팅부는 5 kÅ의 SiOCH에서 500Å-800Å의 질소-비함유 유전체 ARC에 의해 m-ELT를 사용하여 시험되었다. 질소-비함유 유전체 ARC는 실리콘 옥시탄화물 또는 탄소-도핑된 실리콘 산화물(SiOCH) 트렌치 에칭을 위해 사용된 CF4 화학제를 이용하여 어플라이드 머티어리얼스 사의 디일렉트릭 에처인 e-Max™에서 에칭되고 CMP 챔버에서 화학-기계적으로 폴리싱되었다.
PECVD 공정에서 질소-비함유 선구재료은 반사방지 코팅부가 질소를 갖지 않게 하며, 이는 RBS/HFS/NRS에 의해 확인되었다. 포토레지스트 포이즈닝이 발생하지 않는 것이 관찰되었고, ARC의 NH2 아민 그룹은 최소화되거나 제거된 것을 나타낸다.
도 5를 참조하면, 질소-비함유 유전체 ARC의 n과 κ은 이중층 ARC를 덮기에 충분히 넓은 범위를 가지며, 반사율을 1 퍼센티지 이하로 최소화시킨다. PECVD 반응기에서 이용가능한 공정 너브(knob) 중에서, SiH4/질소 비함유 산화제의 기체 유량은 n과 κ를 변화시키기 위한 가장 간단한 파라미터이다. 많은 SiH4가 흐를수록, κ는 일정하게 증가한다. 전체적으로, 비록 초기에 n이 증가하더라도, κ이 0.6 이상으로 증가할 때 실제로 감소하는 것이 관찰되었다(도 5 참조). RBS/HFS 분석에 따르면, 실리콘 원자(Si) 함량과 수소 원자(H) 함량은 κ값과 함께 증가하고, 더불어, Si-H 흡수 신호는 FTIR 스펙트럼에 따라 증가하며, 이는 Si-H 결합이 DUV 파장에서 흡수하기에 적합하다는 것을 확인시켜준다.
90 nm 듀얼 다마신 공정에 있어서, 장벽 재료와 낮은 k IMD가 증착되고, 장벽층의 피쳐 충전과 구리 전해 도금 이전에 비아 및 트렌치 에칭에 의해 패터닝된다. 이중층에 대한 n, κ 및 두께의 최적 세트가 낮은 k IMD/장벽 스택을 위한 프롤리스 시뮬레이터를 사용하여 얻어졌다.
예시적인 반사율 콘타우어 맵 세트가 막을 시험하기 위해 사용되었다. 가능한 결과 세트 중 하나는 n=1.78±0.1의 굴절율, κ=0.3±0.05의 흡광 계수, 및 t=250±50Å인 두께를 갖는 상부층 및 n=1.75±0.1의 굴절율, κ=1.0±0.1의 흡광 계수, 및 t=400±100Å인 두께를 갖는 하부층을 갖는 것이다. 상부층에 대해 n, κ, 두께 값의 다른 최적의 세트와 하부층의 매우 넓은 윈도우가 관찰되었다. 이중층 스켐은 매우 넓은 n, κ, 및 두께 윈도우를 제공하며, 단일층보다 낮은 반사율을 제공한다. 이러한 최적의 n 및 κ 윈도우는 질소-비함유 유전체 ARC의 조정 범위 내에 있으며, 적응성 있는 전체 스킴을 가능하게 한다. 단일 웨이퍼 PECVD 반응기에서, 낮은 κ 상부층은 챔버에서 웨이퍼를 이동시키지 않고 높은 κ 하부층에 후속하여 자체에서 연속적으로 증착될 수 있다. 따라서 이중층 질소 비함유 유전체 ARC는 높은 스루풋을 유지하면서 용이하게 증착될 수 있다.
듀얼 다마신 적용에 있어서, 포토레지스트 재료는 O2-애싱으로 알려진 산소-기반 공정에 의해 통상적으로 ARC 코팅부로부터 제거될 수 있으며, 이는 플라즈마-기반 공정일 수 있다. 질소-비함유 ARC 코팅부는 듀얼 다마신 형성 동안 반복된 포토레지스트 증착과 O2-애싱에 영향을 받으며 막의 안정성, 즉 ARC 층의 광학 특성 유지는 이러한 조건 하에서 유지될 필요가 있다. 산화물와 같은 질소-비함유 캐핑 층은 증착, 패터닝, 및 O2 플라즈마에 의해 포토레지스트 재료의 애싱 이전에 질소-비함유 ARC 코팅부 상에 증착된다. 캐핑 층은 ARC 층의 광학 특성울 유지시키기 위해 질소-비함유 유전체 ARC를 보호하도록 약 50 Å 내지 약 100 Å 사이에서 증착될 수 있다. 또한 막 안정성은 증착 후에 질소-비함유 산화 플라즈마 처리에 의해 낮은 κ 질소-비함유 유전체 ARC를 위해 향상될 수 있다. 질소-비함유 유전체 ARC및 SiOCH와 같은 낮은 k IMD 막 사이의 층간 접합은 m-ELT에 의해 시험되었고 동일한 두께에서 표준 SiON 유전체 ARC가 SiOCH와 동일한 것으로 밝혀졌다. 질소-비함유 유전체 ARC Kapp는 0.252 MPa-m1/2보다 컸다. 질소-비함유 유전체 ARC는 낮은 k IMD 비아/트렌치와 같이 동일한 에칭 화학제에 의해 유전체 재료와 유사한 에칭 특성을 갖는다. e-Max™, 에칭 챔버의 SiOCH 트렌치 에칭 CF4 화학제에 의해 N-비함유 유전체 ARC의 에칭 속도가 측정되었다. e-Max™, 에칭 챔버의 SiOCH 트렌치 에칭 CF4 화학제에 의해 측정된 질소-비함유 유전체 ARC의 에칭 속도는 SiOCH 보다 적어도 20퍼센티지 빠르다(표 2 참조). 게다가, N-비함유 유전체 ARC는 FSG와 비교할 만한 폴리싱 재료 제거 속도를 가지며 SiOCH 보다 빠른 것으로 기대되며, 이는 소정의 다공질을 갖는다.
표 2
SiOCH N-비함유_낮은 κ N-비함유_높은 κ SiON FSG
에칭 속도(Å/m) 1 1.2 1.5
폴리싱 속도(Å/m) 1.0 1.3 1.1 1
도 6과 7은 높고 낮은 주파수 혼합을 이용하여 산소 애싱과 수분 흡수에 양호한 내성을 갖는 막 층을 어떻게 제조하는지를 예시한다. 도 6은 3 개의 상이한 흡광 계수 막에 대한 상이한 공정 함수로서 사후 산소 애싱 흡광 계수 감소의 결과를 도시한다. 유사하게, 도 7은 9일간 셰프 라이프 모니터링 후의 흡광 계수 변화를 도시한다. 프로세스는 아르곤에 의한 단일 고주파수, 헬륨에 의한 단일 고주파수, 아르곤에 의한 혼합된 고주파수 및 저주파수, 헬륨에 의한 혼합된 고주파수 및 저주파수 및 느린 증착 속도를 갖는 혼합된 고주파수 및 저주파수를 포함한다. 고주파수는 13.56 MHz이고 저주파수는 1 MHz보다 작다. 혼합된 주파수 플라즈마는 고주파수와 저주파수에 모두 노출된다.
도 8은 3 개의 상이한 흡광 계수 막에 대한 상이한 프로세스의 함수로서 압축 응력 시험 결과를 도시한다. 이러한 결과는 캐리어 기체로서 헬륨을 사용하는 것이 어떻게 높은 압축 응력 값을 만들어내는지를 보여준다. 혼합된 고주파수 및 저주파수 플라즈마는 높은 압축 응력 결과를 갖는다. 가장 낮은 흡광 계수 막은 높은 흡광 계수 막보다 높은 압축성 응력 결과를 갖는다.
표 3은 유전성 반사방지 코팅부 상의 193 nm에서 단면 SEM(XSEM)의 결과를 요약한 것이다.
FTIR
방법 응력 3650 cm-1에서의 Si-OH 리소그래피
MPa 공기 중의 7일 85/85 상부 다운
SION 아니오 아니오 스컴스/푸팅
SF AR LK -50 예 예 양호하지 않음
SF HE LK -104 예 예 양호하지 않음
MF AR LK -156 아니오 아니오 양호하지 않음
MF HE LK -162 아니오 아니오 양호함
MF HE 느림 -258 아니오 아니오 양호함
SF AR MK -43 조금 조금 양호하지 않음
SF HE MK -89 조금 조금 양호하지 않음
MF AR MK -125 아니오 아니오 양호하지 않음
MF HE MK -154 아니오 아니오 양호하지 않음
SF AR HK -26 거의 안함 거의 안함 양호하지 않음
MF HE HK -59 거의 안함 거의 안함 양호하지 않음
MF AR HK -81 아니오 아니오 양호함
MF HE HK -132 아니오 아니오 양호함
표 3. 막 특성 응력, FTIR, 및 리소그래피 시험 결과의 요약
질소 비함유 유전체 반사방지 코팅부에 기본 라디칼이 없게 하는 또 다른 방법은 반사방지 코팅부를 코팅시키는 것이다. 비정질 실리콘 처리된 반사방지 코팅부의 스캐닝 전자 현미경 검사는 관찰가능한 푸팅이 없다는 것을 보여주었다.
상기 설명은 본 발명의 바람직한 실시예들에 관한 것이지만, 본 발명의 다른 추가의 실시예들은 본 발명의 기본 사상을 벗어나지 않고 구현될 수 있으며, 본원 발명의 범위는 하기 청구항들에 의해 결정된다.

Claims (24)

  1. 기판을 처리하기 위한 방법으로서,
    산소-비함유 실란-기반 화합물과 산소-함유 유기실리콘 화합물을 포함하는 처리 가스를 처리 챔버로 유입시키는 단계 ― 상기 산소-비함유 실란-기반 화합물은 화학식 SiXH2X+2 또는 SiXCl2X+2를 가지며, X는 1 내지 4임 ―; 및
    상기 기판상에 질소-비함유 유전체 재료를 증착하기 위해 상기 처리 가스를 반응시키는 단계 ― 상기 유전체 재료는 실리콘 및 산소를 포함함 ―
    를 포함하는, 기판 처리 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 산소-함유 유기실리콘 화합물은 테트라에톡시실란(TEOS), 트리에톡시플루오로실란(TEFS), 1,3,5,7-테트라메틸사이클로테트라실록산(TMCTS), 디메틸디에톡시실란, 및 이들의 조합물의 그룹으로부터 선택되는, 기판 처리 방법.
  4. 제 3 항에 있어서,
    상기 산소-비함유 실란-기반 화합물 대 상기 산소-함유 유기실리콘 화합물의 비율은 1 sccm:20 mgm 내지 6 sccm:5 mgm 사이인, 기판 처리 방법.
  5. 제 1 항에 있어서,
    상기 유전체 재료는 실리콘, 산소, 및 탄소를 포함하며, 15 원자 퍼센티지 내지 50 원자 퍼센티지 산소 사이의 산소 함량을 갖는, 기판 처리 방법.
  6. 제 1 항에 있어서,
    상기 처리 가스는 불활성 가스, 산소 및 탄소 소스, 또는 이들의 조합물들을 더 포함하고, 상기 불활성 가스는 아르곤, 헬륨, 네온, 크세논 또는 크립톤, 및 이들의 조합물들의 그룹으로부터 선택되며, 상기 산소 및 탄소 소스는 일산화탄소, 이산화탄소, 및 이들의 조합물들로 이루어진 그룹으로부터 선택되는, 기판 처리 방법.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 제 1 항에 있어서,
    포토레지스트 재료를 상기 유전체 재료 상에 증착시키는 단계; 및
    상기 포토레지스트 층을 패터닝하는 단계
    를 더 포함하는, 기판 처리 방법.
  13. 제 12 항에 있어서,
    상호접속 개구부를 형성하기 위해 상기 유전체 재료와 임의의 하부 유전체 재료를 관통되게 에칭하는 단계; 및
    상기 상호접속 개구부를 충전하기 위해 하나 이상의 도전 재료들을 증착하는 단계
    를 더 포함하는, 기판 처리 방법.
  14. 제 12 항에 있어서,
    상기 처리 가스는 불활성 가스, 산소 및 탄소 소스, 또는 이들의 조합물들을 더 포함하고, 상기 불활성 가스는 아르곤, 헬륨, 네온, 크세논, 크립톤, 및 이들의 조합물들로 이루어진 그룹으로부터 선택되며, 상기 산소 및 탄소 소스는 일산화탄소, 이산화탄소, 및 이들의 조합물들로 이루어진 그룹으로부터 선택되는, 기판 처리 방법.
  15. 삭제
  16. 삭제
  17. 제 1 항에 있어서,
    상기 증착된 질소-비함유 유전체 재료는 1.5 내지 2.2 사이의 굴절률 및 0.3 내지 0.9 사이의 흡광 계수를 갖는, 기판 처리 방법.
  18. 삭제
  19. 제 12 항에 있어서,
    상기 처리 가스를 반응시키는 단계는 고주파 RF 전력 용량, 저주파 용량 또는 양자 모두를 갖는 RF 전력 소스로 플라즈마를 발생시키는 단계를 포함하는, 기판 처리 방법.
  20. 제 1 항에 있어서,
    상기 질소-비함유 유전체 층은 4:1 또는 그 이상의 산화물 대 하드마스크의 에칭 선택도를 갖는 하드마스크층인, 기판 처리 방법.
  21. 제 12 항에 있어서,
    상기 산소-비함유 실란-기반 화합물은 실란, 디실란, 클로로 실란, 디클로로디실란, 및 이들의 조합물들의 그룹으로부터 선택되는, 기판 처리 방법.
  22. 제 12 항에 있어서,
    상기 증착된 질소-비함유 유전체 재료는 1.5 내지 2.2 사이의 굴절률 및 0.3 내지 0.9 사이의 흡광 계수를 갖는, 기판 처리 방법.
  23. 제 1 항에 있어서,
    상기 증착된 질소-비함유 유전체 재료를 어닐링 프로세스 또는 플라즈마 처리 프로세스에 노출시키는 단계를 더 포함하는, 기판 처리 방법.
  24. 제 1 항에 있어서,
    상기 처리 가스를 반응시키는 단계는 고주파 RF 전력 용량, 저주파 용량 또는 양자 모두를 갖는 RF 전력 소스로 플라즈마를 발생시키는 단계를 포함하는, 기판 처리 방법.
KR1020057010820A 2002-12-13 2003-12-10 질소-비함유 유전성 반사방지 코팅부 및 하드마스크 KR101106425B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US43344502P 2002-12-13 2002-12-13
US60/433,445 2002-12-13
US52625903P 2003-12-01 2003-12-01
US60/526,259 2003-12-01
PCT/US2003/039270 WO2004055881A1 (en) 2002-12-13 2003-12-10 Nitrogen-free dielectric anti-reflective coating and hardmask

Publications (2)

Publication Number Publication Date
KR20050084286A KR20050084286A (ko) 2005-08-26
KR101106425B1 true KR101106425B1 (ko) 2012-01-18

Family

ID=32600149

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057010820A KR101106425B1 (ko) 2002-12-13 2003-12-10 질소-비함유 유전성 반사방지 코팅부 및 하드마스크

Country Status (2)

Country Link
KR (1) KR101106425B1 (ko)
WO (1) WO2004055881A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004012798A1 (de) 2004-03-16 2005-10-06 Advanced Micro Devices, Inc., Sunnyvale Stickstofffreie antireflektierende Beschichtung und Verfahren zur Herstellung derselben
DE102004036753B4 (de) 2004-07-29 2008-11-06 Advanced Micro Devices Inc., Sunnyvale Verfahren zur Herstellung einer stickstofffreien ARC-Deckschicht
DE102004042169B4 (de) 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US10998259B2 (en) 2017-08-31 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010009812A (ko) * 1999-07-14 2001-02-05 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
KR20020041320A (ko) * 2000-11-27 2002-06-01 조셉 제이. 스위니 오가노실리케이트층의 증착방법

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6156674A (en) * 1998-11-25 2000-12-05 Micron Technology, Inc. Semiconductor processing methods of forming insulative materials
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6252295B1 (en) * 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
US6492731B1 (en) * 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010009812A (ko) * 1999-07-14 2001-02-05 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
KR20020041320A (ko) * 2000-11-27 2002-06-01 조셉 제이. 스위니 오가노실리케이트층의 증착방법

Also Published As

Publication number Publication date
WO2004055881A1 (en) 2004-07-01
KR20050084286A (ko) 2005-08-26

Similar Documents

Publication Publication Date Title
US6927178B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
US6541397B1 (en) Removable amorphous carbon CMP stop
US7115534B2 (en) Dielectric materials to prevent photoresist poisoning
KR100960755B1 (ko) 다마신 분야에서 유전체 재료를 증착하는 방법
KR101124781B1 (ko) 층간 부착 개선 방법
US7638440B2 (en) Method of depositing an amorphous carbon film for etch hardmask application
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US20050277302A1 (en) Advanced low dielectric constant barrier layers
US20050250346A1 (en) Process and apparatus for post deposition treatment of low k dielectric materials
US20050042889A1 (en) Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP2001110789A (ja) 集積した低k誘電体層とエッチング停止層
WO2011106218A2 (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
US20060006140A1 (en) Hermetic low dielectric constant layer for barrier applications
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
KR101106425B1 (ko) 질소-비함유 유전성 반사방지 코팅부 및 하드마스크
CN100481344C (zh) 无氮介电防反射涂层和硬掩模
WO2004104698A2 (en) Dielectric materials to prevent photoresist poisoning

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 9