KR20020013771A - 유기규산염층의 증착 방법 - Google Patents

유기규산염층의 증착 방법 Download PDF

Info

Publication number
KR20020013771A
KR20020013771A KR1020010048231A KR20010048231A KR20020013771A KR 20020013771 A KR20020013771 A KR 20020013771A KR 1020010048231 A KR1020010048231 A KR 1020010048231A KR 20010048231 A KR20010048231 A KR 20010048231A KR 20020013771 A KR20020013771 A KR 20020013771A
Authority
KR
South Korea
Prior art keywords
sic
range
layer
deposition chamber
organosilicate
Prior art date
Application number
KR1020010048231A
Other languages
English (en)
Other versions
KR100857664B1 (ko
Inventor
프레데릭 가일라
리-쿤 시아
티안-호 림
엘리 이에
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20020013771A publication Critical patent/KR20020013771A/ko
Application granted granted Critical
Publication of KR100857664B1 publication Critical patent/KR100857664B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Materials For Photolithography (AREA)

Abstract

본원에는 유기규산염층을 형성하는 방법이 개시되어 있다. 유기규산염층은 페닐 기저 실란 혼합물을 포함하는 가스 혼합물에 전기장을 가함으로써 형성된다. 가스 혼합물은 선택적으로 산화 가스를 포함한다. 유기규산염층은 집적 회로 제조 공정에 사용된다. 집적 회로 제조 공정에서, 유기규산염층은 반사 방지 코팅(ARC)으로 사용된다. 또다른 집적 회로 제조 공정에서, 유기규산염층은 다마신 구조물 내에 형성된다.

Description

유기규산염층의 증착 방법 {METHOD OF DEPOSITING ORGANOSILICATE LAYERS}
본 발명은 유기규산염층, 집적 회로의 제조 공정에서 유기규산염층의 사용,및 유기규산염층을 형성하는 방법에 관한 것이다.
집적 회로는 단일 칩 상에 수백만 개의 소자(예를 들어, 트랜지스터, 캐패시터 및 저항기)를 포함할 수 있는 복잡한 장치로 발전되어 왔다. 칩 설계의 발전은 끊임 없이 보다 신속한 회로와 보다 큰 회로 밀도를 요구한다. 보다 큰 회로 밀도를 얻기 위해서는 집적 회로 소자의 치수를 감소시킬 필요가 있다.
집적 회로 소자의 치수가 감소될 때(예를 들어, 1 미크론 이하의 치수), 그러한 소자를 제조하는데 사용되는 재료는 소자들의 전기적 성능에 영향을 준다. 예를 들어, 낮은 저항을 갖는 금속 상호연결부(예를 들어, 구리 및 알루미늄)는 집적 회로 상의 소자 사이에 도전성 경로를 제공한다. 일반적으로, 금속 상호연결부는 절연 재료에 의해 서로 전기적으로 절연되어 있다. 인접한 금속 상호연결부 사이의 거리 및/또는 절연 재료의 두께가 1 미크론 이하일 때, 전기 용량성 결합이 잠재적으로 이들 상호 연결부 사이에 발생한다. 인접한 금속 상호연결부 사이의 전기용량성 결합은 집적 회로의 전체적인 성능을 낮추는 저항 용량(RC) 지연 및/또는 누화를 야기한다. 인접한 금속 상호 연결부 사이의 전기용량성 결합을 방지하기 위해, 낮은 유전체 상수를 갖는 절연 재료(예를 들어, 약 4.5 이하의 유전체 상수)가 필요하다.
보다 큰 집적 회로의 밀도를 얻기 위해서는 집적 회로의 제조에 사용되는 제조 공정(process sequence)이 요구된다. 예를 들어, 통상의 리소그래피 공정을 사용하는 제조 공정에서, 에너지에 민감한 레지스트층은 기판 상의 재료 적층 상에 형성된다. 이러한 많은 하부 재료층은 자외선을 반사한다. 이러한 반사는 에너지에 민감한 레지스트 재료에 형성된 라인 및 비어와 같은 미세구조물의 치수를 변형시킬 수 있다.
하부 재료층으로부터의 반사를 최소화시키도록 제안된 한 기술은 반사 방지 코팅(ARC)을 사용한다. ARC는 레지스트 패턴화에 앞서 반사 재료 상에 형성된다. ARC는 에너지에 민감한 레지스트 층에 정확한 패턴 복제가 제공되는 한, 레지스트 이미지 중에 하부 재료층으로부터의 반사를 막는다.
그러므로, 본 발명은 집적 회로의 제조에 적합한 낮은 유전체 상수를 갖는 재료, 특히 ARC인 낮은 유전체 상수를 갖는 재료를 제공하고자 하는 것이다.
도 1은 본원에서 개시된 실시예를 실시하기 위해 사용될 수 있는 장치의 개략적 설명도.
도 2는 화학 증착(CVD) 챔버의 개략적 횡단면도.
도 3a 내지 도 3e는 반사 방지 코팅으로서 유기규산염층을 형성하는 상이한 집적 회로 제조 단계에서 기판의 개략적 횡단면도.
도 4a 내지 도 4d는 다마신 구조물 내에 유기규산염층을 형성하는 상이한 집적 회로 제조 단계에서 다마신 구조물의 개략적 횡단면도.
※ 도면의 주요부분에 대한 설명※
35 : 웨이퍼 처리 시스템 36 : 처리 챔버
38, 40, 41 : 처리 챔버 46 : 로드-락 챔버
50 : 수송 챔버 51 : 수송 로봇
54 : 마이크로 프로세서 제어기
본 발명에 의해 집적 회로에 사용되기 위한 유기규산염층을 형성하는 방법이 제공된다. 본 발명에 따른 일 실시예에서, 유기규산염층은 페닐 기저 실란 혼합물을 포함하는 가스 혼합물에 전기장을 가함으로써 형성된다. 가스 혼합물은 선택적으로 산화 가스를 포함한다.
유기규산염층은 집적 회로 제조 공정에 사용된다. 집적 회로 제조 공정에서, 유기규산염층은 DUV 리소그래피용 반사 방지 코팅(ARC)으로서 사용된다. 이러한 실시예에서, 바람직한 제조 공정은 기판 상에 유기규산염층을 형성하는 단계를 포함한다. 유기규산염층은 약 250㎚ 이하의 파장에서 약 1.20 내지 약 1.70 범위의 굴절율(n)과 약 0.1 내지 약 0.7 범위의 흡수 계수(k)를 갖는다. 굴절율(n)과 흡수 계수(k)는 층의 형성 중에 사용되는 가스의 조성 뿐만 아니라 증착 온도의 함수로서 소정의 범위로 변화될 수 있다는 점에서, 유기규산염층에 대한 굴절율(n)과 흡수 계수(k)는 조절 가능하다. 유기규산염층이 기판 상에 형성된 후에, 에너지에 민감한 레지스트 재료 층이 유기규산염층 상에 형성된다. 약 250㎚ 이하의 파장에서 패턴이 에너지에 민감한 레지스트에 형성된다. 그후, 에너지에 민감한 레지스트 재료에 형성된 패턴은 유기규산염층으로 전달된다. 유기규산염층이 패턴화된 후, 이러한 패턴은 하드마스크로서 유기규산염 ARC층을 사용하여 기판 내에 선택적으로 전달된다.
또다른 집적 회로 제조 공정에서, 유기규산염층은 다마신 구조물 내에 형성된다. 이러한 실시예에서, 바람직한 제조 공정은 기판 상에 제 1 유전체층을 형성하는 단계를 포함한다. 유기규산염층은 그후 제 1 유전체층 상에 형성된다. 그후, 유기규산염층은 유기규산염층을 통해 콘택트/비어를 형성하도록 패턴화되고 에칭된다. 유기규산염층이 패턴화되고 에칭된 후에, 제 2 유전체층이 유기규산염층 상에 형성된다. 제 2 유전체층은 제 2 유전체층을 통해 상호연결부를 형성하도록 패턴화되고 에칭된다. 제 2 유전체층 내에 형성된 상호연결부는 유기규산염층 내에 형성된 콘택트/비어 상에 위치된다. 상호연결부가 형성된 후에 유기규산염층 내에 형성된 콘택트/비어는 기판 표면에 있는 제 1 유전체층을 통해 에칭된다. 그후, 다마신 구조물은 상호연결부와 콘택트/비어를 도전성 재료로 충진함으로써 완성된다.
본 발명의 개시 내용은 첨부 도면과 함께 후술될 상세한 설명을 고려함으로써 용이하게 이해될 수 있다.
도 1은 본 발명의 실시예에 따른 집적 회로의 제조를 수행하는데 사용될 수 있는 웨이퍼 처리 시스템(35)의 개략적 도면이다. 상기 장치는 일반적으로 전력 공급원(도시 않음)과 진공 펌프(도시 않음)와 같은 다른 하드웨어 부품과 함께 처리 챔버(36, 38, 40, 41), 로드-락 챔버(46), 수송 챔버(50), 마이크로프로세서 제어기(54)를 포함한다. 이러한 웨이퍼 처리 시스템(35)의 한 예는 캘리포니아, 산타 클라라 소재의 어플라이드 머티어리얼스(Applied Materials)사로부터 제조되어 상업적으로 이용되고 있는 센츄라(CENTURA, 등록 상표) 시스템이다.
본 발명에서 사용된 웨이퍼 처리 시스템(35)의 상세한 설명은 본원에 참조되고 1993년 2월 16일에 허여되고 공동 양도된 "단계화된 진공 기판 처리 시스템 및 방법"이라는 명칭의 미국 특허 제 5,186,718호에 개시된다. 상기 시스템(35)의 현저한 특징은 후술된다.
웨이퍼 처리 시스템(35)은 수송 로봇(51)을 갖춘 수송 챔버(50)를 포함한다. 수송 챔버(50)는 처리 챔버(36, 38, 40, 41)의 클러스터 뿐만 아니라 로드-락 챔버(46)에 연결된다. 기판(도시 않음)은 로드-락 챔버(46)를 통해 웨이퍼 처리 시스템(35) 내에 장착된다. 그후, 수송 로봇(51)은 기판을 하나 이상의 처리 챔버(36, 38, 40, 41) 사이로 이동시킨다.
처리 챔버(36, 38, 40, 41)는 다양한 집적 회로의 제조 공정을 수행하기 위해 사용된다. 예를 들어, 처리 챔버(36, 38, 40, 41)는 물리 증착(PVD) 챔버, 이온화된 금속 플라즈마 물리 증착(IMP PVD), 화학 증착(CVD) 챔버, 급속온도승강 가열(RTP) 챔버, 및 반사 방지 코팅(ARC) 챔버를 포함할 수도 있다.
도 2는 웨이퍼 처리 시스템(35) 중 화학 증착(CVD) 처리 챔버(36)의 개략적 횡단면도를 도시한다. CVD 처리 챔버(36)는 여기서 개시된 실시예에 따라서 유기규산염층을 증착하는데 사용된다. 이러한 CVD 처리 챔버(36)의 예는 캘리포니아 산타클라라 소재의 어플라이드 머티어리얼스(Applied Materials)사로부터 제조되어 상업적으로 이용되고 있는 디엑스지(DXZ, 등록 상표) 챔버이다.
CVD 처리 챔버(36)는 일반적으로 전력 공급원과 진공 펌프와 같은 다른 하드웨어 부품과 함께, 가스 패널(130), 제어 장치(110)를 포함한다. CVD 처리 챔버(36)의 상세한 설명은 본원에 참조되며 1998년 12월 14일 출원되고 공동 양도된 "고온 화학 증착 챔버"라는 명칭의 미국 출원 번호 제 09/211,998호에서 개시된다. CVD 처리 챔버(36)의 현저한 특징은 간단히 후술된다.
CVD 처리 챔버(36)는 일반적으로 반도체 웨이퍼(190)와 같은 기판을 지지하도록 사용되는 지지 받침대(150)를 수용한다. 이러한 받침대(150)는 일반적으로 이동 기구(도시 않음)를 사용하여 챔버(36) 내에서 수직 방향으로 이동될 수 있다. 특정 공정에 따라, 웨이퍼(190)는 층의 증착 전에 소정의 온도로 가열될 수 있다. 예를 들어, 웨이퍼 지지 받침대(150)는 내장된 가열 소자(170)에 의해 가열된다. 받침대(150)는 전류를 AC 공급원(106)으로부터 가열 소자(170)로 가함으로써 전기 저항에 의해 가열된다. 웨이퍼(190)은 차례로 받침대(150)에 의해 가열된다. 또한 열전쌍과 같은 온도 센서(172)는 받침대(150)의 온도를 통상적인 방식으로 감시하도록 웨이퍼 지지 받침대(150) 내에 내장된다. 측정 온도는 가열 소자(170)를 위한 전력 공급원(16)을 제어하기 위해 피드백 고리로 사용되어, 웨이퍼의 온도는특정한 공정의 응용에 적합한 소정의 온도로 유지 또는 제어될 수 있다. 받침대(150)는 플라즈마를 사용하거나 복사열(도시 않음)에 의해 선택적으로 가열된다.
진공 펌프(102)는 처리 챔버(36)를 진공시키고 챔버(36) 내의 적절한 가스 유동과 압력을 유지시키기 위해 사용된다. 샤워헤드(120)는 웨이퍼 지지 받침대(150) 상에 위치되는데, 상기 샤워헤드(120)를 통해 처리 가스가 챔버(36) 내로 유입된다. 샤워헤드(120)는 처리 공정의 상이한 단계에서 사용되는 다양한 가스를 제어하고 공급하는 가스 패널(130)에 연결된다. 샤워헤드(120)와 웨이퍼 받침대(150)는 또한 한쌍의 이격된 전극을 형성한다. 전기장이 이러한 전극 사이에 형성될 때, 챔버(26) 내에 유입된 처리 가스는 점화되어 플라즈마를 형성한다. 일반적으로, 전기장은 정합 회로망(도시 않음)을 통해 웨이퍼 지지 받침대(150)를 RF 전력 공급원(도시 않음)에 연결시킴으로써 생성된다. 선택적으로, RF 전력 공급원과 정합 회로망은 샤워헤드(120)에 연결되거나 샤워헤드(120)와 웨이퍼 지지 받침대(150) 모두에 연결될 수도 있다.
플라즈마 여기 화학 증착(PECVD) 기술은 전기장을 기판 표면 주위의 반응 영역에 가함으로써 반응 가스의 여기 및/또는 해리를 촉진시켜, 반응종의 플라즈마를 생성한다. 플라즈마 내 종의 반응성은 화학 반응이 발생하는데 요구되는 에너지를 감소시켜, 이러한 PECVD 공정에 요구되는 온도를 효과적으로 낮춘다.
본 발명에 따른 하나 이상의 실시예에서, 유기규산염층의 증착은 페닐메틸 실란과 같은 페닐 기저 실란 화합물의 플라즈마 여기 산화에 의해 수행된다. 페닐기저 실란 화합물은 가스 패널(130)의 제어하에서 조절된 유동을 갖는 가스로서 처리 챔버(36) 내에 유입된다.
가스 패널(130)을 통한 가스 유동은 질량 유동 제어기(도시 않음)와 컴퓨터(도시 않음)에 의해 적절히 제어되고 조절된다. 샤워헤드(120)는 가스 패널(130)로부터의 처리 가스가 처리 챔버(100) 내에 균일하게 유입되고 분포되게 한다.
도 1을 참조하면, CVD 처리 챔버(36)는 마이크로프로세서 제어기(54)에 의해 제어된다. 마이크로프로세서 제어기(54)는 다양한 챔버와 서브프로세서를 제어하기 위한 공업적 설치에 사용될 수 있는 소정 형태의 범용 컴퓨터 프로세서(CPU) 중의 하나이다. 컴퓨터는 임의 액세스 기억장치(RAM), 읽기용 기억 장치(ROM), 플로피 디스크 드라이브, 하드 디스크, 또는 임의의 다른 디지탈 저장매체, 국부적 또는 원격 작동의 저장매체와 같은 소정의 적절한 저장 매체를 사용할 수도 있다. 다양한 지지 회로가 프로세서를 지지하는 CPU에 통상적인 방식으로 연결될 수도 있다. 요구된 소프트웨어 루틴은 저장 매체 내에 저장되거나 원격 작동으로 위치된 제 2 CPU에 의해 실행될 수도 있다.
기판이 받침대 상에 위치된 후에 소프트웨어 루틴이 실행된다. 소프트웨어 루틴이 실행될 때, 소프트웨어 루틴은 범용 컴퓨터를 챔버의 작동을 제어하는 특정 처리 컴퓨터로 변환시켜 챔버의 처리가 수행된다. 선택적으로, 본 발명의 방법은 특정 집적 회로 응용 또는 다른 형태의 하드웨어 실행으로서 하드웨어 또는 소프트웨어 또는 하드웨어의 조합으로서 수행될 수도 있다.
유기규산염층의 증착
본 발명의 일 실시예에서, 유기규산염층은 페닐 기저 실란 화합물을 포함하는 가스 혼합물에 전기장을 가함으로써 형성된다. 페닐 기저 실란 화합물은 SiCaObHc의 일반식을 가지며, 여기서 a는 6 내지 30의 범위를 가지며, b는 0 내지 3의 범위를 가지며, c는 9 내지 30의 범위를 갖는다. 예를 들어, 페닐실란(SiC6H9), 페닐메틸실란(SiC7H11), 페닐에틸실란(SiC8H13), 페닐메틸에틸실란(SiC9H15), 페닐메톡시실란(SiC7OH11), 페닐에톡시실란(SiC8OH13), 페닐메틸에톡시실란(SiC9OH13), 페닐메톡시에톡시실란(SiC9O2H13)이 페닐 기저 실란 화합물로서 사용될 수도 있다.
가스 혼합물은 선택적으로 산화 가스를 포함할 수도 있다. 산소(O2), 오존(O3), 일산화질소(N2O), 일산화탄소(CO), 이산화탄소(CO2), 질소(N2) 또는 이들의 화합물이 산화 가스로서 사용될 수도 있다.
일반적으로, 다음의 증착 공정 변수는 도 2에 도시된 유사한 CVD 처리 챔버를 사용하여 유기규산염층을 형성하는데 사용될 수 있다. 처리 변수는 약 100℃ 내지 약 400℃ 범위의 웨이퍼 온도, 약 1토르 내지 약 10토르 범위의 챔버 압력, 약 400mgm 내지 약 1000mgm 범위의 페닐 기저 실란 화합물 가스의 유동율, 약 1sccm 내지 약 500sccm 범위의 산화 가스의 유동율, 및 약 50와트 내지 약 500와트 범위의 RF 전력이다. 상기 공정 변수는 어플라이드 머티어리얼스사로부터 제조되어 이용되고 있는 증착 챔버 내에서 200㎜ 기판 상에서 수행될 때 유기규산염층에 대해 약 1000Å/분 내지 약 4000Å/분 범위의 증착율을 제공한다.
다른 증착 챔버도 본 발명의 범위 내에 있으며, 전술된 변수는 유기규산염층을 형성하기 위해 사용된 특정 증착 챔버에 따라 변할 수도 있다. 예를 들어, 다른 증착 챔버는 더 크거나 작은 부피를 가질 수도 있고, 어플라이드 머티어리얼스사로부터 제조되어 이용되고 있는 인용된 증착 챔버보다 크거나 작은 가스 유동율을 요구할 수도 있으며, 300㎜ 기판을 수용하도록 형성될 수도 있다.
증착된 유기규산염층은 약 3.0 이하의 유전체 상수를 가지며, 집적 회로 내에서 절연 재료로 사용되기에 적합하다. 유기규산염층의 유전체 상수는 반응 온도의 함수로서 약 2.0 내지 약 3.0 범위로 변할 수 있다는 점에서 조절 가능하다. 특히, 온도가 증가함에 따라 증착된 층의 유전체 상수는 감소한다.
유기규산염층의 유전체 상수는 또한 층의 형성 중에 가스 혼합물의 조성의 함수로서 조절될 수 있다. 가스 혼합물 내의 탄소(C) 농도가 증가함에 따라, 증착된 유기규산염층의 C 함유량은 증가하고, 유전체 상수를 감소시킨다.
또한 유기규산염층은 약 250㎚ 이하의 파장에서 약 0.1 내지 약 0.7 범위로 조절 가능한 흡수 계수를 갖는다. 흡수 계수는 반응 온도의 함수로서 조절 가능하다. 특히, 온도가 증가함에 따라 증착된 층의 흡수 계수는 증가한다.
집적 회로의 제조 공정
A. 유기규산염 반사 방지 코팅(ARC)
도 3a 내지 도 3e는 하드 마스크로서 플루오르 유기규산염층을 형성하는 집적 회로의 제조 공정의 상이한 단계에서 기판(200)의 개략적 횡단면도를 도시한다. 일반적으로, 기판(200)은 처리 작업이 수행되는 소정의 피가공재를 지칭하며, 기판구조(250)는 기판(200) 상에 형성된 다른 재료층과 함께 기판을 나타내기 위해 일반적으로 사용된다. 특정 처리 단계에 따라, 기판(200)은 규소 기판, 또는 기판 상에 형성된 다른 재료층과 일치한다. 예를 들어, 도 3a는 통상적으로 형성된 재료층(202)을 갖는 기판 구조(250)의 횡단면도를 도시한다. 재료층(202)은 산화물(예를 들어, 이산화규소)일 수도 있다. 일반적으로, 기판(200)은 규소, 규화물, 금속, 또는 다른 재료의 층을 포함할 수도 있다. 도 3a는 기판(200)이 이산화규소층을 갖는 규소인 실시예를 도시한다.
도 3b는 도 3a의 기판 구조(250) 상에 형성된 유기규산염층(204)을 도시한다. 유기규산염층(204)은 전술된 처리 변수에 따라 기판 구조(250) 상에 형성된다. 유기규산염층의 두께는 특정 처리 단계에 따라 변화될 수 있다. 일반적으로, 유기규산염층은 약 400Å 내지 약 700Å 범위의 두께로 증착된다.
에너지에 민감한 레지스트 재료층(208)은 유기규산염층(204) 상에 형성된다. 에너지에 민감한 레지스트 재료(208)층은 약 4,000Å 내지 약 10,000Å 범위 내의 두께로 기판상에 스핀 코팅될 수 있다. 대부분의 에너지에 민감한 레지스트 재료는 약 450㎚ 이하의 파장을 갖는 자외선 복사에 민감하다. 심자외선(Deep ultraviolet, DUV) 레지스트 재료는 약 245㎚ 이하의 파장을 갖는 자외선 복사에 민감하다.
제조 공정에 사용되는 에너지에 민감한 레지스트 재료의 에칭 화학에 따라, 중간층(206)이 에너지에 민감한 레지스트 재료(208)층에 앞서 유기규산염층(204) 상에 형성된다. 에너지에 민감한 레지스트 재료(208)와 유기규산염층(204)이 동일한 화학적 에칭액을 사용하여 에칭될 때, 중간층(206)은 유기규산염층(204)을 위한 마스크로서 작용한다. 중간층(206)은 통상적으로 유기규산염층(204) 상에 형성된다. 중간층(206)은 산화물, 질화물, 규소 산화질화물, 비정질 규소, 또는 다른 적절한 재료일 수도 있다.
패턴의 이미지는 이러한 에너지에 민감한 레지스트 재료(208)을 마스크(210)를 통해 DUV 복사에 노광시킴으로써 에너지에 민감한 레지스트 재료(208)층에 도입된다. 에너지에 민감한 레지스트 재료(208)층에 도입된 패턴의 이미지는 도 3c에 도시된 것처럼 패턴을 형성하는 적절한 현상기에서 현상된다.
도 3d를 참조하면, 에너지에 민감한 레지스트 재료(208)에 형성된 패턴은 유기규산염층(204)을 통해 전사된다. 패턴은 에너지에 민감한 레지스트 재료(208)를 마스크로 사용하여 유기규산염층(204)을 통해 전사된다. 패턴은 적절한 화학적 에칭액을 사용하여 유기규산염층(204)을 통해 전사된다. 예를 들어, 플루오르메탄(CF4), 플루오르에탄(C2F6), 및 플루오르부텐(C4F8)과 같은 플루오르화탄소 화합물이 유기규산염층(204)을 화학적으로 에칭하는데 사용될 수도 있다.
선택적으로, 중간층(206)이 존재할 때, 에너지에 민감한 레지스트 재료(208)에 형성된 패턴은 마스크로서 에너지에 민감한 레지스트 재료(208)를 사용하여 중간층(206)을 통해 전사된다. 그후, 패턴은 마스크로서 중간층(206)을 사용하여 유기규산염층(204)을 통해 전사된다. 패턴은 적절한 화학적 에칭액을 사용하여 유기규산염층(204) 뿐만 아니라 중간층(206) 모두를 통해 전사된다.
도 3e는 하드마스크로서 유기규산염층을 사용하여 이산화규소층(202)을 통해 유기규산염층(204)에 형성된 패턴의 전사에 의해 집적 회로의 제조 공정의 완성을 도시한다.
이산화규소층(202)이 패턴화된 후에, 유기규산염층(204)은 적절한 화학적 에칭액에 에칭됨으로써 기판(200)으로부터 선택적으로 벗겨질 수 있다.
B. 유기규산염층을 형성하는 다마신 구조
도 4a 내지 도 4d는 유기규산염층을 형성하는 다마신 구조의 제조 공정의 상이한 단계에서 기판(300)의 개략적 횡단면도를 도시한다. 다마신 구조는 일반적으로 집적 회로 상에 금속 상호연결부를 형성하도록 사용된다. 처리 공정의 특정 단계에 따라, 기판(300)은 규소 기판, 또는 기판(300) 상에 형성된 다른 금속 재료와 일치할 수도 있다. 예를 들어, 도 4a는 기판(300) 상에 형성된 제 1 유전체층(302)을 갖는 기판(300)의 횡단면도를 도시한다. 제 1 유전체층(302)은 산화물(예를 들어, 이산화규소, 플루오르규산염 유리)일 수도 있다. 일반적으로, 기판(300)은 규소, 규산염, 금속, 또는 다른 재료층읖 포함할 수도 있다.
도 4a는 기판(300)이 기판(300) 상에 형성된 플루오르규산염 유리를 갖는 규소인 일 실시예를 도시한다. 제 1 유전체층(302)은 제조될 구조의 크기에 따라 약 5,000Å 내지 약 10,000Å 범위의 두께를 갖는다.
유기규산염층(304)은 제 1 유전체층(302) 상에 형성된다. 유기규산염층(304)은 전술된 처리 변수에 따라 제 1 유전체층(302) 상에 형성된다. 다마신 구조 내에 형성된 금속 상호연결부 사이의 전기용량성 결합을 방지하거나최소화시키기 위해, 유기규산염층(304)은 약 3.0 이하의 유전체 상수를 갖는다. 유기규산염층에 대한 유전체 상수는 층의 형성 중에 가스 혼합물의 조성 뿐만 아니라 반응 온도의 함수로서 소정의 범위로 변화될 수 있다는 점에서 조절 가능하다.
유기규산염층(304)의 두께는 특정 처리 단계에 따라 변할 수 있다. 일반적으로, 유기규산염층(304)은 약 200Å 내지 약 1000Å 범위의 두께를 갖는다.
도 4b를 참조하면, 유기규산염층(304)은 패턴화 및 에칭되어 콘택트/비어 개구(306)를 형성하고 콘택트/비어가 형성되는 영역에서 제 1 유전체층(302)을 노광시킨다. 유기규산염층(304)은 통상적인 리소그래피를 사용하여 패턴화되고 플루오르메탄(CF4), 플루오르에탄(C2F6), 및 플루오르부텐(C4F8)과 같은 플루오르화합물을 사용하여 에칭된다.
유기규산염층(304)이 패턴화된 후에, 제 2 유전체층(308)이 유기규산염층(304) 상에 증착된다. 제 2 유전체층(308)은 산화물(예를 들어, 이산화규소, 플루오르규산염 유리)일 수도 있다. 제 2 유전체층(308)은 약 5,000Å 내지 약 5,000Å 범위의 두께를 갖는다.
제 2 유전체층(308)은 바람직하게 통상적인 리소그래피 공정을 사용하여 도 4c에 도시된 것처럼 상호연결부 라인(310)을 형성하도록 패턴화된다. 제 2 유전체층(308) 내에 형성된 상호연결부(310)는 유기규산염층(304) 내의 콘택트/비어 개구(306) 상에 위치된다. 그후, 상호연결부(310)와 콘택트/비어(306)는 반응성 이온 에칭법 또는 다른 이방성 에칭 기술을 사용하여 에칭된다.
도 4d를 참조하면, 상호연결부(310)와 콘택트/비어(306)는 알루미늄, 구리, 텅스텐, 또는 이들의 조합물과 같은 도전성 재료로 충진된다. 일반적으로, 구리는 낮은 저항(약 1.7μ㎝의 저항)으로 인해 상호연결부(310)를 충진하는데 사용된다. 도전성 재료(314)는 다마신 구조를 형성하기 위해 화학 증착, 물리 증착, 전기 도금, 또는 이들의 조합을 사용하여 증착된다. 바람직하게, 탄탈, 탄탈 질화물, 또는 다른 적절한 배리어 재료와 같은 배리어층(316)은 우선 유기규산염층(304) 뿐만아니라 주변 유전체층(302, 308) 내로 금속의 이동을 방지하기 위해 상호연결부(310)와 콘택트/비어(306)의 측벽 상에 정합 증착된다.
본 발명의 개시 내용을 구현하는 다양한 바람직한 실시예가 상세하게 도시되고 설명되었지만, 당업자는 이러한 개시 내용을 구현하는 다양한 변화된 실시예를 용이하게 창작할 수 있다.
따라서, 본 발명에 의해 집적 회로의 제조에 적합한 낮은 유전체 상수를 갖는 재료, 특히 ARC인 낮은 유전체 상수를 갖는 재료를 제공할 수 있다.

Claims (70)

  1. 박막 증착 방법으로서,
    기판을 증착 챔버 내에 위치시키는 단계,
    가스 혼합물을 상기 증착 챔버에 가하는 단계, 및
    상기 기판 상에 유기규산염층을 형성하도록 상기 증착 챔버 내에서 상기 가스 혼합물에 전기장을 가하는 단계를 포함하며,
    상기 가스 혼합물이 페닐 기저 실란 화합물을 포함하는 박막 증착 방법.
  2. 제 1 항에 있어서,
    상기 가스 혼합물이 산화 가스를 더 포함하는 박막 증착 방법.(and)
  3. 제 1 항에 있어서,
    상기 페닐 기저 실란 화합물은 SiCaObHc의 일반식을 가지며, 여기서 a는 6 내지 30의 범위를 가지며, b는 0 내지 3의 범위를 가지며, c는 9 내지 30의 범위를 갖는 박막 증착 방법.
  4. 제 3 항에 있어서,
    상기 페닐 기저 실란 화합물이 페닐실란(SiC6H9), 페닐메틸실란(SiC7H11), 페닐에틸실란(SiC8H13), 페닐메틸에틸실란(SiC9H15), 페닐메톡시실란(SiC7OH11), 페닐에톡시실란(SiC8OH13), 페닐메틸에톡시실란(SiC9OH13), 페닐메톡시에톡시실란(SiC9O2H13), 및 이들의 조합물의 그룹으로부터 선택된 박막 증착 방법.
  5. 제 2 항에 있어서,
    상기 산화 가스가 일산화질소(N2O), 산소(O2), 오존(O3), 일산화탄소(CO), 이산화탄소(CO2), 질소(N2) 및 이들의 화합물의 그룹으로부터 선택된 박막 증착 방법.
  6. 제 1 항에 있어서,
    상기 증착 챔버 내의 가스 혼합물에 가해진 상기 전기장이 고주파(RF) 전력인 박막 증착 방법.
  7. 제 6 항에 있어서,
    상기 RF 전력이 약 50와트 내지 약 500와트 범위 내인 박막 증착 방법.
  8. 제 1 항에 있어서,
    상기 증착 챔버가 약 1토르 내지 약 10토르 범위의 압력에서 유지되는 박막증착 방법.
  9. 제 1 항에 있어서,
    상기 페닐 기저 실란 화합물이 약 400mgm 내지 약 1000mgm 범위의 유동율로 상기 증착 챔버에 제공되는 박막 증착 방법.
  10. 제 2 항에 있어서,
    상기 산화 가스가 약 1sccm 내지 약 500sccm 범위의 유동율로 상기 증착 챔버 내에 제공되는 박막 증착 방법.
  11. 제 1 항에 있어서,
    상기 증착 챔버가 약 100℃ 내지 약 400℃ 범위의 온도에서 유지되는 박막 증착 방법.
  12. 기판 상에 유기규산염층을 형성하는 방법으로서,
    기판을 증착 챔버 내에 위치시키는 단계,
    가스 혼합물을 상기 증착 챔버에 제공하는 단계, 및
    상기 기판 상에 유기규산염층을 형성하도록 상기 증착 챔버 내에서 상기 가스 혼합물에 전기장을 가하는 단계를 포함하며,
    상기 가스 혼합물이 페닐 기저 실란 화합물을 포함하는 방법.
  13. 제 12 항에 있어서,
    상기 가스 혼합물이 산화 가스를 더 포함하는 방법.
  14. 제 12 항에 있어서,
    상기 페닐 기저 실란 화합물은 SiCaObHc의 일반식을 가지며, 여기서 a는 6 내지 30의 범위를 가지며, b는 0 내지 3의 범위를 가지며, c는 9 내지 30의 범위를 갖는 방법.
  15. 제 14 항에 있어서,
    상기 페닐 기저 실란 화합물이 페닐실란(SiC6H9), 페닐메틸실란(SiC7H11), 페닐에틸실란(SiC8H13), 페닐메틸에틸실란(SiC9H15), 페닐메톡시실란(SiC7OH11), 페닐에톡시실란(SiC8OH13), 페닐메틸에톡시실란(SiC9OH13), 페닐메톡시에톡시실란(SiC9O2H13), 및 이들의 조합물의 그룹으로부터 선택된 방법.
  16. 제 13 항에 있어서,
    상기 산화 가스가 일산화질소(N2O), 산소(O2), 오존(O3), 일산화탄소(CO), 이산화탄소(CO2), 질소(N2) 및 이들의 화합물의 그룹으로부터 선택된 방법.
  17. 제 12 항에 있어서,
    상기 증착 챔버 내의 가스 혼합물에 가해진 상기 전기장이 고주파(RF) 전력인 방법.
  18. 제 17 항에 있어서,
    상기 RF 전력이 약 50와트 내지 약 500와트 범위 내인 방법.
  19. 제 12 항에 있어서,
    상기 증착 챔버가 약 1토르 내지 약 10토르 범위의 압력에서 유지되는 방법.
  20. 제 12 항에 있어서,
    상기 페닐 기저 실란 화합물이 약 400mgm 내지 약 1000mgm 범위의 유동율로 상기 증착 챔버에 제공되는 방법.
  21. 제 13 항에 있어서,
    상기 산화 가스가 약 1sccm 내지 약 500sccm 범위의 유동율로 상기 증착 챔버 내에 제공되는 방법.
  22. 제 12 항에 있어서,
    상기 증착 챔버가 약 100℃ 내지 약 400℃ 범위의 온도에서 유지되는 방법.
  23. 실행될 때, 범용 컴퓨터가 층의 증착 방법을 사용하여 증착 챔버를 제어하게 하는 소프트웨어 루틴을 포함하는 컴퓨터 저장 매체로서,
    상기 층의 증착 방법이,
    기판을 증착 챔버 내에 위치시키는 단계,
    페닐 기저 실란 혼합물을 포함하는 가스 혼합물을 상기 증착 챔버에 제공하는 단계, 및
    상기 기판 상에 유기규산염층을 형성하도록 상기 증착 챔버 내에서 상기 가스 혼합물에 전기장을 가하는 다계를 포함하는 컴퓨터 저장 매체.
  24. 제 23 항에 있어서,
    상기 가스 혼합물이 산화 가스를 더 포함하는 컴퓨터 저장 매체.
  25. 제 23 항에 있어서,
    상기 페닐 기저 실란 화합물은 SiCaObHc의 일반식을 가지며, 여기서 a는 6 내지 30의 범위를 가지며, b는 0 내지 3의 범위를 가지며, c는 9 내지 30의 범위를 갖는 컴퓨터 저장 매체.
  26. 제 25 항에 있어서,
    상기 페닐 기저 실란 화합물이 페닐실란(SiC6H9), 페닐메틸실란(SiC7H11), 페닐에틸실란(SiC8H13), 페닐메틸에틸실란(SiC9H15), 페닐메톡시실란(SiC7OH11), 페닐에톡시실란(SiC8OH13), 페닐메틸에톡시실란(SiC9OH13), 페닐메톡시에톡시실란(SiC9O2H13), 및 이들의 조합물의 그룹으로부터 선택된 컴퓨터 저장 매체.
  27. 제 24 항에 있어서,
    상기 산화 가스가 일산화질소(N2O), 산소(O2), 오존(O3), 일산화탄소(CO), 이산화탄소(CO2), 질소(N2) 및 이들의 화합물의 그룹으로부터 선택된 컴퓨터 저장 매체.
  28. 제 23 항에 있어서,
    상기 증착 챔버 내의 가스 혼합물에 가해진 상기 전기장이 고주파(RF) 전력인 컴퓨터 저장 매체.
  29. 제 28 항에 있어서,
    상기 RF 전력이 약 50와트 내지 약 500와트 범위 내인 컴퓨터 저장 매체.
  30. 제 23 항에 있어서,
    상기 증착 챔버가 약 1토르 내지 약 10토르 범위의 압력에서 유지되는 컴퓨터 저장 매체.
  31. 제 23 항에 있어서,
    상기 페닐 기저 실란 화합물이 약 400mgm 내지 약 1000mgm 범위의 유동율로 상기 증착 챔버에 제공되는 컴퓨터 저장 매체.
  32. 제 24 항에 있어서,
    상기 산화 가스가 약 1sccm 내지 약 500sccm 범위의 유동율로 상기 증착 챔버 내에 제공되는 컴퓨터 저장 매체.
  33. 제 23 항에 있어서,
    상기 증착 챔버가 약 100℃ 내지 약 400℃ 범위의 온도에서 유지되는 컴퓨터 저장 매체.
  34. 소자를 형성하는 방법으로서,
    기판 상에 유기규산염층을 형성하는 단계, 및
    상기 유기규산염층의 하나 이상의 영역에 패턴을 형성하는 단계를 포함하며,
    상기 규산염층이 페닐 기저 실란 혼합물을 포함하는 가스 혼합물에 전기장을 가함으로써 형성되는 방법.
  35. 제 34 항에 있어서,
    마스크로서 유기규산염층을 사용하여 상기 유기규산염층의 하나 이상의 영역에 형성된 패턴을 상기 기판 내에 전사하는 단계를 더 포함하는 방법.
  36. 제 35 항에 있어서,
    상기 기판으로부터 상기 유기규산염층을 제거하는 단계를 더 포함하는 방법.
  37. 제 34 항에 있어서,
    상기 기판은 상기 기판 상에 형성된 하나 이상의 재료층을 갖는 방법.
  38. 제 34 항에 있어서,
    상기 유기규산염층의 하나 이상의 영역에 상기 패턴을 형성하는 단계가,
    상기 유기규산염층 상에 에너지에 민감한 레지스트 재료층을 형성하는 단계,
    상기 에너지에 민감한 레지스트 재료를 패턴화 복사(patterned radiation)에 노광시킴으로써 상기 패턴의 이미지를 상기 에너지에 민감한 레지스트 재료층에 도입하는 단계,
    상기 에너지에 민감한 레지스트 재료층에 도입된 패턴의 이미지를 현상하는단계, 및
    마스크로서 에너지에 민감한 레지스트 재료층을 사용하여 상기 유기규산염층을 통해 상기 패턴을 전사하는 단계를 포함하는 방법.
  39. 제 38 항에 있어서,
    상기 에너지에 민감한 레지스트 층을 형성하는 단계, 상기 패턴의 이미지를 도입하는 단계, 및 상기 패턴을 형상하는 단계에 앞서 상기 유기규산염층 상에 중간층을 형성하는 단계,
    마스크로서 에너지에 민감한 레지스트 재료를 사용하여 상기 중간층을 통해 에너지에 민감한 레지스트 재료층에 현상된 상기 패턴의 이미지를 전사하는 단계, 및
    마스크로서 상기 중간층을 사용하여 상기 유기규산염층을 통해 상기 패턴을 전사하는 단계를 포함하는 방법.
  40. 제 39 항에 있어서,
    상기 중간층이 산화물인 방법.
  41. 제 40 항에 있어서,
    상기 산화물이 이산화규소, 플루오르규산염 유리(FSG), 및 규소 산화질화물의 그룹으로부터 선택된 방법.
  42. 제 36 항에 있어서,
    상기 유기규산염층이 플루오르 기저 화합물을 사용하여 상기 기판으로부터 제거되는 방법.
  43. 제 42 항에 있어서,
    상기 플루오르 기저 화합물은 4플루오르화 탄소(CF4), 플루오르메탄(CF4), 플루오르에탄(C2F6), 및 플루오르부텐(C4F8)의 그룹으로부터 선택된 방법.
  44. 제 34 항에 있어서,
    상기 유기규산염층이 250㎚ 이하의 파장에서 반사 방지 코팅인 방법.
  45. 제 34 항에 있어서,
    상기 유기규산염층이 약 250㎚ 이하의 파장에서 약 0.1 내지 약 0.7 범위의 흡수 계수를 갖는 방법.
  46. 제 45 항에 있어서,
    상기 흡수 계수가 상기 유기규산염층의 두께를 가로질러 약 0.1 내지 약 0.7 범위로 변하는 방법.
  47. 제 34 항에 있어서,
    사기 유기규산염층이 약 1.2 내지 약 1.7 범위의 굴절율을 갖는 방법.
  48. 제 34 항에 있어서,
    상기 가스 혼합물이 산화 가스를 더 포함하는 방법.
  49. 제 34 항에 있어서,
    상기 페닐 기저 실란 화합물은 SiCaObHc의 일반식을 가지며, 여기서 a는 6 내지 30의 범위를 가지며, b는 0 내지 3의 범위를 가지며, c는 9 내지 30의 범위를 갖는 방법.
  50. 제 49 항에 있어서,
    상기 페닐 기저 실란 화합물이 페닐실란(SiC6H9), 페닐메틸실란(SiC7H11), 페닐에틸실란(SiC8H13), 페닐메틸에틸실란(SiC9H15), 페닐메톡시실란(SiC7OH11), 페닐에톡시실란(SiC8OH13), 페닐메틸에톡시실란(SiC9OH13), 페닐메톡시에톡시실란(SiC9O2H13), 및 이들의 조합물의 그룹으로부터 선택된 방법.
  51. 제 48 항에 있어서,
    상기 산화 가스가 일산화질소(N2O), 산소(O2), 오존(O3), 일산화탄소(CO), 이산화탄소(CO2), 질소(N2) 및 이들의 화합물의 그룹으로부터 선택된 방법.
  52. 제 34 항에 있어서,
    상기 가스 혼합물에 가해진 상기 전기장이 고주파(RF) 전력인 방법.
  53. 제 52 항에 있어서,
    상기 RF 전력이 약 50와트 내지 약 500와트 범위 내인 방법.
  54. 제 34 항에 있어서,
    상기 유기규산염층이 약 1토르 내지 약 10토르 범위의 압력에서 유지된 증착 챔버 내에 형성되는 방법.
  55. 제 54 항에 있어서,
    상기 페닐 기저 실란 화합물이 약 400mgm 내지 약 1000mgm 범위의 유동율로 상기 증착 챔버에 제공되는 방법.
  56. 제 54 항에 있어서,
    상기 산화 가스가 약 1sccm 내지 약 500sccm 범위의 유동율로 상기 증착 챔버 내에 제공되는 방법.
  57. 제 54 항에 있어서,
    상기 증착 챔버가 약 100℃ 내지 약 400℃ 범위의 온도에서 유지되는 방법.
  58. 다마신 구조를 제조하는 방법으로서,
    기판 상에 제 1 유전체층을 형성하는 단계,
    상기 제 1 유전체층 상에 유기규산염층을 형성하는 단계,
    상기 유기규산염층을 통해 콘택트/비어를 형성하도록 상기 유기규산염층을 패턴화하는 단계,
    상기 패턴화된 유기규산염층 상에 제 2 유전체층을 형성하는 단계,
    상기 제 2 유전체층을 통해 상호연결부를 형성하도록 제 2 유전체층을 패턴화하는 단계,
    상기 제 1 유전체층을 통해 콘택트/비어를 형성하도록 상기 제 1 유전체층을 에칭하는 단계, 및
    상기 콘택트/비어와 상기 상호연결부를 도전성 재료로 충진시키는 단계를 포함하며,
    상기 유기규산염층은 페닐 기저 실란 화합물을 포함하는 가스 혼합물에 전기장을 가함으로써 형성되며,
    상기 상호연결부는 상기 유기규산염층 내에 형성된 상기 콘택트/비어 상에 위치되는 방법.
  59. 제 58 항에 있어서,
    상기 제 1 유전체층과 제 2 유전체층이 비정질 탄소, 플루오르화 비정질 탄소, 파릴렌, 플루오르화 규산염 유리(FSG), AF4, BCB, 탄화 규소, 및 이들의 조합물로 구성된 그룹으로부터 각각 선택된 방법.
  60. 제 58 항에 있어서,
    상기 콘택트/비어와 상호연결부를 충진시키는 상기 도전성 재료가 구리, 알루미늄, 텅스텐, 및 이들의 조합물로 구성된 그룹으로부터 선택된 방법.
  61. 제 58 항에 있어서,
    상기 가스 혼합물이 산화 가스를 더 포함하는 방법.
  62. 제 58 항에 있어서,
    상기 페닐 기저 실란 화합물은 SiCaObHc의 일반식을 가지며, 여기서 a는 6 내지 30의 범위를 가지며, b는 0 내지 3의 범위를 가지며, c는 9 내지 30의 범위를 갖는 방법.
  63. 제 62 항에 있어서,
    상기 페닐 기저 실란 화합물이 페닐실란(SiC6H9), 페닐메틸실란(SiC7H11), 페닐에틸실란(SiC8H13), 페닐메틸에틸실란(SiC9H15), 페닐메톡시실란(SiC7OH11), 페닐에톡시실란(SiC8OH13), 페닐메틸에톡시실란(SiC9OH13), 페닐메톡시에톡시실란(SiC9O2H13), 및 이들의 조합물의 그룹으로부터 선택된 방법.
  64. 제 61 항에 있어서,
    상기 산화 가스가 일산화질소(N2O), 산소(O2), 오존(O3), 일산화탄소(CO), 이산화탄소(CO2), 질소(N2) 및 이들의 화합물의 그룹으로부터 선택된 방법.
  65. 제 58 항에 있어서,
    상기 가스 혼합물에 가해진 상기 전기장이 고주파(RF) 전력인 박막 증착 방법.
  66. 제 65 항에 있어서,
    상기 RF 전력이 약 50와트 내지 약 500와트 범위 내인 방법.
  67. 제 58 항에 있어서,
    상기 페닐 기저 실란 화합물이 약 400mgm 내지 약 1000mgm 범위의 유동율로 상기 증착 챔버에 제공되는 방법.
  68. 제 61 항에 있어서,
    상기 산화 가스가 약 1sccm 내지 약 500sccm 범위의 유동율로 상기 증착 챔버 내에 제공되는 방법.
  69. 제 67 항에 있어서,
    상기 증착 챔버가 약 1토르 내지 약 10토르 범위의 압력에서 유지되는 방법.
  70. 제 67 항에 있어서,
    상기 증착 챔버가 약 100℃ 내지 약 400℃ 범위의 온도에서 유지되는 방법.
KR1020010048231A 2000-08-12 2001-08-10 유기규산염층의 증착 방법 KR100857664B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/638,803 US6573196B1 (en) 2000-08-12 2000-08-12 Method of depositing organosilicate layers
US09/638,803 2000-08-12

Publications (2)

Publication Number Publication Date
KR20020013771A true KR20020013771A (ko) 2002-02-21
KR100857664B1 KR100857664B1 (ko) 2008-09-08

Family

ID=24561503

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010048231A KR100857664B1 (ko) 2000-08-12 2001-08-10 유기규산염층의 증착 방법

Country Status (5)

Country Link
US (1) US6573196B1 (ko)
EP (1) EP1180554A3 (ko)
JP (1) JP5075310B2 (ko)
KR (1) KR100857664B1 (ko)
TW (1) TW593739B (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4381526B2 (ja) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7504727B2 (en) * 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
KR100713231B1 (ko) * 2005-12-26 2007-05-02 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
KR100817933B1 (ko) * 2006-09-28 2008-04-15 광주과학기술원 플루오로알킬렌옥시기가 치환된 페닐에틸실란 화합물 및 이를 중합한 고분자
US20170358445A1 (en) 2016-06-13 2017-12-14 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US11679412B2 (en) 2016-06-13 2023-06-20 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3962004A (en) * 1974-11-29 1976-06-08 Rca Corporation Pattern definition in an organic layer
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
JPS62138529A (ja) * 1985-12-10 1987-06-22 Mitsubishi Electric Corp 有機シリコ−ン薄膜の形成方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
KR100463858B1 (ko) * 1996-08-29 2005-02-28 마츠시타 덴끼 산교 가부시키가이샤 층간절연막의형성방법
TW353775B (en) * 1996-11-27 1999-03-01 Tokyo Electron Ltd Production of semiconductor device
JP3173426B2 (ja) * 1997-06-09 2001-06-04 日本電気株式会社 シリカ絶縁膜の製造方法及び半導体装置の製造方法
JP3411559B2 (ja) * 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー シリコーン膜の熱分解化学蒸着法
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
JP3726226B2 (ja) * 1998-02-05 2005-12-14 日本エー・エス・エム株式会社 絶縁膜及びその製造方法
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
JP3353743B2 (ja) * 1999-05-18 2002-12-03 日本電気株式会社 半導体装置とその製造方法
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene

Also Published As

Publication number Publication date
US6573196B1 (en) 2003-06-03
KR100857664B1 (ko) 2008-09-08
JP5075310B2 (ja) 2012-11-21
TW593739B (en) 2004-06-21
EP1180554A2 (en) 2002-02-20
EP1180554A3 (en) 2005-02-02
JP2002164347A (ja) 2002-06-07

Similar Documents

Publication Publication Date Title
JP5116197B2 (ja) 炭化ケイ素層を利用してデバイスを形成する方法
US7117064B2 (en) Method of depositing dielectric films
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US6500773B1 (en) Method of depositing organosilicate layers
KR100818953B1 (ko) 유기실리케이트층을 증착시키는 방법
US6777171B2 (en) Fluorine-containing layers for damascene structures
US6632735B2 (en) Method of depositing low dielectric constant carbon doped silicon oxide
KR100857664B1 (ko) 유기규산염층의 증착 방법
US6472333B2 (en) Silicon carbide cap layers for low dielectric constant silicon oxide layers
KR20010112115A (ko) 플루오로-오르가노실리케이트층

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120830

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140828

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee