KR100818953B1 - 유기실리케이트층을 증착시키는 방법 - Google Patents

유기실리케이트층을 증착시키는 방법 Download PDF

Info

Publication number
KR100818953B1
KR100818953B1 KR1020010067185A KR20010067185A KR100818953B1 KR 100818953 B1 KR100818953 B1 KR 100818953B1 KR 1020010067185 A KR1020010067185 A KR 1020010067185A KR 20010067185 A KR20010067185 A KR 20010067185A KR 100818953 B1 KR100818953 B1 KR 100818953B1
Authority
KR
South Korea
Prior art keywords
sic
range
oxygen
deposition chamber
layer
Prior art date
Application number
KR1020010067185A
Other languages
English (en)
Other versions
KR20020033577A (ko
Inventor
가이야드프레드릭
씨아리-쿤
이에엘리
피셔폴
디네마니스리니바스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20020033577A publication Critical patent/KR20020033577A/ko
Application granted granted Critical
Publication of KR100818953B1 publication Critical patent/KR100818953B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

유기실리케이트층을 형성하는 방법을 개시한다. 유기실리케이트층은 유기실란 화합물 및 산소 함유 가스를 포함하는 가스 혼합물에 전계를 인가하여 형성한다. 유기실리케이트층은 집적회로 제조 공정에 적합하다. 일 집적회로 제조 공정에서, 유기실리케이트층은 금속간 유전층으로 사용된다. 다른 집적회로 제조 공정에서, 유기실리케이트층은 다마신 구조에 포함된다.
유기실리케이트층

Description

유기실리케이트층을 증착시키는 방법{METHOD OF DEPOSITING ORGANOSILICATE LAYERS}
도 1 은 본 실시예에서 사용할 수 있는 장치를 나타낸 개략도.
도 2 는 화학 기상 증착 (CVD) 챔버의 개략 단면도.
도 3a 및 3b 는 금속간 유전층으로서 사용되는 유기실리케이트층을 포함하는 집적회로 제조에 있어서, 다른 단계에 있는 기판의 개략 단면도.
도 4a 내지 4e 는 다마신 구조 내에 유기실리케이트층을 포함하는 집적회로 제조에 있어서, 다른 단계에 있는 다마신 구조의 개략 단면도.
*도면의 주요 부분에 대한 부호 설명*
35 : 웨이퍼 공정 시스템 36, 38, 40, 41 : 공정 챔버
102 : 진공 펌프 106 : AC 전원
110 : 제어 유닛 120 : 샤워헤드
130 : 가스 패널 150 : 지지대
170 : 가열 소자 172 : 온도 센서
190 : 반도체 웨이퍼 200, 300 : 기판
204, 301 : 도전체 206, 304 : 유기실리케이트층
302 : 제 1 유전층 303 : 금속간 유전체
305, 312 : 장벽층 306 : 접촉/비어 개구
308 : 제 2 유전층 310 : 상호접속부
314 : 도전체
본 발명은 유기실리케이트 (organosilicate) 층, 집적회로 제조에서의 이 층의 사용, 및 유기실리케이트층을 형성하는 방법에 관한 것이다.
집적회로는 단일 칩 상에 수백만개의 소자 (예를 들어, 트랜지스터, 커패시터 및 저항) 를 포함할 수 있는 복잡한 장치로 발전해왔다. 칩 설계의 발전은 더 빠른 회로 설계 및 더 큰 회로 밀도를 계속해서 요한다. 더 큰 회로 밀도에 대한 요구는 집적회로 소자 치수의 감소를 필요로 한다.
집적회로 소자의 치수가 감소될수록 (예를 들어, 서브 마이크론 (sub-micron) 치수), 그러한 소자를 제조하는데 사용되는 재료가 전기적 성능에 기여하게 된다. 예를 들어, 낮은 저항률의 금속 상호접속부 (예를 들어, 구리 및 알루미늄) 는 집적회로 상의 소자들 사이에 도전 경로를 제공한다. 전형적으로, 금속 상호접속부는 절연 재료에 의해서 전기적으로 서로 분리된다. 인접한 금속 상호접속부 사이의 거리 및/또는 절연 재료의 두께가 서브 마이크론의 치수를 가질 때, 용량성 결합 (capacitive coupling) 이 이러한 상호접속부 사이에 잠재적으로 발생한다. 인접한 금속 상호접속부 사이의 용량성 결합은, 집적회로의 전 체 성능을 열화시키는 크로스 토크 (cross talk) 및/또는 저항-커패시턴스 (RC) 지연을 초래할 수 있다.
인접한 금속 상호접속부 사이의 용량성 결합을 방지하기 위해, 낮은 유전율 (낮은 k) 의 절연 재료 (예를 들어, 약 4.5 보다 작은 유전율) 가 필요하다.
따라서, 당해 기술분야에서는 집적회로 제조에 적당한 저유전율의 재료가 요청되고 있다.
집적회로 제조용 유기실리케이트층을 형성하는 방법을 제공한다. 일 실시예에서, 유기실리케이트층은 유기실란 (organosilane) 화합물과 산소 함유 가스를 포함하는 가스 혼합물에 전계를 인가하여 형성한다.
유기실리케이트층은 집적회로 제조 공정에 적합하다. 일 집적회로 제조 공정에서, 유기실리케이트층은 금속간 유전층으로 이용한다. 그러한 실시예에 있어서, 바람직한 공정 순서는, 기판 상에 형성된 도전체 상에 유기실리케이트층을 증착시키는 단계를 포함한다.
또다른 집적회로 제조 공정에서는, 유기실리케이트층은 다마신 구조 (damascene structure) 에 포함된다. 그러한 실시예에 있어서, 바람직한 공정 순서는 기판 상에 제 1 유전층을 증착시키는 단계를 포함한다. 그 다음에, 유기실리케이트층을 제 1 유전층 상에 형성한다. 그 후에, 유기실리케이트층을 패터닝하고 에칭하여 그것을 통하는 접촉/비어 (contacts/vias) 를 한정한다. 유기실리케이트을 패터닝하고 에칭한 후에, 제 2 유전층을 그 위에 증착시킨다. 그다음에, 제 2 유전층을 패터닝하고 에칭하여 그것을 통하는 상호접속부를 한정한다. 제 2 유전층 내에 형성되는 상호접속부는 유기실리케이트층 내에 형성된 접촉/비어 위에 위치한다. 이 상호접속부를 형성한 후에, 유기실리케이트층 내에 한정되어진 접촉/비어를 제 1 유전층을 통해 기판 표면까지 에칭한다. 그 후에, 상호접속부 및 접촉/비어를 도전성 재료로 충전시킴으로써 다마신 구조를 완성하게 된다.
이하, 첨부 도면을 참조하여 본 발명을 자세히 설명한다.
도 1 은 이하 설명할 실시예들에 따른 집적회로를 제조하는데 사용할 수 있는 웨이퍼 공정 시스템 (35) 을 개략적으로 나타내는 도면이다. 이 장치는 전형적으로, 전원 (도시 안됨) 및 진공 펌프 (도시 안됨) 등의 다른 하드웨어 부품과 함께, 공정 챔버 (36, 38, 40, 41), 로드락 챔버 (load-lock chamber; 46), 운송 챔버 (50), 및 마이크로프로세서 제어기 (54) 를 구비한다. 이러한 웨이퍼 공정 시스템 (35) 의 일례가, 캘리포니아, 산타클라라에 있는 어플라이드 머티리얼스사 (Applied Materials, Inc.) 로부터 구입할 수 있는 CENTURA
Figure 112001028117419-pat00010
System 이다.
이 웨이퍼 공정 시스템의 상세한 사항은, 참고로, "Staged-Vacuum Substrate Processing System and Method" 라는 명칭으로 1993년 2월 16일에 특허된 미국 특허 제 5,186,718 호에 설명되어 있다. 이 시스템 (35) 의 현저한 특징을 이하에서 간단히 설명한다.
웨이퍼 공정 시스템 (35) 은, 운송 로봇 (51) 을 포함한 운송 챔버 (50) 를 포함한다. 운송 챔버 (50) 는 일단의 공정 챔버들 (36, 38, 40, 41) 뿐만 아니라 로드락 챔버 (46) 에도 결합되어 있다.
로드락 챔버 (46) 를 통하여 웨이퍼 공정 시스템 (35) 내로 기판 (도시 안됨) 을 탑재한다. 그 후에, 운송 로봇 (51) 이 하나 이상의 공정 챔버 (36, 38, 40, 41) 사이에서 기판을 운반한다.
공정 챔버 (36, 38, 40, 41) 는 다양한 집적회로 제조 순서들을 실행하는 데 사용한다. 예를 들어, 공정 챔버 (36, 38, 40, 41) 는 물리 기상 증착 (PVD) 챔버, 이온화 금속 플라즈마 물리 기상 증착 (IMP PVD) 챔버, 화학 기상 증착 (CVD) 챔버, 급속 열처리 (RTP) 챔버, 및 무반사 코팅 (ARC) 챔버 등을 포함할 수 있다.
도 2 는 웨이퍼 공정 시스템 (35) 의 화학 기상 증착 (CVD) 공정 챔버 (36) 의 개략 단면도를 나타낸다. CVD 공정 챔버 (36) 는 여기서 설명되는 실시예들에 따른 유기실리케이트층을 증착시키는 데 사용할 수 있다. 이러한 CVD 공정 챔버 (36) 의 일례가, 캘리포니아, 산타클라라에 있는 어플라이드 머티리얼스사로부터 구입할 수 있는, DXZTM 챔버이다.
CVD 공정 챔버 (36) 는 전형적으로, 전원 및 진공 펌프 등의 다른 하드웨어 부품과 함께, 가스 패널 (gas panel; 130), 제어 유닛 (110) 을 구비한다. CVD 공정 챔버 (36) 의 상세한 사항은, 참고로, "High Temperature Chemical Vapor Deposition Chamber" 라는 명칭으로 1998년 12월 14일에 출원된 미국 특허 출원 제 09/211,998 호에 설명되어 있다. 이 CVD 공정 챔버 (36) 의 현저한 특징을 이 하에서 간단히 설명한다.
일반적으로, CVD 공정 챔버 (36) 는 반도체 웨이퍼 (190) 등의 기판을 지지하는 데 사용하는 지지대 (support pedestal; 150) 를 가지고 있다. 전형적으로, 이 지지대 (150) 는 변위 기구 (displacement mechanism; 도시 안됨) 를 사용하여 챔버 (36) 내에서 수직 방향으로 이동할 수 있다. 특정 공정에 따라, 웨이퍼 (190) 는 층 증착 전에 어떤 원하는 온도로 가열할 수 있다. 예를 들어, 웨이퍼 지지대 (150) 는 매립된 가열 소자 (170) 에 의해 가열된다. 이 지지대 (150) 는 AC 전원 (106) 으로부터 가열 소자 (170) 에 전류를 인가하여 저항가열할 수 있다. 그리하여, 지지대 (150) 에 의해 웨이퍼 (190) 를 가열한다. 또한, 열전쌍 (thermocouple) 등의 온도 센서 (172) 가 웨이퍼 지지대 (150) 내에 매립되어 있어서 종래의 방법으로 지지대 (150) 의 온도를 감시하도록 한다. 측정된 온도는 가열 소자 (170) 에 공급되는 전력을 제어하도록 궤환 루프 (feedback loop) 에 사용하여, 웨이퍼 온도가 특정 공정의 적용에 적합한 원하는 온도에서 유지되거나 제어될 수 있도록 한다. 선택적으로, 지지대 (150) 는 플라즈마를 사용하거나 방사열 (도시 안됨) 에 의하여 가열된다.
진공 펌프 (102) 는, 공정 챔버 (36) 을 진공시키고 이 챔버 (36) 내에 적절한 가스 흐름 및 압력을 유지하는 데 사용한다. 샤워헤드 (showerhead; 120) 는 웨이퍼 지지대 (150) 위에 위치해 있으며, 이를 통해 공정 가스가 챔버 (36) 내로 도입된다. 샤워헤드 (120) 는 가스 패널 (130) 에 연결되어 있고, 이 가스 패널은 공정 순서의 다른 단계들에서 사용하는 다양한 가스를 제어하고 공급한다.
샤워헤드 (120) 및 웨이퍼 지지대 (150) 는 또한, 간격을 두고 배치된 한쌍의 전극을 형성한다. 이 전극들 사이에서 전계가 발생될 때, 챔버 (36) 내로 도입된 공정 가스는 점화되어 플라즈마로 된다. 통상, 이 전계는, 정합 회로망 (도시 안됨) 을 통해 RF 전원 (도시 안됨) 에 웨이퍼 지지대 (150) 를 연결시킴으로써 발생한다. 선택적으로, RF 전원 및 정합 회로망은 샤워헤드 (120) 에 결합될 수 있거나, 샤워헤드 (120) 및 웨이퍼 지지대 (150) 모두에 결합될 수 있다.
플라즈마 강화 화학 기상 증착 (PECVD) 기술은, 기판 표면 근방의 반응 지역에 전계를 인가함으로써 반응 가스의 여기 (excitation) 및/또는 해리 (disassociation) 를 촉진하여, 반응 종들의 플라즈마를 생성한다. 플라즈마 내의 종들의 반응성은, 화학 반응이 발생하는 데에 요구되는 에너지를 감소시켜, 이러한 PECVD 공정에 대해 요구되는 온도를 효과적으로 낮추게 한다.
하나 이상의 실시예에서, 유기실리케이트층 증착은, 예를 들어, 트리메틸실란 (trimethylsilane) 등의 유기실란 화합물의 플라즈마 강화 산화 (plasma enhanced oxidation) 에 의해서 이루어진다. 유기실란 화합물은 조절된 흐름을 갖는 가스로서, 가스 패널 (130) 의 제어 하에서 공정 챔버 (36) 내로 도입된다.
가스 패널 (130) 을 통한 가스 흐름의 적절한 제어 및 조절은 유량 제어기 (mass flow controllers; 도시 안됨) 및 컴퓨터 (도시 안됨) 에 의해 실행한다. 샤워헤드 (120) 는, 공정 가스가 가스 패널 (130) 로부터 공정 챔버 (100) 내로 균일하게 도입되어 분포되게 한다.
도 1 을 참조하면, CVD 공정 챔버 (36) 는 마이크로프로세서 제어기 (54) 에 의해 제어된다. 마이크로프로세서 제어기 (54) 는, 다양한 챔버 및 서브 프로세서를 제어하기 위한 산업 장치에 사용될 수 있는 어떠한 형태의 범용 컴퓨터 프로세서 (CPU) 중의 하나일 수 있다. 컴퓨터는 임의 접근 기억 장치 (random access memory), 판독 전용 기억 장치 (read only memory), 플로피 디스크 드라이브, 하드 디스크, 또는 다른 형태의 근거리 또는 원격의 디지탈 기억 장치 등의 적절한 메모리를 포함한다. 종래 방법으로 이 프로세서를 지원하기 위해, 다양한 지원 회로가 이 CPU 에 결합될 수 있다. 요구되는 소프트웨어 루틴은 메모리에 기억되거나 원격으로 배치된 제 2 의 CPU 에 의해 실행될 수 있다.
소프트웨어 루틴은 기판이 지지대에 배치된 후에 실행된다. 소프트웨어 루틴은, 실행 시에, 범용 컴퓨터를 챔버 동작을 제어하는 특정 공정 컴퓨터로 변환시켜, 챔버 공정을 실행하도록 한다. 선택적으로, 본 발명의 공정은, 응용 주문형 집적 회로 또는 다른 형태의 하드웨어 구현으로서, 하드웨어, 또는 하드웨어나 소프트웨어의 조합으로 행할 수 있다.
유기실리케이트층 증착
일 실시예에서, 유기실란 화합물 및 산소 함유 가스를 포함하는 가스 혼합물에 전계를 인가하여 유기실리케이트층을 형성한다. 유기실란 화합물은 일반식 SiaCbHcOd 를 가지며, 여기서 a 는 1 내지 2 의 범위이고, b 는 1 내지 10 의 범위이고, c 는 6 내지 30 의 범위이고, d 는 0 내지 6 의 범위이다.
예를 들어, 유기실란 화합물로는, 메틸실란 (SiCH6), 디메틸실란 (SiC2H8), 트리메틸실란 (SiC3H10), 테트라메틸실란 (SiC4H12), 메톡시실란 (SiCH6O), 디메틸디메톡시실란 (SiC4H12O2), 디에틸디에톡시실란 (SiC8H20 O2), 디메틸디에톡시실란 (SiC6H16O2), 디에틸디메톡시실란 (SiC6H16O2 ), 헥사메틸디실록산 (Si2C6H18O), 비스(메틸실라노)메탄 (Si2C3H12), 1,2-비스(메틸실라노)에탄 (Si2C 4H14), 등을 사용할 수 있다.
산소 함유 가스로는, 산소 (O2), 오존 (O3), 아산화질소 (N2O), 일산화탄소 (CO), 이산화탄소 (CO2), 또는 그 조합 등을 사용할 수 있다. 산화 가스는 헬륨 (He) 및/또는 아르곤 (Ar) 등의 불활성 가스로 희석될 수 있다.
일반적으로, 다음의 증착 공정 파라미터는 도 2 에 나타난 공정 챔버와 유사한 CVD 공정 챔버를 사용하여 유기실리케이트층을 형성하는 데 사용할 수 있다. 공정 파라미터는 약 50 ℃ 내지 약 500 ℃ 의 웨이퍼 온도, 약 1 torr 내지 약 500 torr 의 챔버 압력, 약 50 sccm 내지 약 1,000 sccm 의 유기실란 화합물 가스의 유량, 약 10 sccm 내지 약 1,000 sccm 의 산소 함유 가스의 유량, 및 약 1 watts/cm2 내지 약 500 watts/cm2 범위의 RF 전력을 포함한다. 어플라이드 머티리얼스사로부터 구입할 수 있는 증착 챔버 내에서 200 mm (밀리미터) 기판 상에서 실시하는 경우, 상기 공정 파라미터는 약 0.1 micron/min 내지 약 2 micron/min 의 범위에 있는 유기실리케이트층의 증착 속도를 제공한다.
다른 증착 챔버도 본 발명의 범위 내에 있으며, 상기 열거된 파라미터는 유 기실리케이트층을 형성하는 데 사용되는 특정 증착 챔버에 따라 변할 수 있다. 예를 들어, 다른 증착 챔버는 더 큰 (예를 들어, 300 mm 기판을 수용하도록 구성된) 또는 더 작은 부피를 가질 수 있고, 어플라이드 머티리얼스사로부터 구할 수 있는 증착 챔버에 대해 언급한 가스 유량보다 더 크거나 더 작은 가스 유량을 요할 수 있다.
유기실리케이트층을 형성한 후, 선택적으로, 어닐링한다. 어닐링 가스로는, 질소 (N2), 수소 (H2), 산소 (O2), 또는 이들의 조합 등을 사용할 수 있다. 어닐링 단계는 증착된 유기실리케이트층의 수증기 흡수 능력을 최소화하여, 그 유전 특성을 개선시킨다.
일반적으로, 도 2 에 나타난 공정 챔버와 유사한 공정 챔버에서 유기실리케이트층을 어닐링하는 데에 다음의 공정 파라미터를 사용할 수 있다. 공정 파라미터는 약 200 ℃ 내지 약 500 ℃ 의 웨이퍼 온도 및 약 1,000 sccm 내지 약 10,000 sccm 의 어닐링 가스 유량의 범위를 갖는다. 유기실리케이트층은 약 30 분 미만동안 어닐링된다.
이렇게 증착된 유기실리케이트층은 약 3.0 보다 작은 유전율을 가지므로, 집적회로 내의 절연 재료로 사용하기에 적합하다. 유기실리케이트층의 유전율이 반응 온도의 함수로서 약 2.0 내지 약 3.0 의 범위에서 변할 수 있다는 점에서 유기실리케이트층의 유전율을 조절할 수 있다. 특히, 반응 온도가 감소할수록, 그 증착된 층의 유전율은 감소한다.
또한, 유기실리케이트층의 유전율은 층착 형성동안에 가스 혼합물의 조성의 함수로서 조절할 수 있다. 가스 혼합물 내의 탄소 (C) 농도가 증가할수록, 그 증착된 유기실리케이트층의 C 함량이 증가하므로, 유전율이 감소하게 된다.
집적회로 제조 공정
A. 유기실리케이트 금속간 유전층
도 3a 및 3b 는 금속간 유전층으로서 유기실리케이트층을 포함하는 집적회로 제조 순서의 다른 단계들에 있어서의 기판 (200) 의 개략 단면도를 나타낸다. 일반적으로, 기판 (200) 은 그 위에서 막 공정이 수행되는 임의의 공작물을 말한다. 공정의 특정 단계에 따라, 기판 (200) 은 실리콘 웨이퍼, 또는 기판 상에 형성된 다른 재료의 층에 해당될 수 있다. 예를 들어, 도 3a 는, 기판 (200) 이 그 기판 상에 형성된 도전체 (204) 를 갖는 실리콘 웨이퍼인 기판 구조 (250) 의 단면도를 나타낸다. 도전체 (204) 는 금속 (예를 들어, 구리, 알루미늄, 텅스텐) 으로 이루어질 수 있다.
도전체 (204) 는, 예를 들어, 정사각형, 직사각형, 및 원형 등의 다양한 단면 형상을 갖는다. 도전체는 전형적으로 약 1:4 보다 큰 종횡비 (aspect ratio) 를 가진다. 종횡비는 물체의 높이를 그 폭으로 나눈 것으로 정의된다.
도 3b 는 전술한 공정 파라미터에 따라 기판 구조 (250) 상에 형성된 유기실리케이트층 (206) 을 나타낸다. 유기실리케이트층 (206) 의 두께는 특정 공정 단계에 따라 변한다. 전형적으로, 유기실리케이트층 (206) 은 약 500 Å 내지 약 10,000 Å 의 두께로 증착된다.
유기실리케이트층 (206) 을 기판 구조 (250) 상에 형성한 후에, 선택적으로 유기실리케이트층을 어닐링한다. 유기실리케이트층 (206) 은 전술한 공정 파라미터에 따라 어닐링한다.
C. 유기실리케이트층을 포함하는 다마신 구조
도 4a 내지 4e 는 내부에 유기실리케이트층을 포함하는 다마신 구조 제조 순서의 다른 단계에 있는 기판 (300) 의 개략 단면도를 나타낸다. 다마신 구조는 전형적으로, 집적회로 상에 금속 상호접속부를 형성하는 데에 사용된다. 특정 공정 단계에 따라서, 기판 (300) 은 실리콘 기판, 또는 기판 (300) 상에 형성된 다른 재료의 층에 해당될 수 있다. 예를 들어, 도 4a 는 도전체 (301), 금속간 유전체 (303), 장벽층 (305) 및 그 위에 형성된 제 1 유전층 (302) 을 갖는 기판 (300) 의 단면도를 나타낸다. 도전체 (301) 는 금속 (예를 들어, 알루미늄, 구리) 일 수 있다. 금속간 유전체 (303) 및 장벽층 (305) 은 저유전율의 재료 (예를 들어, 유기실리케이트 재료, 실리콘 카바이드) 일 수 있다. 제 1 유전층 (302) 은 산화물 (예를 들어, 실리콘 산화물, 플루오로실리케이트 유리 (fluorosilicate glass)) 일 수도 있고, 또는 비정질 탄소, 플루오르화 비정질 탄소, 파릴렌, 플루오르화 실리케이트 유리 (FSG), 실리콘 카바이드, 및 이들의 조합으로 이루어진 군으로부터 선택될 수도 있다. 일반적으로, 기판 (300) 은 실리콘, 실리사이드, 금속, 또는 다른 재료의 층을 포함한다.
도 4a 는, 기판 (300) 이 실리콘이고, 도전체 (301) 가 구리이고, 금속간 유전체 (303) 가 실리콘 산화물인 일 실시예를 나타낸다. 장벽층 (305) 는 전형적으로, 도전체로부터 제 1 유전층 (302) 으로의 금속의 확산을 최소화하기에 적절한 실리콘 카바이드층이다. 장벽층 (305) 은, 위에 피복된 유전 재료에 대한 장벽층의 에치 선택도 (etch selectivity) 뿐만 아니라 (예를 들어, 장벽층은 위에 피복된 유전층에 대해 약 10:1 보다 큰 에치 선택도를 갖는 것이 바람직함) 그 유전율에 따라, 약 200 Å 내지 약 1,000 Å 의 두께를 가진다. 제조될 그 구조의 크기에 따라, 제 1 유전층 (302) 은 약 1,000 Å 내지 약 10,000 Å 의 두께를 가진다.
유기실리케이트층 (304) 은 제 1 유전층 (302) 상에 형성한다. 유기실리케이트층 (304) 은 전술한 공정 파라미터에 따라 제 1 유전층 (302) 상에 형성한다. 유기실리케이트층 (304) 은 3.0 보다 작은 유전율을 가져서, 다마신 구조 내에 형성될 금속 상호접속부들 사이의 용량성 결합을 방지하거나 최소화하도록 한다. 유기실리케이트층 (304) 의 유전율은, 층 형성동안의 가스 혼합물의 조성뿐만 아니라 반응 온도의 함수로서 원하는 범위 내에서 변할 수 있다는 점에서, 조절 가능하다.
유기실리케이트층 (304) 의 두께는 특정 공정 단계에 따라 변한다. 전형적으로, 유기실리케이트층 (304) 은, 그 위에 이어서 형성되는 위에 피복되는 유전 재료에 대한 유기실리케이트층의 에치 선택도뿐만 아니라 (예를 들어, 장벽층은 위에 피복되는 유전층에 대해서 약 10:1 보다 큰 에치 선택도를 갖는 것이 바람직함) 그 유전율에 따라서, 약 200 Å 내지 약 1,000 Å 의 두께를 가진다.
도 4b 를 참조할 때, 유기실리게이트층 (304) 은 패터닝되고 에칭되어, 접촉/비어 개구 (306) 을 한정하고 접촉/비어가 형성될 영역에서 제 1 유전층 (302) 을 노출시킨다. 접촉/비어 개구 (306) 는 도전체 (301) 상에 위치한다.
유기실리케이트층 (304) 은 종래 리소그래피 공정을 사용하여 패터닝할 수 있다. 예를 들어, 종래 리소그래피 공정에서, 에너지에 민감한 레지스트 재료 (도시 안됨) 의 층이 유기실리케이트층 (304) 상에 형성된다. 에너지 민감성 레지스트 재료층은 약 4000 Å 내지 약 10,000 Å 의 범위 내의 두께로 기판 상에 스핀코팅될 수 있다. 대부분의 에너지 민감성 레지스트 재료는 약 450 nm (나노미터) 보다 작은 파장을 갖는 자외선 (UV) 에 민감하다. 딥 울트라바이올렛 (Deep ultraviolet (DUV)) 레지스트 재료는 약 245 nm 보다 작은 파장을 갖는 UV 선에 민감한다.
마스크 (도시 안됨) 를 통해 이러한 에너지 민감성 레지스트 재료를 UV 선에 노출시켜, 패턴의 상 (image) 을 에너지 민감성 레지스트 재료로 도입한다. 에너지 민감성 레지스트 재료의 층에 도입된 패턴의 상은 적절한 현상액 내에서 현상되어 그것을 통해 패턴을 한정한다. 그 후에, 도 4b 를 참조할 때, 에너지 민감성 레지스트 재료 내에 한정되어진 패턴이 유기실리케이트층 (304) 을 통해 전사된다.
마스크로서 에너지 민감성 레지스트 재료 (도시 않됨) 를 사용하여 유기실리케이트층 (304) 을 통해 패턴을 전사한다. 적절한 화학 부식액 (chemical etchant) 을 사용하여 유기실리케이트층 (304) 을 통해 패턴을 전사한다. 예를 들어, 유기실리케이트층 (304) 을 화학적으로 에칭하기 위해, 카본 테트라플로라이드 (CF4), 플루오로에탄 (C2F6), 및 플루오로부텐 (C4F8 ) 을 사용할 수 있다.
유기실리케이트층 (304) 이 패터닝된 후에, 도 4c 에 나타난 바와 같이, 제 2 유전층 (308) 을 유기실리케이트층 (304) 상에 증착한다. 제 2 유전층 (308) 은 산화물 (예를 들어, 실리콘 산화물, 플루오로실리케이트 유리) 일 수도 있고, 또는 비정질 탄소, 플루오르화 비정질 탄소, 파릴렌, 플루오르화 실리케이트 유리 (FSG), 실리콘 카바이드, 및 이들의 조합으로 이루어진 군으로부터 선택될 수도 있다. 제 2 유전층 (308) 은, 제조될 구조의 크기에 따라 약 1,000 Å 내지 약 10,000 Å 의 두께를 가진다.
그 다음에, 도 4d 에 나타난 바와 같이, 바람직하게는 전술한 바와 같이 종래의 리소그래피 공정을 사용해서 제 2 유전층 (308) 을 패터닝하여, 상호접속부 (310) 를 한정한다. 제 2 유전층 (308) 내에 형성된 상호접속부 (310) 는 유기실리케이트층 (304) 내의 접촉/비어 개구 (306) 위에 배치된다. 그 후에, 반응성 이온 에칭 또는 다른 이방성 에칭 기술을 사용해서 상호접속부 (310) 및 접촉/비어 (306) 모두를 에칭하여, 도전체 (301) 의 표면을 노출시킨다.
도 4e 를 참조할 때, 상호접속부 (310) 및 접촉/비어 (306) 는 알루미늄, 구리, 텅스텐, 또는 그것들의 조합 등의 도전체 (314) 로 충전된다. 전형적으로, 낮은 저항률 (약 1.7 μΩ-cm 의 저항률) 때문에, 상호접속부 및 접촉/비어 (306) 를 충전하는 데에 구리가 사용된다. 화학 기상 증착, 물리 기상 증착, 전기도금, 또는 그것들의 조합을 사용하여 도전성 재료 (314) 를 증착해서, 다마신 구조를 형성한다. 유기실리케이트층 (304) 뿐만 아니라 유전층 (302, 308) 주위로의 금속 마이그레이션 (migration) 을 방지하기 위해, 탄탈, 탄탈 질화물, 또는 다른 적당한 장벽 재료 등의 장벽층 (312) 을 먼저 상호접속부 (310) 및 접촉/비어 (306) 의 측벽상에 정합하여 증착하는 것이 바람직하다.
본 발명의 범위에 해당하는 몇몇 바람직한 실시예를 상세히 설명하였으나, 그 외에 다른 많은 변형례들도 본 발명의 범위에 포함될 수 있다.
본 발명에 의하면, 유기실리케이트층은 3.0 보다 작은 유전율을 가지므로, 집적회로에 형성될 금속 상호접속부들 사이의 용량성 결합을 방지하거나 최소화할 수 있다. 또한, 본 발명에 의하면, 유기실리케이트층의 유전율이 층 형성동안의 가스 혼합물의 조성뿐만 아니라 반응 온도의 함수로서 원하는 범위 내에서 변할 수 있다는 점에서, 유기실리케이트층의 유전율을 조절할 수 있다.

Claims (83)

  1. 증착 챔버 내에 기판을 배치시키는 단계;
    유기실란 화합물 및 산소 함유 가스를 포함하는 가스 혼합물을 상기 증착 챔버에 제공하는 단계; 및
    상기 증착 챔버 내의 상기 가스 혼합물에 전계를 인가하여 상기 기판 상에 유기실리케이트층을 형성하는 단계를 포함하는 것을 특징으로 하는 박막 증착 방법.
  2. 제 1 항에 있어서,
    상기 유기실란 화합물은, SiaCbHcOd 의 일반식을 갖고, 여기서 a 는 1 내지 2 의 범위이고, b 는 1 내지 10 의 범위이고, c 는 6 내지 30 의 범위이고, d 는 0 내지 6 의 범위인 것을 특징으로 하는 박막 증착 방법.
  3. 제 2 항에 있어서,
    상기 유기실란 화합물은, 메틸실란 (SiCH6), 디메틸실란 (SiC2H8), 트리메틸실란 (SiC3H10), 테트라메틸실란 (SiC4H12), 메톡시실란 (SiCH 6O), 디메틸디메톡시실란 (SiC4H12O2), 디에틸디에톡시실란 (SiC8H18O 2), 디메틸디에톡시실란 (SiC6H16O2), 디에틸디메톡시실란 (SiC6H16O2), 헥사메틸디실록산 (Si2C6 H18O), 비스(메틸실라노)메탄 (Si2C3H12), 1,2-비스(메틸실라노)에탄 (Si2C4H 14) 및 이들의 조합으로 된 군으로부터 선택되는 것을 특징으로 하는 박막 증착 방법.
  4. 제 1 항에 있어서,
    상기 산소 함유 가스는, 아산화질소 (N2O), 산소 (O2), 오존 (O3), 일산화탄소 (CO), 이산화탄소 (CO2) 및 이들의 조합으로 된 군으로부터 선택되는 것을 특징으로 하는 박막 증착 방법.
  5. 제 1 항에 있어서,
    상기 증착 챔버 내의 상기 가스 혼합물에 인가되는 상기 전계는 고주파 (RF) 전력인 것을 특징으로 하는 박막 증착 방법.
  6. 제 5 항에 있어서,
    상기 RF 전력은 1 watts/cm2 내지 500 watts/cm2 의 범위인 것을 특징으로 하는 박막 증착 방법.
  7. 제 1 항에 있어서,
    상기 증착 챔버는 1 torr 내지 500 torr 의 압력에서 유지되는 것을 특징으로 하는 박막 증착 방법.
  8. 제 1 항에 있어서,
    상기 유기실란 화합물은 50 sccm 내지 1,000 sccm 범위의 유량으로 상기 증착 챔버로 제공되는 것을 특징으로 하는 박막 증착 방법.
  9. 제 1 항에 있어서,
    상기 산소 함유 가스는 10 sccm 내지 1,000 sccm 범위의 유량으로 상기 증착 챔버에 제공되는 것을 특징으로 하는 박막 증착 방법.
  10. 제 1 항에 있어서,
    상기 산소 함유 가스 대 상기 유기실란 화합물의 비율은 1:1 내지 1:5 인 것을 특징으로 하는 박막 증착 방법.
  11. 제 1 항에 있어서,
    상기 증착 챔버는 50 ℃ 내지 500 ℃ 의 온도에서 유지되는 것을 특징으로 하는 박막 증착 방법.
  12. 제 1 항에 있어서,
    상기 유기실리케이트층은 0.1 microns/min 보다 큰 속도로 상기 기판 상에 형성되는 것을 특징으로 하는 박막 증착 방법.
  13. 제 1 항에 있어서,
    상기 기판 상에 형성된 상기 유기실리케이트층을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  14. 제 13 항에 있어서,
    상기 어닐링 단계는 200 ℃ 내지 500 ℃ 의 온도에서 실시되는 것을 특징으로 하는 박막 증착 방법.
  15. 제 13 항에 있어서,
    상기 어닐링 단계는, 질소 (N2), 수소 (H2), 및 산소 (O2) 로 된 군으로부터 선택된 하나 이상의 가스를 포함하는 분위기에서 실시되는 것을 특징으로 하는 박막 증착 방법.
  16. 제 13 항에 있어서,
    상기 어닐링 단계는 30 분 미만의 시간동안 실시되는 것을 특징으로 하는 박막 증착 방법.
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 소프트웨어 루틴을 실행시, 층 증착 방법을 사용하여 범용 컴퓨터로 하여금 증착 챔버를 제어하게 하는 상기 소프트웨어 루틴을 포함하는 컴퓨터 저장매체로서,
    상기 층 증착 방법은,
    상기 증착 챔버 내에 기판을 배치시키는 단계;
    유기실란 화합물 및 산소 함유 가스를 포함하는 가스 혼합물을 상기 증착 챔버에 제공하는 단계; 및
    상기 증착 챔버 내의 상기 가스 혼합물에 전계를 인가하여 상기 기판 상에 유기실리케이트층을 형성하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 저장매체.
  34. 제 33 항에 있어서,
    상기 유기실란 화합물은, SiaCbHcOd 의 일반식을 갖고, 여기서 a 는 1 내지 2 의 범위이고, b 는 1 내지 10 의 범위이고, c 는 6 내지 30 의 범위이고, d 는 0 내지 6 의 범위인 것을 특징으로 하는 컴퓨터 저장매체.
  35. 제 34 항에 있어서,
    상기 유기실란 화합물은, 메틸실란 (SiCH6), 디메틸실란 (SiC2H8), 트리메틸실란 (SiC3H10), 테트라메틸실란 (SiC4H12), 메톡시실란 (SiCH6O), 디메틸디메톡시실란 (SiC4H12O2), 디에틸디에톡시실란 (SiC8H18O2), 디메틸디에톡시실란 (SiC6H16O2), 디에틸디메톡시실란 (SiC6H16O2), 헥사메틸디실록산 (Si2C6H18O), 비스(메틸실라노)메탄 (Si2C3H12), 1,2-비스(메틸실라노)에탄 (Si2C4H14) 및 이들의 조합으로 된 군으로부터 선택되는 것을 특징으로 하는 컴퓨터 저장매체.
  36. 제 33 항에 있어서,
    상기 산소 함유 가스는, 아산화질소 (N2O), 산소 (O2), 오존 (O3), 일산화탄소 (CO), 이산화탄소 (CO2) 및 이들의 조합으로 된 군으로부터 선택되는 것을 특징으로 하는 컴퓨터 저장매체.
  37. 제 33 항에 있어서,
    상기 증착 챔버 내의 상기 가스 혼합물에 인가되는 상기 전계는 고주파 (RF) 전력인 것을 특징으로 하는 컴퓨터 저장매체.
  38. 제 37 항에 있어서,
    상기 RF 전력은 1 watts/cm2 내지 500 watts/cm2 의 범위인 것을 특징으로 하는 컴퓨터 저장매체.
  39. 제 33 항에 있어서,
    상기 증착 챔버는 1 torr 내지 500 torr 의 압력에서 유지되는 것을 특징으로 하는 컴퓨터 저장매체.
  40. 제 33 항에 있어서,
    상기 유기실란 화합물은 50 sccm 내지 1,000 sccm 범위의 유량으로 상기 증착 챔버로 제공되는 것을 특징으로 하는 컴퓨터 저장매체.
  41. 제 33 항에 있어서,
    상기 산소 함유 가스는 10 sccm 내지 1,000 sccm 범위의 유량으로 상기 증착 챔버에 제공되는 것을 특징으로 하는 컴퓨터 저장매체.
  42. 제 33 항에 있어서,
    상기 산소 함유 가스 대 상기 유기실란 화합물의 비율은 1:1 내지 1:5 인 것을 특징으로 하는 컴퓨터 저장매체.
  43. 제 33 항에 있어서,
    상기 증착 챔버는 50 ℃ 내지 500 ℃ 의 온도에서 유지되는 것을 특징으로 하는 컴퓨터 저장매체.
  44. 제 33 항에 있어서,
    상기 유기실리케이트층은 0.1 microns/min 보다 큰 속도로 상기 기판 상에 형성되는 것을 특징으로 하는 컴퓨터 저장매체.
  45. 제 33 항에 있어서,
    상기 층 증착 방법은, 상기 기판 상에 형성된 상기 유기실리케이트층을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 저장매체.
  46. 제 45 항에 있어서,
    상기 어닐링 단계는 200 ℃ 내지 500 ℃ 의 온도에서 실시되는 것을 특징으로 하는 컴퓨터 저장매체.
  47. 제 45 항에 있어서,
    상기 어닐링 단계는, 질소 (N2), 수소 (H2), 및 산소 (O2) 로 된 군으로부터 선택된 하나 이상의 가스를 포함하는 분위기에서 실시되는 것을 특징으로 하는 컴퓨터 저장매체.
  48. 제 45 항에 있어서,
    상기 어닐링 단계는 30 분 미만의 시간동안 실시되는 것을 특징으로 하는 컴퓨터 저장매체.
  49. 장치를 형성하는 방법으로서,
    기판 위에 도전체가 형성되는 상기 기판을 제공하는 단계; 및
    상기 도전체 상에 유기실리케이트층을 형성하는 단계를 포함하고,
    상기 유기실리케이트층은 유기실란 화합물 및 산소 함유 가스를 포함하는 가스 혼합물에 전계를 인가하여 형성되는 것을 특징으로 하는 장치 형성 방법.
  50. 제 49 항에 있어서,
    상기 도전체는 구리, 알루미늄, 텅스텐, 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 재료로 형성되는 것을 특징으로 하는 장치 형성 방법.
  51. 제 49 항에 있어서,
    상기 유기실란 화합물은, SiaCbHcOd 의 일반식을 갖고, 여기서 a 는 1 내지 2 의 범위이고, b 는 1 내지 10 의 범위이고, c 는 6 내지 30 의 범위이고, d 는 0 내지 6 의 범위인 것을 특징으로 하는 장치 형성 방법.
  52. 제 51 항에 있어서,
    상기 유기실란 화합물은, 메틸실란 (SiCH6), 디메틸실란 (SiC2H8), 트리메틸실란 (SiC3H10), 테트라메틸실란 (SiC4H12), 메톡시실란 (SiCH 6O), 디메틸디메톡시실란 (SiC4H12O2), 디에틸디에톡시실란 (SiC8H18O 2), 디메틸디에톡시실란 (SiC6H16O2), 디에틸디메톡시실란 (SiC6H16O2), 헥사메틸디실록산 (Si2C6 H18O), 비스(메틸실라노)메탄 (Si2C3H12), 1,2-비스(메틸실라노)에탄 (Si2C4H 14) 및 이들의 조합으로 된 군으로부터 선택되는 것을 특징으로 하는 장치 형성 방법.
  53. 제 49 항에 있어서,
    상기 산소 함유 가스는, 아산화질소 (N2O), 산소 (O2), 오존 (O3), 일산화탄소 (CO), 이산화탄소 (CO2) 및 이들의 조합으로 된 군으로부터 선택되는 것을 특징으로 하는 장치 형성 방법.
  54. 제 49 항에 있어서,
    상기 가스 혼합물에 인가되는 상기 전계는 고주파 (RF) 전력인 것을 특징으로 하는 장치 형성 방법.
  55. 제 54 항에 있어서,
    상기 RF 전력은 1 watts/cm2 내지 500 watts/cm2 의 범위인 것을 특징으로 하는 장치 형성 방법.
  56. 제 49 항에 있어서,
    상기 유기실리케이트층은 1 torr 내지 500 torr 의 압력에서 유지되는 증착 챔버 내에서 형성되는 것을 특징으로 하는 장치 형성 방법.
  57. 제 56 항에 있어서,
    상기 유기실란 화합물은 50 sccm 내지 1,000 sccm 범위의 유량으로 상기 증착 챔버로 제공되는 것을 특징으로 하는 장치 형성 방법.
  58. 제 56 항에 있어서,
    상기 산소 함유 가스는 10 sccm 내지 1,000 sccm 범위의 유량으로 상기 증착 챔버에 제공되는 것을 특징으로 하는 장치 형성 방법.
  59. 제 56 항에 있어서,
    상기 산소 함유 가스 대 상기 유기실란 화합물의 비율은 1:1 내지 1:5 인 것을 특징으로 하는 장치 형성 방법.
  60. 제 56 항에 있어서,
    상기 증착 챔버는 50 ℃ 내지 500 ℃ 의 온도에서 유지되는 것을 특징으로 하는 장치 형성 방법.
  61. 제 49 항에 있어서,
    상기 유기실리케이트층은 0.1 microns/min 보다 큰 속도로 상기 도전체 상에 형성되는 것을 특징으로 하는 장치 형성 방법.
  62. 제 49 항에 있어서,
    상기 기판 상에 형성된 상기 유기실리케이트층을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 장치 형성 방법.
  63. 제 62 항에 있어서,
    상기 어닐링 단계는 200 ℃ 내지 500 ℃ 의 온도에서 실시되는 것을 특징으로 하는 장치 형성 방법.
  64. 제 62 항에 있어서,
    상기 어닐링 단계는, 질소 (N2), 수소 (H2), 및 산소 (O2) 로 된 군으로부터 선택된 하나 이상의 가스를 포함하는 분위기에서 실시되는 것을 특징으로 하는 장치 형성 방법.
  65. 제 63 항에 있어서,
    상기 어닐링 단계는 30 분 미만의 시간동안 실시되는 것을 특징으로 하는 장치 형성 방법.
  66. 다마신 구조를 제조하는 방법으로서,
    기판 상에 제 1 유전층을 형성하는 단계;
    유기실란 화합물 및 산소 함유 가스를 포함하는 가스 혼합물에 전계를 인가하여 상기 제 1 유전층 상에 유기실리케이트층을 형성하는 단계;
    상기 유기실리케이트층을 패터닝하여 그것을 통하는 접촉/비어를 한정하는 단계;
    상기 패터닝된 유기실리케이트층 상에 제 2 유전층을 형성하는 단계;
    상기 제 2 유전층을 패터닝하여, 상기 유기실리케이트층 내에 한정되어진 상기 접촉/비어 상에 위치하는 상호접속부를 한정하는 단계;
    상기 제 1 절연층을 에칭하여 그것을 통하는 접촉/비어를 형성하는 단계; 및
    도전성 재료로 상기 접촉/비어 및 상기 상호접속부를 충전하는 단계를 포함하는 것을 특징으로 하는 다마신 구조의 제조 방법.
  67. 제 66 항에 있어서,
    상기 제 1 유전층 및 상기 제 2 유전층은 각각, 비정질 탄소, 플루오르화 비정질 탄소, 파릴렌, 플루오르화 실리케이트 유리 (FSG), 실리콘 카바이드, 및 이들의 조합으로 이루어진 군으로부터 선택되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  68. 제 66 항에 있어서,
    상기 접촉/비어 및 상호접속부를 충전시키는 상기 도전체는 구리, 알루미늄, 텅스텐, 및 이들의 조합으로 이루어진 군으로부터 선택되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  69. 제 66 항에 있어서,
    상기 유기실란 화합물은, SiaCbHcOd 의 일반식을 갖고, 여기서 a 는 1 내지 2 의 범위이고, b 는 1 내지 10 의 범위이고, c 는 6 내지 30 의 범위이고, d 는 0 내지 6 의 범위인 것을 특징으로 하는 다마신 구조의 제조 방법.
  70. 제 69 항에 있어서,
    상기 유기실란 화합물은, 메틸실란 (SiCH6), 디메틸실란 (SiC2H8), 트리메틸실란 (SiC3H10), 테트라메틸실란 (SiC4H12), 메톡시실란 (SiCH 6O), 디메틸디메톡시실란 (SiC4H12O2), 디에틸디에톡시실란 (SiC8H18O 2), 디메틸디에톡시실란 (SiC6H16O2), 디에틸디메톡시실란 (SiC6H16O2), 헥사메틸디실록산 (Si2C6 H18O), 비스(메틸실라노)메탄 (Si2C3H12), 1,2-비스(메틸실라노)에탄 (Si2C4H 14) 및 이들의 조합으로 된 군으로부터 선택되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  71. 제 66 항에 있어서,
    상기 산소 함유 가스는, 아산화질소 (N2O), 산소 (O2), 오존 (O3), 일산화탄소 (CO), 이산화탄소 (CO2) 및 이들의 조합으로 된 군으로부터 선택되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  72. 제 66 항에 있어서,
    상기 가스 혼합물에 인가되는 상기 전계는 고주파 (RF) 전력인 것을 특징으로 하는 다마신 구조의 제조 방법.
  73. 제 72 항에 있어서,
    상기 RF 전력은 1 watts/cm2 내지 500 watts/cm2 의 범위인 것을 특징으로 하는 다마신 구조의 제조 방법.
  74. 제 66 항에 있어서,
    상기 유기실리케이트층은 1 torr 내지 500 torr 의 압력에서 유지되는 증착 챔버 내에서 형성되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  75. 제 74 항에 있어서,
    상기 유기실란 화합물은 50 sccm 내지 1,000 sccm 범위의 유량으로 상기 증착 챔버로 제공되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  76. 제 74 항에 있어서,
    상기 산소 함유 가스는 10 sccm 내지 1,000 sccm 범위의 유량으로 상기 증착 챔버에 제공되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  77. 제 74 항에 있어서,
    상기 산소 함유 가스 대 상기 유기실란 화합물의 비율은 1:1 내지 1:5 인 것을 특징으로 하는 다마신 구조의 제조 방법.
  78. 제 74 항에 있어서,
    상기 증착 챔버는 50 ℃ 내지 500 ℃ 의 온도에서 유지되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  79. 제 66 항에 있어서,
    상기 유기실리케이트층은 0.1 microns/min 보다 큰 속도로 상기 제 1 유전층 상에 형성되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  80. 제 66 항에 있어서,
    상기 제 1 유전층 상에 형성된 상기 유기실리케이트층을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 다마신 구조의 제조 방법.
  81. 제 80 항에 있어서,
    상기 어닐링 단계는 200 ℃ 내지 500 ℃ 의 온도에서 실시되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  82. 제 80 항에 있어서,
    상기 어닐링 단계는, 질소 (N2), 수소 (H2), 및 산소 (O2) 로 된 군으로부터 선택된 하나 이상의 가스를 포함하는 분위기에서 실시되는 것을 특징으로 하는 다마신 구조의 제조 방법.
  83. 제 80 항에 있어서,
    상기 어닐링 단계는 30 분 미만의 시간동안 실시되는 것을 특징으로 하는 다마신 구조의 제조 방법.
KR1020010067185A 2000-10-30 2001-10-30 유기실리케이트층을 증착시키는 방법 KR100818953B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/702,600 2000-10-30
US09/702,600 US6531398B1 (en) 2000-10-30 2000-10-30 Method of depositing organosillicate layers

Publications (2)

Publication Number Publication Date
KR20020033577A KR20020033577A (ko) 2002-05-07
KR100818953B1 true KR100818953B1 (ko) 2008-04-04

Family

ID=24821882

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010067185A KR100818953B1 (ko) 2000-10-30 2001-10-30 유기실리케이트층을 증착시키는 방법

Country Status (5)

Country Link
US (1) US6531398B1 (ko)
EP (1) EP1201785A1 (ko)
JP (1) JP2002275631A (ko)
KR (1) KR100818953B1 (ko)
TW (1) TW546768B (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
SG98468A1 (en) 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6887780B2 (en) 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6677253B2 (en) 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6936309B2 (en) * 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
JP4015510B2 (ja) * 2002-09-09 2007-11-28 日本エー・エス・エム株式会社 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US7022622B2 (en) * 2004-07-08 2006-04-04 International Business Machines Corporation Method and structure to improve properties of tunable antireflective coatings
US7736728B2 (en) * 2004-08-18 2010-06-15 Dow Corning Corporation Coated substrates and methods for their preparation
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
KR101911196B1 (ko) * 2009-10-27 2018-10-24 실코텍 코포레이션 화학적 증기 증착 코팅, 물품, 및 방법
KR101790206B1 (ko) 2010-10-05 2017-10-25 실코텍 코포레이션 내마모성 코팅, 물건 및 방법
US9975143B2 (en) 2013-05-14 2018-05-22 Silcotek Corp. Chemical vapor deposition functionalization
US11292924B2 (en) 2014-04-08 2022-04-05 Silcotek Corp. Thermal chemical vapor deposition coated article and process
US9915001B2 (en) 2014-09-03 2018-03-13 Silcotek Corp. Chemical vapor deposition process and coated article
US10316408B2 (en) 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US10323321B1 (en) 2016-01-08 2019-06-18 Silcotek Corp. Thermal chemical vapor deposition process and coated article
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10487403B2 (en) 2016-12-13 2019-11-26 Silcotek Corp Fluoro-containing thermal chemical vapor deposition process and article
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010040901A (ko) * 1998-02-11 2001-05-15 조셉 제이. 스위니 저 유전 상수 필름을 증착하는 플라즈마 방법

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JP2934353B2 (ja) 1992-06-24 1999-08-16 三菱電機株式会社 半導体装置およびその製造方法
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP3262334B2 (ja) 1992-07-04 2002-03-04 トリコン ホルディングズ リミテッド 半導体ウエハーを処理する方法
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5298587A (en) 1992-12-21 1994-03-29 The Dow Chemical Company Protective film for articles and method
US5364666A (en) 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
US5888593A (en) 1994-03-03 1999-03-30 Monsanto Company Ion beam process for deposition of highly wear-resistant optical coatings
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
JPH0855913A (ja) 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
JPH08181210A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181276A (ja) 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
US6037274A (en) 1995-02-17 2000-03-14 Fujitsu Limited Method for forming insulating film
US5637351A (en) 1995-05-11 1997-06-10 Air Products And Chemicals, Inc. Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter
JP3463416B2 (ja) 1995-06-23 2003-11-05 ソニー株式会社 絶縁膜の製造方法および半導体装置
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
JPH09116011A (ja) 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
TW362118B (en) 1995-10-30 1999-06-21 Dow Corning Method for depositing amorphous SiNC coatings
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09251997A (ja) 1996-03-18 1997-09-22 Toshiba Corp シリコン酸化膜の形成方法
JPH09260369A (ja) 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
JP3355949B2 (ja) 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
WO1998008249A1 (en) 1996-08-24 1998-02-26 Trikon Equipments Limited Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5834162A (en) 1996-10-28 1998-11-10 Regents Of The University Of California Process for 3D chip stacking
KR19980064444A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 다층 집적 회로 유전체 구조의 에칭 방법
JPH10242143A (ja) 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
EP0885983A1 (en) 1997-06-19 1998-12-23 N.V. Bekaert S.A. Method for coating a substrate with a diamond like nanocomposite composition
DE19804375B4 (de) 1997-06-26 2005-05-19 Mitsubishi Denki K.K. Verfahren zur Herstellung eines Zwischenschichtisolierfilmes
JPH1116904A (ja) 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1125193A (ja) 1997-06-27 1999-01-29 Mitsubishi Electric Corp 訪問看護支援システムにおける携帯端末
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6103590A (en) 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
DE69840889D1 (de) 1997-12-23 2009-07-23 Texas Instruments Inc Chemisch-mechanisches Polieren für die Planarisierung isolierender Dielektrika
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6348421B1 (en) 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
DE19904311A1 (de) 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP3084367B1 (ja) 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US7309662B1 (en) 1999-06-26 2007-12-18 Aviza Europe Limited Method and apparatus for forming a film on a substrate
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
EP1352107A2 (en) 2000-10-25 2003-10-15 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US6368924B1 (en) 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010040901A (ko) * 1998-02-11 2001-05-15 조셉 제이. 스위니 저 유전 상수 필름을 증착하는 플라즈마 방법

Also Published As

Publication number Publication date
JP2002275631A (ja) 2002-09-25
KR20020033577A (ko) 2002-05-07
TW546768B (en) 2003-08-11
EP1201785A1 (en) 2002-05-02
US6531398B1 (en) 2003-03-11

Similar Documents

Publication Publication Date Title
KR100818953B1 (ko) 유기실리케이트층을 증착시키는 방법
EP1176226B1 (en) Method of deposition of silicon carbide film in integrated circuit fabrication
US6632735B2 (en) Method of depositing low dielectric constant carbon doped silicon oxide
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
US6589888B2 (en) Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6500773B1 (en) Method of depositing organosilicate layers
US7244672B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US6777171B2 (en) Fluorine-containing layers for damascene structures
US6472333B2 (en) Silicon carbide cap layers for low dielectric constant silicon oxide layers
EP1186685A2 (en) Method for forming silicon carbide films
KR100857664B1 (ko) 유기규산염층의 증착 방법
US20020142104A1 (en) Plasma treatment of organosilicate layers
US6511920B2 (en) Optical marker layer for etch endpoint determination

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee