KR20010040901A - 저 유전 상수 필름을 증착하는 플라즈마 방법 - Google Patents

저 유전 상수 필름을 증착하는 플라즈마 방법 Download PDF

Info

Publication number
KR20010040901A
KR20010040901A KR1020007008809A KR20007008809A KR20010040901A KR 20010040901 A KR20010040901 A KR 20010040901A KR 1020007008809 A KR1020007008809 A KR 1020007008809A KR 20007008809 A KR20007008809 A KR 20007008809A KR 20010040901 A KR20010040901 A KR 20010040901A
Authority
KR
South Korea
Prior art keywords
layer
sih
depositing
dielectric
gas
Prior art date
Application number
KR1020007008809A
Other languages
English (en)
Other versions
KR100605770B1 (ko
Inventor
데이비드 청
와이-판 야우
로버트 피. 만달
신-푸 정
쿠오-웨이 리우
융-쳉 루
마이크 바네스
랄프 비. 윌레케
파하드 모가담
테츄야 이시카와
쯔 푼
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/021,788 external-priority patent/US6054379A/en
Priority claimed from US09/162,915 external-priority patent/US6287990B1/en
Priority claimed from US09/185,555 external-priority patent/US6303523B2/en
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010040901A publication Critical patent/KR20010040901A/ko
Application granted granted Critical
Publication of KR100605770B1 publication Critical patent/KR100605770B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 10W 내지 200W의 일정 RF 전력레벨 또는 20W 내지 500W의 펄스화된 RF 전력레벨에서 산화 기체로 탄소를 함유한 하나 이상의 실리콘 화합물을 반응시켜 저 유전상수 필름을 증착시키는 장치 및 방법에 관한 것이다. 산화 기체의 해리는 유기실리콘 화합물과의 혼합 이전에 증가되 수 있다. 바람직하게는, 분리 마이크로파 챔버내에서 증착된 층의 탄소 함량을 제어하는 것을 보조한다. 산화된 유기실란 또는 유기실록산 필름은 다른 유전체층에 인접한 라이너층 또는 캡층으로 사용하기에 우수한 배리어로서의 역할을 한다. 산화된 유기실란 또는 유기실록산 필름은 이중 다마신 구조물을 제조하기 위해 금속간 유전체층으로서 사용될 수 있다. 산화된 유기실란 또는 유기실록산 필름은 다른 유전체층 사이에 우수한 부착을 제공한다. 바람직한 산화된 유기실란 필름은 10% 내지 30%의 듀티 싸이클 동안 10W 내지 150W의 일정 RF 전력레벨 또는 20W 내지 250W의 펄스화된 RF 전력레벨에서 메틸실란 CH3-SiH3, 디메틸실란 (CH3)2-SiH2, 또는 1,1,3,3-테트라메틸디실록산 (CH3)2-SiH-O-SiH-(CH3)2및 아산화질소 N2O와의 반응으로 인하여 생성된다.

Description

저 유전 상수 필름을 증착하는 플라즈마 방법 {PLASMA PROCESSES FOR DEPOSITING LOW DIELECTRIC CONSTANT FILMS}
현대 반도체 디바이스 제조시의 중요 단계중 하나는 기체의 화학 반응에 의하여 기판 상에 금속 및 유전체 필름을 형성하는 것이다. 상기와 같은 증착 공정을 화학기상증착 또는 CVD라고 한다. 통상적인 열적 CVD 공정은 기판 표면상에 반응성 기체를 공급하며, 여기서 열에 의하여 유도된 화학적 반응이 발생하여 원하는 필름을 형성하도록 한다. 일부 열적 CVD 공정이 동작하는 고온은 기판에 이미 형성된 층을 가진 디바이스 구조에 손상을 줄 수 있다. 상대적으로 저온에서 금속 및 유전체 필름을 증착하는 바람직한 방법은 플라즈마 강화 CVD(PECVD) 기술이며, 이는 "실리콘 산화물을 증착하기 위하여 TEOS를 이용하는 플라즈마 강화 CVD 공정"이라는 명칭의 미국특허 5,362,526에 개시되어 있으며, 여기에 참고된다. 플라즈마 강화 CVD 기술은 고주파(RF) 에너지를 기판 표면 근처의 반응 영역에 인가함으로써 반응 기체의 여기 및/또는 분열을 증진시켜 높은 반응성 화학물(species)의 플라즈마를 생성하도록 한다. 방출된 화학물의 높은 반응성은 발생될 화학 반응에 요구되는 에너지를 감소시켜 상기와 같은 PECVD 공정에 필요한 온도를 낮춘다.
반도체 디바이스 형상은 디바이스가 수십년전에 소개된 이후에 사이즈가 상당히 감소되었다. 그 이후, 집적회로는 일반적으로 2년에 사이즈가 반으로 감소한다는 법칙(때때로 무어 법칙이라고 함)에 따르는데, 이는 칩상에 조립되는 디바이스 수가 매 이년마다 두 배로 됨을 의미한다. 오늘날의 조립 공장은 0.35μm 그리고 심지어는 0.18μm 패턴 사이즈를 가진 디바이스를 제조하는데, 미래의 공장에서는 이보다 작은 크기를 가진 디바이스를 제조할 것이다.
집적회로상의 디바이스 크기를 더 감소시키기 위하여, 낮은 저항성을 가진 도전성 물질 및 인접 금속 라인사이의 용량성 결합을 감소시키도록 낮은 k(유전체 상수<4.0)를 가진 유전체를 이용할 필요가 있다. 라이너/배리어층은 도전성 물질과 유전체사이에 이용되어 수분과 같은 부산물이 도전성 물질로 유입되는 것을 방지하는데, 이에 대하여는 국제 공개 공보 WO 94/01885에 개시되어 있다. 예를 들어, 낮은 k 유전체를 형성하는 중에 발생될 수 있는 수분은 도전성 금속의 표면에 쉽게 확산되며 도전성 금속 표면의 저항성을 증가시킨다. 통상적인 실리콘 산화물 또는 실리콘질화물 물질로 형성된 배리어/라이너층은 부산물의 확산을 차단할 수 있다. 그러나, 배리어/라이너층은 일반적으로 4.0이상의 유전상수를 가지며, 높은 유전 상수는 결합된 유전체가 유전 상수를 상당히 줄이지 못하도록 한다.
도 1a는 국제공개공보 WO 94/01885에 개시된 배리어/라이너층을 증착하는 PECVD 공정을 도시한다. PECVD 공정은 다중 성분 유전체층을 증착하는데, 여기서 실리콘 이산화물(SiO2) 라이너층(2)은 기판 상에 형성된 금속 라인(3)을 가진 패턴화된 금속층상에 먼저 증착된다. 라이너층(2)은 300℃에서 실란(SiH2) 및 아산화질소(N2O)의 플라즈마 보강 반응에 의하여 증착된다. 다음에 자기 평탄화되는 낮은 k 유전체층(5)이 실란 화합물 및 과산화물 화합물의 반응에 의하여 라이너층(2)상에 증착된다. 자기 평탄화층(5)은 경화에 의하여 제거되는 수분을 함유한다. 라이너층(2)은 적어도 4.5이상의 유전상수를 제공하도록 증착될 때 유효 배리어 성질을 가진 산화된 실란 필름이다. 산화된 실란 필름의 유전상수는 필름의 수분 배리어 성질을 감소시키도록 공정 조건을 변경시킴으로써 약 4.1로 감소될 수 있다. SiN과 같은 통상적인 라이너층은 높은 유전체 상수를 가지며 낮은 k유전체층과 높은 k유전체층의 결합은 전체 적층 유전체 상수 및 유도성 결합에 있어서 거의 개선할 수 없다.
도 1b에 도시된 바와 같이, WO 94/01885는 실란 및 N2O의 반응에 의하여 낮은 k유전체층(5) 상에 층착되는 선택적인 SiO2캡층(6)을 개시하고 있다. 캡층(6) 역시 약 4.5의 유전상수를 제공하도록 증착될 경우 양호한 배리어 성질을 가진 산화된 실란 필름이다. 라이너층(2) 및 캡층(6)은 모두 4.5이상의 유전상수를 가지며 높은 유전상수층은 낮은 k 유전체층(5)의 이점을 손상시킨다.
디바이스가 작아질수록, 높은 유전상수를 가진 캡층은 다중 성분 유전체층의 전체 유전상수를 크게 한다. 또한, 공지된 낮은 k유전체 물질은 비어 및/또는 상호접속부의 에칭 중에 물질을 에칭 정지층으로 적합하지 않게 하는 낮은 산화물 함량을 가진다. 실리콘질화물은 낮은 k 유전체 물질에서 상호접속 라인을 형성하기 위하여 선택된 에칭 정지 물질 이였다. 그러나, 실리콘질화물은 주변의 낮은 k 유전체층에 비하여 상대적으로 높은 유전상수(약 7의 유전상수)를 가진다. 실리콘질화물은 다른 낮은 k유전체 물질이 주요 절연체로서 사용될 때에도 상호접속 라인사이에서 용량성 결합을 상당히 증가시키는 것으로 알려졌다. 이는 누화 및/또는 저항-캐패시턴스(RC) 지연을 야기하는데, 이는 디바이스의 전체 성능을 약화시킨다. 따라서, 실리콘질화물 에칭 정지층은 일반적으로 하부 유전체층을 에칭한 후에 제거된다.
이상적으로, 라이너층으로서 사용하기 위한 우수한 배리어 성질 및 에칭 정지부로서 사용하기에 충분한 산화물 함량을 모두 가진 낮은 k유전체층은 현재 낮은 k유전체 물질과 동일한 챔버에서 식별되고 증착될 수 있다. 상기와 같은 배리어층은 유전체층의 전체 유전상수를 증가시키지 않으며, 상기와 같은 에칭 정지층은 하부층을 에칭한 후에 제거될 필요가 없다.
미국특허 5,554,570은 열적 CVD 실리콘산화물에 이용하기 위한 배리어층을 개시하는데, 여기서 C-H기를 가진 유기실란은 실란 대신 산화되어 증착된 필름의 밀도를 증가시키고 층사이의 접착성을 개선시킨다. 예를 들어, 테트라엑소시실란(TEOS) 및 오존으로부터 생성된 열적 CVD층은 유기실리콘 및 N2O 또는 O2로부터 생성된 PECVD 실리콘산화물 필름사이에 증착될 수 있다.
'570특허에 설명된 배리어층은 바람직하게 낮은 카본 함량을 가진 고밀도 실리콘산화물층이다. 고밀도 층은 400W의 고주파 RF 파워를 이용하여 증착되지만, 저주파 RF 파워의 이용도 필름 스트레스를 개선시킨다. 배리어층은 바람직하게 알콕시실란 또는 염화 알킬실란 및 N2O로 형성되어 카본 함량을 감소시키고 층 밀도를 증가시킨다.
'570특허는 낮은 유전상수를 가진 배리어층을 형성하거나 또는 높은 산화물 함량을 가진 에칭 정지층을 형성하기 위한 공정 조건을 인식하지 못하였다. '570특허는 낮은 k 유전체층에 인접한 배리어층 또는 에칭 정지부로서 상기 층의 사용을 제안하지 못하였다.
서브미크론 디바이스에서 배리어층 또는 에칭 정지층으로서 이용하기 위한 낮은 유전상수, 양호한 배리어 성질 및 높은 산화물 함량을 가진 유전체층이 요구된다.
본 발명은 집적회로 제조에 관한 것이다. 특히 본 발명은 유전체층을 기판에 증착하는 방법 및 장치에 관한 것이다.
도 1a-1b(종래 기술)는 공지된 공정에 의하여 기판 상에 증착되는 유전체층의 개략도이다.
도 2는 본 발명에 이용되도록 구성된 CVD 플라즈마 반응기의 단면도이다.
도 3은 도 2의 CVD 플라즈마 반응기의 시스템 모니터를 도시한다.
도 4는 도 2의 CVD 플라즈마 반응기와 함께 이용되는 공정 제어 컴퓨터 프로그램 제품의 흐름도이다.
도 5는 본 발명의 일 실시예에 따른 갭 충진 공정에서 라이너 및 캡층을 증착할 때 취해지는 단계를 도시하는 흐름도이다.
도 6a-e는 도 5의 공정에 의하여 기판 상에 증착된 층을 도시한다.
도 7은 본 발명의 실리콘산화물층을 포함하는 이중 다마신 구조를 도시하는 단면도이다.
도 8a-h는 본 발명의 이중 다마신 증착 시퀀스의 일 실시예를 도시하는 단면도이다.
도 9는 전구금속 유전체층 및 금속간 유전체층사이에 본 발명의 실리콘산화물층을 포함하는 접착층을 도시하는 단면도이다.
도 10a-h는 본 발명의 실리콘산화물이 통상적인 에칭 정지부에 금속간 유전체 필름을 접착하기 위하여 이용되는 이중 다마신 증착 시퀀스를 도시하는 단면도이다.
도 11a-d는 본 발명의 실리콘산화물이 통상적인 에칭 정지부를 제거하기 위하여 이용되는 통합된 이중 다마신 증착 시퀀스를 도시하는 단면도 이다.
본 발명은 낮은 유전상수를 가진 실리콘산화물층을 증착하기 위한 방법 및 장치를 제공한다. 실리콘산화물층은 RF 또는 마이크로파 파워를 이용하여 유기실란, 유기실록산 또는 이들의 결합물을 플라즈마 화학 기상 증착함으로써 생성되어 반응성 산소 원자를 생성시키도록 한다. 실리콘이산화물층의 성질은 공정을 변화시켜 쉽게 제어되며, 여러 층들은 개선된 이중 다마신(damascene) 공정에 대하여 도시된 바와 같이 하나의 증착 챔버에서 증착될 수 있다 또한, 실리콘산화물층은 여러 층사이의 부착층으로서 또는 중간 유전체층으로서 이용될 수 있다. 바람직한 실리콘산화물층은 아산화질소(N2O), 및 메틸실란(CH3SiH3), 디메틸실란((CH3)2SiH2), 또는 1,1,3,3-테트라메틸실디실록산((CH3)2-SiH-O-SiH-(CH3)2)과 같은 Si-H본드를 포함하는 실리콘 화합물을 반응시켜 형성된다.
실리콘산화물층은 저압 및 고온에서 경화되어 성질을 안정화시킨다. 실리콘산화물층은 바람직하게 별도의 RF파워를 증착 챔버에 인가함으로써 생성되어 증착된 층의 다공성을 증가시키도록 한다. 저레벨의 RF 파워는 바람직하게 약 10토르보다 낮은 챔버 압력에서 이용된다. 마이크로파 파워는 바람직하게 분리 챔버의 기체를 산화시켜 증착 챔버의 파워 레벨을 증가시키지 않고 반응성 산화제의 형성을 제어하기 위하여 제공된다.
바람직한 실시예에서, 실리콘산화물층은 Si-H 본드를 포함하는 하나 이상의 유기실란 및/또는 유기실록산 화합물을 플라즈마 반응시킴으로써 패턴화된 금속층위에 증착된다.
본 발명의 실리콘산화물은 상호접속 라인사이의 용량성 결합을 감소시키도록 하나의 증착 챔버에서 신뢰성 있는 이중 다마신 구조의 제조를 위한 집적화 공정에 이용될 수 있다. 바람직한 실시예에서, 20원자 중량%이상의 높은 카본 함량을 가진 낮은 k 유전체 필름은 비아 레벨 유전체층으로서 증착된다. 10원자 중량%이하의 낮은 카본 함량을 가진 낮은 k 유전체 필름은 트렌치 레벨 유전체층을 형성하기 위하여 높은 카본 함량 층상에 증착된다. 이중 다마신 에칭은 트렌치 레벨 유전체층에 트렌치를 형성하고 비아 레벨 유전체층에 정지부를 형성한다. 비아 레벨 유전체층에 비아를 에칭한 후에, 상부 표면은 평탄화되어 트렌치 레벨 유전체층 위가 평탄화되도록 한다.
본 발명은 실리콘산화물 또는 실리콘질화물과 같은 통상적인 에칭 정지부상에 증착되는 실리콘산화물을 포함하는 금속간 유전체층(IMD)을 추가로 제공한다. 실리콘산화물은 얇은 접착층으로서 증착될 수 있다.
이하 첨부된 도면을 참조로 본 발명을 설명한다.
첨부된 도면은 본 발명의 일부 실시예만을 나타내기 때문에 본 발명의 범위가 여기에 한정되는 것은 아니며, 다른 실시예도 가능하다.
본 발명의 이해를 돕기 위해, 동일 부재는 동일 부호를 병기했다.
본 발명은 낮은 유전상수, 높은 산화물 함량 및 배리어 성질을 제공하기에 충분한 카본 함량을 가진 실리콘산화물층을 증착하는 방법 및 장치를 제공한다. 실리콘산화물층은 산화된 유기실란 또는 유기실록산을 포함하며, 다른 유전체 물질에 인접한 라이닝층, 낮은 유전상수를 가진 유전체 물질에 인접한 에칭 정지부, 금속간 유전체층 및 상이한 물질사이의 접착층으로서 이용될 수 있다. 산화된 유기실란 물질은 약 10W 내지 200W의 일정한 RF 파워 또는 약 20W 내지 약 500W의 펄스형 RF파워를 이용하여 유기실란 또는 유기실록산 화합물을 플라즈마에 의하여 산화시킴으로써 증착된다. 다공률(porosity)을 개선하기 위해 실리콘 산화층은 챔버를 바꾸거나 냉각 시간을 제공하는 것과 같은 짧은 브레이크를 사용하여 증착될 수 있다. RF 파워는 바람직하게 13MHz와 14MHz 사이의 고주파수로 제공된다. RF 파워는 바람직하게 짧은 사이클로 제공되며 상기 전력은 약 200 Hz이하의 사이클에 대해 일정한 레벨이며 약 10%에서 약 30%의 총 듀티 사이클상에 존재한다. 주기적인 RF 파워는 높은 피크 파워 레벨에서 동작할 수 있으며 낮은 파워 레벨에서 일정한 RF 파워로서 동일한 총 파워 입력을 제공할 수 있다.
실리콘 산화층에 남아있는 탄소는 낮은 유전 상수와 배리어 특성의 원인이 된다. 잔류 탄소는 약 1%와 약 50% 사이의 원자중량을 가지며, 실리콘 산화물에 소수성을 제공하기 위해 충분한 C-H 또는 C-F 본드를 포함하여 충분히 낮은 유전 상수를 가질 수 있고 습성 배리어 특성을 개선한다.
실리콘 산화층은 처리 상태에서 산화에 의해 쉽게 제거되지 않는 유기물인 탄소를 포함하는 실리콘 성분으로부터 산출된다. 바람직하게 C-H 본드는 알킬기 또는 아릴기 또는 플루오르 탄소 유도체 등을 포함한다. 적당한 유기물은 알키닐과 씨클로헥세닐기와 작용성 유도체를 포함할 수 있다. 유기 실리콘 화합물은 다음을 포함한다.
메틸실란 CH3-SiH3
디메틸실란 (CH3)2-SiH2
트리메틸실란 (CH3)3-SiH
디메틸실란디올 (CH3)2-Si-(OH)2
에틸실란 CH3-CH2-SiH3
페닐실란 C6H5-SiH3
디페닐실란 (C6H5)2-SiH2
디페닐실란디올 (C6H5)2-Si-(OH)3
메틸페닐실란 C6H5-SiH2-CH3
디실라노메탄 SiH3-CH2-SiH3
비스(메틸실라노)메탄 CH3-SiH2-CH2-SiH2-CH3
1,2-디실라노에탄 SiH3-CH2-CH2-SiH3
1,2-비스(메틸실라노)에탄 CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-디실라노프로판 SiH3-C(CH3)2-SiH3
1,3,5-트리실라노-2,4,6-트리에틸렌 -(-SiH2CH2-)3-(씨클릭)
1,3-디메틸디실록산 CH3-SiH2-O-SiH2-CH3
1,1,3,3-테트라메틸디실록산 (CH3)2-SiH-O-SiH-(CH3)2
헥사메틸디실록산 (CH3)3-Si-O-Si-(CH3)2
1,3-비스(실라노메틸렌)디실록산 (SiH3-CH2-SiH2-)2-O
비스(1-메틸디실록산닐)메탄 (CH3-SiH2-O-SiH2-)2-CH2
2,2-비스(1-메틸실록산닐)프로판 (CH3-SiH2-O-SiH2-)2-C(CH3)2
2,4,6,8-테트라메틸싸이클로테트라실록산 -(-SiHCH3-O-)4-(씨클릭)
옥탄메틸싸이클로테트라실록산 -(-SI(CH3)2-O-)4-(씨클릭)
2,4,6,8,10-펜타메틸싸이클로페타실록산 -(-SiHCH3-O-)5-(씨클릭)
1,3,5,7-테트라실라노-2,6-디옥시-4.8-디메틸렌
-(-SiH2-CH2-SiH2-O-)2-(씨클릭)
2,4,6-트리실란테트라하이드로파이란
-SiH2-CH2-SiH2-CH2-SiH2-O-(씨클릭)
2,5-디실란테트라하이드로푸란 -SiH2-CH2-CH2-SiH2-O-(씨클릭)
유기 실리콘 성분은 산소(02) 또는 산화 질소(N2O), 오존(O3), 이산화탄소(CO2) 및 물(H2O), 바람직하게는 N2O와 같은 산호를 포함하는 화합과 반응하여 증착된 필름의 탄소 함유량이 1 내지 50%의 원자중량, 바람직하게는 약 5 내지 30%의 원자중량을 가지도록 산화된다. 산화된 유기 실리콘층은 약 3.0의 유전 상수를 가지며 우수한 배리어 특성을 가진다. 산화된 유기 실리콘층은 또한 통상적으로 낮은 k 유전 상수와 충분한 접합 특성과 비교하여 높은 산화물 함유량을 가진다.
산소와 산소함유 화합물은 바람직하게 증착 필름에서 원하는 탄소 함유량을 얻는데 필요한 반응성을 증가시키기 위해 해리된다. RF 파워는 또한 화합물을 산화로 인한 해리를 증가시키기 위해 증착 챔버에 결합될 수 있다. 산화 성분은 또한 실리콘 함유 화합물의 초과 해리를 감소시키기 위해 증착 챔버에 진입하기 전에 마이크로파 챔버에서 해리될 것이다. 실리콘 산화층의 증착은 연속적이거나 불연속적일 수 있다. 증착은 바람직하게 단일 증착 챔버에서 발생하지만, 층은 두개 이상의 증착 챔버에서 연속적으로 증착될 수 있다. RF 파워는 기판의 히팅을 줄이며 증착 필름의 다공률을 증진시키기 위해 사이클링되거나 펄싱될 수 있다. 실리콘 산화층이 증착하는 동안, 기판은 약 -20℃에서 약 400℃의 온도에서 유지되며 바람직하게는 대략 -20℃에서 40℃의 온도에서 유지된다.
유기실란과 유기실록산 화합물은 바람직하게 다음 구조를 포함한다.
각 Si는 하나 이상의 탄소 원자에 본딩되며, 탄소는 유기물, 바람직하게는 -CH3, -CH2-CH3, -CH2-, 또는 -CH2-CH2- 와 같은 알킬 또는 알키닐 그룹 또는 플루오르 탄소 유도체에 포함된다. 플루오르 유도체의 탄소 원자는 수소 원자와 교환되어 부분적으로 또는 전체적으로 플루오르화된다. 유기실란 또는 유기실록산 화합물은 두개 이상의 Si 원자를 포함하며, 각 Si는 -O-, -C-, 또는 -C-C-에 의해 다른 Si와 분리되며, C는 유기물, 바람직하게는 -CH2-, -CH2-CH2-, -CH(CH3)- 또는 C(CH3)2-와 같은 알킬 또는 알키닐 그룹 또는 플루오르 유도체에 포함된다.
바람직한 유기실란 또는 유기실록산 화합물은 실내온도에서 기체 또는 액체이며 10Torr 이상에서 증발될 수 있다. 바람직한 유기실란 또는 유기실록산은:
메틸실란 CH3-SiH3
디메틸실란 (CH3)2-SiH2
트리메틸실란 (CH3)3-SiH
디메틸실란디올 (CH3)2-Si-(OH)2
비스(메틸실라노)메탄 CH3-SiH2-CH2-SiH2-CH3
1,2-디실라노에탄 SiH3-CH2-CH2-SiH3
1,2-비스(메틸실라노)에탄 CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-디실라노프로판 SiH3-C(CH3)2-SiH3
1,3,5-트리실라노-2,4,6-트리에틸렌 -(-SiH2CH2-)3-(씨클릭)
1,3-디메틸디실록산 CH3-SiH2-O-SiH2-CH3
1,1,3,3-테트라메틸디실록산 (CH3)2-SiH-O-SiH-(CH3)2
1,3-비스(실라노메틸렌)디실록산 (SiH3-CH2-SiH2-)2-O
비스(1-메틸디실록산닐)메탄 (CH3-SiH2-O-SiH2-)2-CH2
2,2-비스(1-메틸실록산닐)프로판 (CH3-SiH2-O-SiH2-)2-C(CH3)2
2,4,6,8-테트라메틸싸이클로테트라실록산 -(-SiHCH3-O-)4-(씨클릭)
2,4,6,8,10-펜타메틸싸이클로페타실록산 -(-SiHCH3-O-)5-(씨클릭)
1,3,5,7-테트라실라노-2,6-디옥시-4.8-디메틸렌
-(-SiH2-CH2-SiH2-O-)2-(씨클릭)
2,4,6-트리실란테트라하이드로파이란
-SiH2-CH2-SiH2-CH2-SiH2-O-(씨클릭)
2,5-디실란테트라하이드로푸란 -SiH2-CH2-CH2-SiH2-O-(씨클릭)
및 다음과 같은 이들을 불소화된 탄소 유도체:
트리플루오로메틸실란 CF3-SiH3
1,2-디실라노테트라플루오로에틸렌 SiH3-CF2-CF2-SiH3
1,2-비스(트리플루오로메틸실라노)테트라플루오로에탄
CF3-SiH2-CF2-CF2-SiH2-CF3
2,2-디실라노헥사플르오로프로판 SiH3-C(CF3)2-SiH3
1,3-비스(실라노디플루오로메틸렌)디실란 (SiH3-CF2-SiH2-)2-O
비스(1-트리플루오로메틸디실록산닐)디플루오로메탄 (CF3-SiH2-O-SiH2-)2-CF2
2,4,6-트리실란테트라플루오로파이란,
-SiH2-CF2-SiH2-CF2-SiH2-O-(씨클릭)
2,5-디실란테트라플루오로푸란 -SiH2-CF2-CF2-SiH2-O-(씨클릭)
유기실란과 유기실록산의 탄화수소 그룹은 C-H 본드와 C-F 본드를 교환하기 위해 부분적으로 또는 전체적으로 플루오르화될 수 있다. 많은 바람직한 유기실란 및 유기실록산 화합물은 상업적으로 이용될 수 있다. 두개 이상의 유기실란 또는 유기실록산의 화합물은 유전 상수, 산화물 함유량, 소수성, 필름 스트레스 및 플라즈마 에칭 특성과 같은 원하는 특성을 제공하는데 사용될 수 있다.
유기실란과 유기실록산 화합물은 바람직하게 아산화질소(N20)의 분해로 인해 증착 처리과정 동안 형성된 산소와 함께 플라즈마 보조 반응으로 증착되는 동안 산화된다. 산화 질소는 플라즈마의 보조없이 유기실란 또는 유기실록산와 반응하지 않으며, 산소-질소 결합은 유기실란과 유기실록산의 본드보다 낮은 온도에서 쉽게 깨진다. 산화된 화합물은 증착 필름을 형성하기 위해 반도체 기판의 패턴화된 층과 같은 접촉 표면에 부착된다. 증착된 필름은 필름의 배리어 특성을 안정시키기 위해 낮은 온도와 약 100에서 약 450℃, 바람직하게는 400℃ 이상의 온도에서 보존된다. 증착된 필름은 배리어 특성을 제공하기 위해 충분한 탄소 함유량을 가진다. 탄소 함유량은 바람직하게 우수한 습성 배리어인 소수성 필름을 제공하기 위해 C-H 또는 C-F 본드를 포함한다.
본 발명은 또한 반응 영역을 포함하는 용기를 가진 기판 처리 시스템, 반응 영역에 기판을 위치시키는 기판 홀더 및 진공 시스템을 제공한다. 처리 시스템은 또한 유기실란 또는 유기실록산 화합물을 공급하기 위해 용기의 반응 영역에 연결된 기체/액체 분배 시스템, 산화 기체 및 불활성 기체를 포함하며, RF 발생기는 반응 영역에서 플라즈마를 생성하기 위해 기체 분배 시스템에 결합된다. 처리 시스템은 또한 용기를 제어하는 컴퓨터를 포함하는 제어기, 기체 분배 시스템 및 RF 발생기를 포함한다. 제어기에 결합된 메모리는 처리 단계를 선택하기 위해 컴퓨터 판독 가능 프로그램 코드를 포함하는 컴퓨터 이용가능 매체를 포함한다. 상기 처리 단계는 유기실란 또는 유기실록산 화합물과 산화 기체의 플라즈마로 낮은 유전 상수를 가진 필름을 증착시킨다.
처리 시스템은 또한 다른 처리 단계를 선택하는 컴퓨터 판독 가능 프로그램 코드를 포함할 수 있으며, 상기 처리 단계는 선형의 산화 유기 실리콘 화합물을 증착하는 단계, 서로 다른 유전체층을 증착하는 단계 및 산화된 유기 실리콘 화합물의 캡핑층(capping layer)을 선택적으로 증착하는 단계를 포함한다.
전형적인 CVD 플라즈마 리액터
본 발명의 방법이 실행될 수 있는 적당한 CVD 플라즈마 리액터가 도 2에 도시되어 있다. 도 2는 높은 진공 영역(15)을 가진 평행판 화학 기상 증착 리액터(10)의 수직 단면도이다.
리액터(10)는 리프트 모터(14)에 의해 상승하거나 하강하는 기판 지지 플레이트 또는 서셉터(12) 상에 위치하는 기판 또는 웨이퍼(도시되지 않음)에 분기관의 관통 구멍을 통한 분산 처리 기체들을 위한 기체 분배 분기관(11)을 포함한다. 티오스(TEOS)의 액체 주입을 위해 통상적으로 사용되는 액체 주입 시스템(도시되지 않음)은 또한 액체 유기실란 및/또는 유기실록산인 혼합물을 주입하기 위해 제공될 수 있다. 바람직한 메틸실란은 기체이다.
리액터(10)는 도 2의 저항성 가열 코일들(도시되지 않음) 또는 외부 램프들(도시되지 않음)에 의한 처리 기체 및 기판의 가열을 포함한다. 서셉터(12)는 서셉터(12)(및 서셉터(12)의 상부면에 지지되는 웨이퍼)가 하부 로딩/오프-로딩 위치 및 분기관(11)에 아주 인접한 상부 프로세싱 위치 사이에서 제어에 의해 이동될 수 있도록 지지대 상에 장착된다.
서셉터(12) 및 웨이퍼가 프로세싱 위치(14)에 있을 때, 이것들은 절연기(17)에 의해 둘러싸이고 처리 기체는 분기관(24) 내에서 소진된다. 프로세싱 동안, 분기관(11) 입구의 기체는 웨이퍼 표면에 방사상 균일하게 분포된다. 스로틀(throttle) 밸브를 가지는 진공 펌프(32)는 챔버에서 나오는 기체의 소진 속도를 제어한다.
분기관(11)에 도달하기 전에, 증착 및 반송 기체는 기체 라인들(18)을 통해, 이 기체 라인들이 결합되어 분기관(11)에 전달되는 혼합 시스템(19)에 투입된다. 산화 기체만을 분리하는 선택 마이크로파 적용기(28)는 추가 에너지를 제공하기 위하여 산화 기체를 위한 투입 기체 라인 상에 위치할 수 있다. 마이크로파 적용기는 0에서 6000W를 제공한다. 일반적으로, 각 처리 기체를 위한 처리 기체 공급 라인(18)은 또한 (i) 챔버 내에 처리 기체의 흐름을 자동 또는 수동으로 차단하기 위해 사용될 수 있는 안전 차단값들(도시되지 않음), 및 (ii) 기체 공급 라인들을 통해 기체의 흐름을 측정하는 매스 흐름 제어기들(역시 도시되지 않음)을 포함한다. 독성 기체가 공정에서 사용될 때, 여러 안전 차단값들은 종래의 형태로 각 기체 공급 라인 상에 존재한다.
리액터(10)에서 수행되는 증착 공정은 열 공정 또는 플라즈마 강화 공정일 수 있다. 플라즈마 공정에서, 제어된 플라즈마는 통상적으로 RF 전원 공급기(25)(기초가 되는 서셉터(12)를 가지는)로부터 분배 분기관(11)에 적용되는 RF 에너지에 의해 웨이퍼에 인접하게 형성된다. 선택적으로, RF 전원은 서셉터(12)에 공급될 수 있거나 또는 상이한 주파수들로 상이한 소자들에 제공될 수 있다. RF 전원 공급기(25)는 고 진공 영역(15) 내에 삽입된 반응부의 분해를 강화시기키 위하여 단일 또는 혼합된 주파수 RF 전원을 공급할 수 있다. 혼합된 주파수 RF 전원 공급기는 통상적으로 분배 분기관(11)에 13.56 MHz의 RF 고주파수(RF1)와 서셉터(12)에 360 KHz의 RF 저주파수(RF2)로 전원을 공급한다. 본 발명의 실리콘 산화층들은 로우 레벨의 일정한 고주파 RF 전원 또는 펄스 레벨의 고주파 RF 전원을 이용하여 가장 바람직하게 생성된다. 펄스 RF 전원은 바람직하게 약 20W에서 약 500W까지의 13.56MHz RF 전원, 가장 바람직하게는 듀티 주기의 약 10%에서 약 30%까지 동안, 20W에서 약 250W까지 제공된다. 일정한 RF 전원은 약 10W에서 약 200W까지, 바람직하게 약 20W에서 약 100W까지의 13.56MHz RF 전원을 바람직하게 제공한다. 저전원 증착은 약 -20℃에서 약 40℃까지의 온도 범위에서 바람직하게 발생한다. 바람직한 온도 범위에서, 증착막은 증착 및 중합 동안 부분적으로 중합화되고 막을 연속해서 양생하는 동안 완성된다.
산화 기체를 추가로 분리하는 것이 바람직할 때, 선택 마이크로파 챔버는 증착 챔버에 투입하기 전에 0에서 3000W의 마이크로파 전원을 산화 기체에 가하기 위해 사용될 수 있다. 마이크로파의 분리 추가는 산화 기체와 반응하기 이전에 실리콘 혼합물의 초과 분해를 방지한다. 마이크로파 전원이 산화 기체에 추가될 때, 실리콘 혼합물을 위한 상이한 경로를 가지는 기체 분배 플레이트가 바람직하다.
통상적으로, 일부 또는 모든 챔버 라이닝(lining), 분배 분기관(11), 서셉터(12) 및 여러 다른 리액터 하드웨어는 알루미늄 또는 양극 처리된 알루미늄과 같은 물질로 제조된다. CVD 리액터의 실시예는 왕(Wang)과 다른 사람들이 쓰고, 본 발명의 양수인 어플라이드 머티리얼스, 인코포레이티드에 양도된 "열 CVD/PECVD 리액터 및 실리콘 이산화물의 열화학적 기상 증착 및 인시츄 다단계 평탄화 공정"이라는 제목의 미국 특허 5,000,113에서 개시되어 있다.
리프트 모터(14)는 프로세싱 위치와 하부 웨이퍼 로딩 위치 사이의 서셉터(12)를 상승시키고 하강시킨다. 모터, 기체 혼합 시스템(19) 및 RF 전원 공급기(25)는 제어 라인(36)의 시스템 제어기(34)에 의해 제어된다. 리액터는 메모리(38)에 저장된 시스템 제어 소프트웨어를 실행하고, 바람직한 실시예에서 하드 디스크 드라이브인 시스템 제어기(34)에 의해 제어되는 매스 흐름 제어기들(MFCs) 및 표준 또는 펄스 RF 발생기들과 같은 아날로그 어셈블리들을 포함한다. 모터들과 광학 센서들은 진공 펌프(32)의 스로틀 밸브와 서셉터(12)를 위치시키기 위한 모터와 같은 이동 가능한 기계적 어셈블리들의 위치를 이동시키고 결정하기 위하여 사용된다.
시스템 제어기(34)는 CVD 리액터의 모든 동작을 제어하고 제어기(34)의 바람직한 실시예는 하드 디스크 드라이브, 플로피 디스크 드라이브 및 카드 랙을 포함한다. 카드 랙은 단일 기판 컴퓨터(SBC), 아날로그 및 디지털 입/출력 기판, 인터페이스 기판 및 스태퍼 모터 제어기 기판을 포함한다. 시스템 제어기는 기판, 카드 케이지 및 커넥터 치수 및 타입을 한정하는 베르사(Versa) 모듈 유럽(VME) 표준을 따른다. VME 표준은 또한 16 비트 데이터 버스 및 24 비트 어드레스 버스를 가지는 버스 구조를 한정한다.
시스템 제어기(34)는 하드 디스크 드라이브(38)에 저장되는 컴퓨터 프로그램의 제어하에서 동작한다. 컴퓨터 프로그램은 타이밍, 기체 혼합물, RF 전원 레벨, 서셉터 위치 및 특정 공정의 다른 파라미터를 설명한다. 사용자와 시스템 제어기 사이의 인터페이스는 도 3에 도시된 CRT 모니터(40)와 광 펜 사이에 있다. 바람직한 실시예에서 제 2 모니터(42)가 사용되고, 제 1 모니터(40)는 운용자들을 위해 클린룸 벽에 장착되고 제 2 모니터(42)는 서비스 기술자들을 위해 벽 뒤에 장착된다. 두 모니터들(40, 42)은 동시에 동일한 정보를 디스플레이 하고 하나의 광 펜(44)이 이용될 수 있다. 광 펜(44)은 펜 끝에 광 센서를 가진 CRT 디스플레이에 의해 방출되는 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 운용자는 디스플레이 스크린의 지정된 부분을 터치하고 펜(44)의 버튼을 누른다. 터치된 부분은 가장 밝은 색으로 바뀌거나, 새로운 메뉴 또는 스크린이 디스플레이되고, 광 펜과 디스플레이 스크린 사이의 통신을 확인한다.
도 4에서, 공정은 예를 들어, 시스템 제어기(34) 상에서 동작하는 컴퓨터 프로그램 결과(410)를 이용하여 수행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어, 68000 어셈블리 언어, C, C++, 또는 파스칼과 같은 종래의 컴퓨터가 인식할 수 있는 모든 프로그래밍 언어로 기록될 수 있다. 적절한 프로그램 코드는 종래의 텍스트 편집기를 이용하여, 단일 파일, 또는 여러 파일로 입력되어, 컴퓨터의 메모리 시스템과 같은 컴퓨터가 이용 가능한 매체에 기록되거나 저장된다. 입력 코드 텍스트는 하이 레벨 언어여서, 코드는 컴파일되고, 그리고 형성된 컴파일 코드는 미리 컴파일된 윈도우 라이브러리 루틴의 목적 코드로 링크된다. 링크 컴파일된 목적 코드를 실행하기 위하여, 시스템 사용자는 목적 코드를 불러오고, 컴퓨터 시스템이 메모리에 코드를 로딩하도록 하는데, 이 메모리로부터의 CPU는 프로그램에서 확인된 타스크들을 수행하도록 코드를 판독하고 실행한다.
도 4는 컴퓨터 프로그램(410)의 계층적 제어 구조의 블록도를 도시한다. 사용자는 광 펜(44) 인터페이스를 이용하여 CRT 모니터(40) 상에 디스플레이된 메뉴 또는 스크린에 반응하여 공정 선택기 서브루틴(420) 내에 공정 세트수 및 공정 챔버수를 입력한다. 공정 세트들은 명시된 공정들을 수행하는데 필요한 공정 파라미터들의 미리 결정된 세트들이고, 미리 한정된 세트 수들에 의해 확인된다. 공정 선택기 서브루틴(420)은 (i) (어플라이드 머티리얼스, 인코포레이티드에서 입수 가능한) Centura 플렛폼과 같은 클러스터 툴 상의 원하는 공정 챔버를 선택하고, (ii) 원하는 공정을 수행하기 위한 공정 챔버를 동작시키는데 필요한 공정 파라미터의 원하는 세트를 선택한다. 특정 공정을 수행하기 위한 공정 파라미터는 예를 들어, 처리 기체 혼합 및 흐름 속도, 온도, 압력, RF 바이어스 전원 레벨들과 자계 전원 레벨들과 같은 플라즈마 조건들, 냉각 기체 압력 및 챔버 벽 온도와 같은 공정 조건들과 관련되어 해결책의 형태로 사용자에게 제공된다. 해결책에 의해 구체화된 파라미터들은 광 펜/CRT 모니터 인터페이스를 이용하여 입력된다.
공정을 모니터링하기 위한 신호는 시스템 제어기의 아날로그 입력 및 디지털 입력 보드에 의해 제공되고 이 공정을 제어하기 위한 신호는 시스템 제어기(34)의 아날로그 출력 및 디지털 출력 보드 상에 출력된다.
공정 시퀀서 서브루틴(430)은 확인된 공정 챔버와 공정 선택기 서브루틴(420)으로부터의 공정 파라미터들의 세트를 받아들이기 위한, 그리고 다양한 공정 챔버들의 동작을 제어하기 위한 프로그램 코드로 구성되어 있다. 여러 사용자들이 공정 세트수 및 공정 챔버수를 입력할 수 있거나, 또는 한 명의 사용자가 여러 공정 챔버수를 입력할 수 있어, 시퀀서 서브루틴(430)은 원하는 시퀀스로 선택된 공정표를 작성하여 동작한다. 바람직하게 시퀀서 서브루틴(430)은 챔버들이 사용될지를 결정하는 공정 챔버들의 동작을 모니터링하는 단계, (ii) 어떤 공정들이 사용된 챔버들에서 수행되는지를 결정하는 단계, 및 (iii) 공정 챔버의 이용 가능성 및 수행될 공정의 타입에 기초한 원하는 공정을 실행하는 단계를 수행하기 위하여 컴퓨터가 판독 가능한 프로그램 코드를 포함한다. 공정 폴링과 같이 챔버를 모니터링하는 종래의 방법들이 사용된다. 어떤 공정이 실행되야 하는지의 목록을 작성할 때, 시퀀서 서브루틴(430)은 선택된 공정에 대한 원하는 공정 조건들, 또는 요청으로 입력된 각 특정 사용자의 "나이", 또는 다른 모든 관련요소를 비교하여 사용되는 공정 챔버의 현재 조건을 고려하여 설계될 수 있어, 시스템 프로그래머는 스케줄 우선 순위를 결정하는데 포함되기를 원한다.
일단 시퀀서 서브루틴(430)에 의해 어떤 공정 챔버 및 공정 세트 조합이 다음에 실행될 지를 결정되면, 시퀀서 서브루틴(430)은 시퀀서 서브루틴(430)에 의해 결정된 공정 세트에 따라 공정 챔버(10)의 여러 공정 타스크들을 제어하는 챔버 관리자 서브루틴(440)에 특정 공정 세트 파라미터들을 통과시킴으로써 공정 세트를 실행시킨다. 예를 들어, 챔버 관리자 서브루틴(440)은 공정 챔버(10)에서 CVD 공정 동작을 제어하기 위한 프로그램 코드로 구성된다. 챔버 관리자 서브루틴(440)은 또한 선택된 공정 세트를 수행하는데 필요한 챔버 요소의 동작을 제어하는 여러 챔버 요소 서브루틴들을 실행을 제어한다. 챔버 요소의 서브루틴들의 예는 서셉터 제어 서브루틴(450), 처리 기체 제어 서브루틴(460), 압력 제어 서브루틴(470), 히터 제어 서브루틴(480), 및 플라즈마 서브루틴(490)이다. 당업자들은 다른 챔버 제어 서브루틴들이 공정들이 리액터(10)에서 수행되도록 목표되는 것에 따라 포함될 수 있다는 것을 쉽게 이해할 것이다.
동작시, 챔버 관리자 서브루틴(440)은 실행되는 특정 공정 세트에 따라 공정 요소 서브루틴들을 선택적으로 스케줄링하거나 불러온다. 챔버 관리자 서브루틴(440)은 시퀀서 서브루틴(430)이 어떤 공정 챔버(10)와 공정 세트가 다음에 실행될 지를 스케줄링하는 방법에 유사하게 공정 요소 서브루틴들을 스케줄링한다. 통상적으로, 챔버 관리자 서브루틴(440)은 여러 챔버 요소들을 모니터링하고, 어떤 요소들이 공정이 실행되기 위한 공정 파라미터들을 기초로 동작될 필요가 있는지를 결정하고, 그리고 모니터링 및 결정 단계들에 반응하는 챔버 요소 서브루틴이 실행되게 하는 단계들을 포함한다.
특정 챔버 성분의 서브루틴의 동작을 도 4를 참조하여 설명한다. 서셉터 제어 위치결정 서브루틴(450)은 서셉터(12) 상에 기판을 적재시키고, 기판과 기체 분배 분기관(11) 사이의 공간을 제어하도록 반응기(10)에서 원하는 높이로 기판을 선택적으로 들어올리는데 사용되는 챔버 성분을 제어하기 위한 프로그램 코드를 포함한다. 기판이 반응기(10)에 적재되는 경우, 기판을 수용하도록 서셉터(12)가 내려지고, 서셉터(12)는 CVD 공정 동안 기체 분배 분기관(11)로부터 제 1 간격 또는 공간을 두고 기판을 유지하도록, 챔버에서 원하는 높이로 상승된다. 동작시에, 서셉터 제어 서브루틴(450)은 챔버 매니저 서브루틴(440)으로부터 전송되는 처리 파라미터 셋(set)에 응하도록 서셉터(12)의 움직임을 제어한다.
처리 기체 제어 서브루틴(460)은 처리 기체 조성 및 흐름비를 제어하는 프로그램 코드를 갖는다. 처리 기체 제어 서브루틴(460)은 안전 마개 밸브의 개방/폐쇄 위치를 제어하고, 원하는 기체 흐름비를 얻도록 질량 흐름을 위로/아래로 흐르게 한다. 처리 기체 제어 서브루틴(460)은 모든 챔버 성분 서브루틴들처럼, 챔버 매니저 서브루틴(440)에 의해 야기되어, 원하는 기체 흐름비에 관련된 챔버 매니저 서브루틴 처리 파라미터로부터 수신된다. 전형적으로, 처리 기체 제어 서브루틴(460)은 기체 공급 라인이 개방됨으로써 작동하며, (ⅰ) 필요 질량 흐름 제어기를 판독하고,(ⅱ) 챔버 매니저 서브루틴(440)으로부터 수신된 원하는 흐름비로 판독된 것을 비교하고, (ⅲ) 필요에 따라 기체 공급 라인의 흐름비 조절을 반복한다. 또한, 처리 기체 제어 서브루틴(460)은 불안정한 비율의 기체 흐름 비율을 모니터링하는 단계, 및 불안정한 상태가 탐지될 경우 안전 차단 밸브를 작동시키는 단계를 더 포함한다.
일부 처리 공정에서, 헬륨 또는 아르곤과 같은 불활성 기체는 반응성 처리 기체가 챔버로 주입되기 전에 챔버의 압력을 안정화시키도록 반응기(10)로 흐른다. 이들 처리 공정에 대해, 처리 기체 제어 서브루틴(460)은 챔버의 압력을 안정화시키는데 필요한 시간동안 챔버(10)로 불활성 기체를 흐르게 하고, 상기 설명된 단계들을 행하는 단계를 더 포함한다. 부가적으로, 처리 기체가 액체 전구체(precursor), 예를 들어서 1,3,5-트리실라노-2,4,6-트리에틸렌(1,3,5-트리실란씨클로헥산)으로부터 증발되는 경우, 처리 기체 제어 서브루틴(460)은 버블러 어셈블리에서 액체 전구체를 통해 헬륨과 같은 송출 기체를 버블링(bubbling)하는 단계를 포함하는 것으로 기록된다. 이러한 형태의 처리 공정에 대해, 처리 기체 제어 서브루틴(460)은 송출 기체의 흐름, 버블러에서의 압력 및 버블러 온도를 조절하여 원하는 처리 기체 흐름비를 얻게된다. 상술된 것처럼, 원하는 처리 기체 흐름비가 서브루틴(460)으로 전송된다. 또한, 처리 기체 제어 서브루틴(460)은 주어진 처리 기체 흐름비에 대한 필요한 값을 포함하고 있는 저장된 테이블을 액서스함으로써 원하는 처리 기체에 대한 필요 송출 기체 흐름비, 버블러 압력, 및 버블러 온도를 얻는 단계를 포함한다. 일단 원하는 값을 얻은후, 송출 기체 흐름비, 버블러 압력 및 버블러 온도를 모니터하고 필요 값과 비교하여 적절히 조절한다.
압력 제어 서브루틴(470)은 배기 펌프(32)에서의 조절 밸브의 구멍 크기를 조절함으로서 반응기(10)의 압력을 제어하는 프로그램 코드를 포함한다. 조절 밸브의 구멍 크기는 전체 처리 기체 흐름, 처리 챔버의 크기, 및 배기 펌프(32)에 대한 펌핑 셋 포인트 압력과 관련하여 원하는 레벨로 챔버 압력을 조절하도록 설정한다. 압력 제어 서브루틴(470)이 실시되면, 원하는, 또는 목표 압력 레벨이 챔버 매니저 서브루틴(440)으로부터 파라미터로서 수신된다. 압력 제어 서브루틴(470)은 챔버에 연결된 1개 이상의 종래의 압력계를 판독함으로써 반응기(10)에서의 압력을 측정하도록 작동하고, 목표 압력과 측정 값(들)을 비교하여, 목표 압력에 해당하는 저장된 압력표로부터 PID(비례, 적분, 미분)값을 얻고, 압력표로부터 얻어진 PID 값에 따라 조절 밸브를 조절한다. 다른 방안으로, 압력 제어 서브루틴(470)은 원하는 압력으로 반응기(10)를 조절하도록 특별한 크기의 구멍으로 조절 밸브를 개방 또는 폐쇄하도록 기재될 수 있다.
히터 제어 서브루틴(480)은 서셉터(12)를 가열하는데 사용되는 열 모듈 또는 방출 열의 온도를 제어하는 프로그램 코드를 포함한다. 또한 히터 제어 서브루틴(480)은 챔버 매니저 서브루틴(440)에 의해 실시되고 목표, 또는 설정 포인트, 온도 파라미터를 수신한다. 히터 제어 서브루틴(480)은 서셉터(12)에 위치된 열결합(thermocouple)의 출력 전압을 측정함으로써 온도를 측정하고, 셋 포인트 온도에서 측정된 온도를 비교하고, 셋 포인트 온도를 얻기 위해 열 모듈로 인가된 전류를 증가 또는 감소시킨다. 온도는 저장된 전환표에서 해당하는 온도를 관찰함으로써, 또는 4차 다항식을 사용하여 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 히터 제어 서브루틴(480)은 열 모듈에 인가된 전류의 램프 업/다운을 점차적으로 제어한다. 점차적인 램프 업/다운은 열 모듈의 수명과 신뢰성을 증가시킨다. 부가적으로, 내장형 2중 안전장치 모드가 처리 안전 컴플라이언스를 탐지하도록 포함될 수 있고, 반응기(10)가 적절하게 장착되어 있지 않은 경우 열 모듈의 동작을 중단시킬 수 있다.
플라즈마 제어 서브루틴(490)은 반응기(10)의 처리 전극에 인가된 RF 바이어스 전압 전력 레벨을 설정하고, 선택적으로 반응기에서 생성되는 자계의 레벨을 설정하는 프로그램 코드를 포함한다. 유사하게 앞서 설명된 챔버 성분 서브루틴, 플라즈마 제어 서브루틴(490)은 챔버 매니저 서브루틴(440)에 의해 실시된다.
상기 CVD 시스템 설명은 주로 설명을 위한 것으로, 전자 사이클로트론 공명(ECR) 플라즈마 CVD 장치, 인덕션-커플드(induction-coupled) RF 고밀도 플라즈마 CVD 장치등의 다른 플라즈마 CVD 장치가 사용될 수 있다. 부가적으로, 서셉터 디자인, 히터 디자인, RF 전력 연결부의 위치 및 다른 것의 변형과 같은 상기 상술된 시스템의 변형이 가능하다. 예를 들어, 웨이퍼는 내열성 서셉터에 의해 지지되고 가열될 수 있다. 본 발명의 예비 처리된 층을 형성하는 예비 처리 및 방법은 특정 장치 또는 특정 플라즈마 여기 방법에 제한되지 않는다.
3층 갭 충진 공정에서 산화 유기실란 또는 유기실록산 유전체의 증착
본 발명의 산화 유기실란 또는 유기실록산(유기실록산) 층은 도 2의 PECVD 챔버를 사용하여 도 5에 도시된 것처럼 3층 갭 충진 공정에 사용된다. 도 5를 참조로, 웨이퍼는 반응기(10)에 위치되고(200), 유기실란 화합물 및/또는 유기실록산 화합물을 포함하는 플라즈마로부터 PECVD 공정에 의해 증착된(205) 낮은 유전상수를 갖는 유기실란층을 산화시킨다. 증착 단계(205)는 용량 결합 플라즈마 또는 기술상 공지된 방법에 따라 처리 챔버(15)에 유도 및 용량 결합 플라즈마 모두를 포함할 수 있다. 헬륨과 같은 불활성 기체는 플라즈마 생성을 돕도록 PECVD 증착에 일반적으로 사용된다. 다음 갭 충진층이 공지된 방법에 의해 내층(lining layer)에 증착된다(210). 갭 충진층은 바람직하게 메틸실란 및 과산화 수소의 반응에 의해 액체 형태로 증착되는 스핀-온 폴리머 또는 산화물과 같은 자기-평탄성을 갖는다. 다음 갭층이 바람직하게 서형층 증착에서 사용된 것과 동일한 공정으로 갭 충진층에 증착된다(215). 다음 반응기(10)로부터 웨이퍼를 제거한다(220).
도 6A-6E를 참조로, 산화 유기실란 또는 유기실록산 폴리머의 PECVD 내층(300)이 3층 갭 충진 공정으로 제공된다. 내층(300)은 차후 갭충진 층(302)과 하층 기판면(304) 그리고 기판면에 형성된 금속 라인(306,308,310) 사이의 절연층으로서 작용한다. 갭 충진 층(302)은 산화 유기실란 또는 산화 유기실록산 폴리머의 PECVD 캡핑층(312)에 의해 캡처리된다. 이 공정은 CVD 반응기(10)에 대한 컴퓨터 제어기(34)의 메모리(38)에 저장된 컴퓨터 프로그램을 사용하여 실시되고 제어된다.
도 6A를 참조로, PECVD 내층(300)은 N2O와 같은 산화 기체, CH3SiH3또는 (CH3)2SiH2와 같은 유기실란 또는 유기실록산, 및 헬륨과 같은 캐리어 기체를 주입함으로써 반응기(10)에 증착된다. 기판은 약 -20℃ 내지 약 400℃의 온도, 바람직하게는 PECVD 내층의 증착을 거쳐 약 -20℃ 내지 약 40℃의 온도로 유지한다. PECVD 내층(300)은 약 5sccm 내지 약 500sccm 흐름비의 유기실란 및/또는 유기실록산 화합물 및 약 5sccm 내지 약 2000sccm 흐름비의 산화 기체의 혼합물을 포함한다. 처리 기체는 He, Ar, Ne와 같은 불활성 기체, 또는 질소와 같은 비교적 불활성인 기체에 의해 주입되며, 이는 약 0.2 내지 약 20lpm의 흐름비로 일반적으로 막속으로 통합되지 않는다. 처리 기체는 약 0.2 내지 약 20Torr, 바람직하게는 10Torr 이하의 압력에서, 기판 표면(304)과 금속 라인(306, 308, 310) 상에 일정한 산화 실리콘층을 형성하도록 반응한다. 상기 반응은 0.05W/cm2내지 1000W/cm2, 바람직하게는 1W/cm2이하, 보다 바람직하게는 약 0.1 내지 약 0.3W/cm2의 전력 밀도로 플라즈마를 강화시킨다.
8"단일 웨이퍼 챔버에 대해, 약 13.56MHz의 고주파 RF 소스는 기체 분배 시스템에 연결되고 약 10 내지 약 500W에서 구동되고 반면 약 350KHz 내지 1MHz의 저주파 RF 소스는 선택적으로 서셉터에 연결되고 약 0 내지 약 100W에서 구동되는 것이 바람직하다. 바람직한 실시예에서, 고주파 RF 소스는 약 20W 내지 약 250W 펄스형 RF 전력으로 구동되고, 저주파 RF 소스는 10% 내지 30% 의무 주기에서 약 0 내지 약 50W의 펄스형 RF전력에서 구동된다. 펄스형 RF 전력은 짧은 간격의 주기 보다 바람직하게는 약 200Hz 이하의 주파수를 갖는 것이 바람직하다. 고주파 RF 전력이 일정한 경우, 바람직한 전력 레벨은 약 20W 내지 약 100W이다.
다음 산화 유기실란 또는 유기실록산층이 약 100℃ 내지 약 450℃의 온도에서 약 10Torr 이하의 압력에서 경화된다. 선택적으로, 경화는 추가의 유전체층의 증착후에 이루어질 수 있다.
상기 공정 조건은 도 6B에 도시된 갭 충진 층(302)의 차후 증착을 위해 개선된 장벽 특성을 갖는 PECVD 내층(300)의 증착(분당 약 2000Å)을 야기시킨다. 메틸실란으로부터 형성되는 내층은 소수성으로 충분한 C-H 결합을 갖는, 뛰어난 수분 장벽이 된다. 소수성 내층의 증착은 차후의 친수성 층을 소수성 층으로 전환시키는 놀라운 예상치 못한 결과를 갖게 된다.
갭 충진층(302)의 처리 기체로는 SiH4, CH3SiH3또는 (CH3)2SiH2및 헬륨과 같은 불활성 캐리어 기체와 증발되고 혼합되는 50wt%의 과산화수소가 바람직하다. 그러나, 갭 충진층은 수용가능한 유전 상수를 갖는 어떠한 유전체층일 수 있다. 화합물을 함유하는 다른 실리콘이 부산물이 증착 조건에 증발하는 경우 사용될 수 있다. 바람직한 다른 화합물은 옥시실라노 또는 실라노 그룹을 갖는 것이 바람직하다:
트리메틸실란,
디실라노메탄,
비스(메틸실라노)메탄,
1,2-디실라노에탄,
2,2-디실라노프로판,
1,3,5-트리실라노-2,4,6-트리메틸렌(씨클릭),
1,3-비스(실라노메틸렌)실록산
비스(1-메틸디실녹사닐)메탄
2,4,6,8-테트라메틸사이클로테트라실록산, 또는
1,2-디실라노테트라플로오르에탄
작용(process) 기체는 He 에 대해선 0 내지 2000 sccm, CH3SiH3에 대해선 10 내지 200 sccm, H2O2에 대해선 0.1 내지 3 g/min 범위로 흐른다. 바람직한 기체는 He 에 대해선 100 내지 500 sccm, CH3SiH3에 대해선 20 내지 100 sccm, H2O2에 대해선 0.1 내지 1 g/min 범위로 흐른다. 이런 흐름비는 대략 5.5 내지 6.5 리터의 부피를 갖는 챔버에 대해 주어진다. 바람직하게는, 반응장치(10)가 간격 충전 층(302)의 증착 동안 약 0.2 내지 5 toor 의 압력으로 유지된다. 간격 충전 층(302)은 도 6 D 에 도시된 대로 캡 층(312)의 증착에 앞서 물과 같은 용매를 제거하기 위해 도 6 C 에 도시된 대로 부분적으로 경화(cure)될 수도 있다. 경화는 10 Torr 이하의 불활성 기체 기압하에 펌핑에 의해 반응장치에서 행해진다.
메틸실란으로부터 생성되는 간격 충전 층은 전형적으로 친수성이며 약한 수분 장벽 특성을 갖는다. 메틸실란으로부터 생성되는 라이닝(lining) 층 상에 배치될 때, 메틸실란으로부터 생성되는 간격 충전 층은 대단히 친수성이며 상당한 수분 장벽 특성을 갖는다.
도 6D 를 참조하여, 간격 충전 층(302)의 증착 후 반응장치(10)는 임의적으로 캡핑 층(312)의 증착에 대한 본 발명의 산화된 유기 실란 또는 유기 실록산(siloxane) 층의 증착을 다시 시작한다. 도 6E 를 참조하여, 캡핑 층의 증착이 있다면 그 후 증착된 층은 잔여 용매 또는 수분을 제거하기 위해 약 100 ℃ 에서 450 EC 까지의 온도에서 노 또는 챔버에서 경화된다. 물론 처리 조건은 증착막의 소정의 특성에 따라 변화할 것이다.
이중 다마신 구조의 증착
에칭 스톱(etch stop) 또는 금속간 유전체 층으로서 산화된 유기 실란 또는 유기 실록산을 포함하는 이중 다마신 구조가 도 7 에 도시된다. 산화된 유기 실란 또는 유기 실록산이 에칭 스톱으로 사용될 때, 제 1 유전체 층(510)은 기판(512) 상에 증착되며 이어 산화된 유기 실란 또는 유기 실록산 에칭 스톱(514)은 제 1 유전체층 상에 증착되며 경화된다. 에칭 스톱은 이어 접촉(contact)/비아(516)의 개구부를 한정하기 위해 패턴화 에칭된다. 제 2 유전체층(518)은 이어 패턴화된 에칭 스톱 위에 증착되며 이어 상호 연결선(520)을 한정하기 위해 통상적 방법으로 패턴화 에칭된다. 이어 단일 에칭 과정은 아래로는 에칭 스톱까지 상호 연결선을 한정 및 접촉/비아를 한정하기 위해 패턴화된 에칭 스톱에 의해 노출된 보호막없는 유전체를 에칭하기 위해 수행된다.
도 7 을 참조하여, 다마신 구조는 택일적으로 금속간 유전체로서 산화된 유기 실란 또는 유기 실록산을 포함한다. 바람직하게 산화된 유기 실란 또는 유기 실록산을 포함하는 제 1 유전체층(510)은 기판(512) 상에 배치되며 이어 통상의 실리콘 산화물, 실리콘 질화물 또는 수소화된 실리콘 탄화물 에칭 스톱(514)이 제 1 유전체층 상에 증착된다. 이어 에칭 스톱은 접촉/비아(516)의 개구부를 한정하기 위해 패턴화된다. 이어 산화된 유기 실란 또는 유기 실록산을 포함하는 제 2 유전체층(518)은 패턴화된 에칭 스톱 위에 증착되며 이어 상호 연결선(520)을 한정하기 위해 패턴화된다. 이어 단일 에칭 과정은 아래로는 에칭 스톱까지 상호 연결선을 한정 및 접촉/비아를 한정하기 위해 패턴화된 에칭 스톱에 의해 노출된 보호막없는 유전체를 에칭하기 위해 수행된다.
본 발명에 따라 제작된 바람직한 이중 다마신 구조는 도 8H 에 도시된 대로 라이닝 층을 포함하며, 구조를 만드는 방법은 그 위에 형성된 본 발명의 계단구조를 갖는 기판의 단면도인 도 8A-8H 에 개략적으로 계속해서 도시된다.
도 8A 에 도시된 대로, 파릴렌(parylane), FSC, 실리콘 산화물 등과 같은 초기의 제 1 유전체층(510)이 제작될 구조의 크기에 의존하여 약 5,000 내지 10,000 D 의 두께로 기판(512) 상에 증착된다. 이어 도 8B 에 도시된 대로, 산화된 유기 실란 또는 유기 실록산 층인 낮은 k 에칭 스톱(514)은 저레벨의 RF 파워를 사용하여 약 200 내지 1000 D 두께로 제 1 유전체층 상에 증착된다. 이어 낮은 k 에칭 스톱(514)은 접촉/비아 개구부(516)를 한정 및 도 8C 에 도시된 대로 접촉/비아가 형성될 영역에 제 1 유전체층(510)을 노출하기 위해 패턴화 에칭된다. 바람직하게, 낮은 k 에칭 스톱(514)은 통상적인 포토리소그래피를 사용해 에칭된 패턴 및 불소, 탄소 및 산소 이온을 사용한 에칭 과정이다. 낮은 k 에칭 스톱(514)이 접촉/비아를 패턴화하기 위해 에칭되며 포토 레지스트가 제거된 후, 제 2 유전체층(518)은 도 8D 에 도시된 대로 약 5,000 내지 10,000 D 의 두께로 에칭 스톱(514) 위에 증착된다. 이어 제 2 유전체층(518)은 바람직하게는 도 8E 에 도시된대로 포토 레지스트 층(522)을 갖는 통상적인 포토 리소그래피 과정을 사용하여 상호 연결선(520)을 한정하기 위해 패턴화된다. 이어 상호연결 및 접촉/비아는 도 8F 에 도시된 대로 금속화 구조(예를 들어, 상호 연결 및 접촉/비아)를 한정하기 위해 반응적 이온 에칭 또는 다른 이방성 에칭 기술을 사용하여 에칭된다. 에칭 스톱(514) 또는 제 2 유전체층(518)을 패턴화 하기 위해 사용된 포토 레지스트 또는 다른 재료는 산소 스트립 또는 다른 적절한 처리과정을 사용하여 제거된다.
이어 금속화 구조는 알루미늄, 구리, 텅스텐 또는 그 화합물 같은 도전성 재료로 형성된다. 현재, 경향은 구리의 낮은 비저항(알루미늄의 3.1 mW­cm 에 비교해서 1.7 mW­cm) 때문에 더 작은 구조를 형성하기 위해 구리를 사용하는 추세이다. 바람직하게, 도 8 G 에 도시된 대로 탄탈(tantalum) 질화물같은 적절한 장벽층(524)이 실리콘 및/또는 유전체 재료 주위로 구리의 이동을 방지하기 위해 금속화 패턴에 일치하게 우선 증착된다. 그 후, 구리(526)는 도전 구조를 형성하기 위해 화학적 기상 증착, 물리적 기상 증착, 전기도금 또는 그들의 조합을 사용하여 증착된다. 일단 구조가 구리 또는 다른 금속으로 충전되면, 표면은 도 8H 에 도시된 대로 화학적 기계 연마를 사용하여 평탄화된다.
접착층의 증착
이전금속(premetal) 유전체층과 금속간(intermetal) 유전체층 사이의 접착층으로서 산화된 유기 실란 또는 유기 실록산을 포함하는 이중 다마신 구조가 도 9 에 도시된다. 산화된 유기 실란 또는 유기 실록산 접착층(612)은 통상적인 PSG 또는 BPSG 층 같은 이전금속 유전체층 상에 증착되며 이어 경화된다. 이어 바람직하게 낮은 k 유전체 중합체층인 금속간 유전체층(614)은 접착층(612) 위에 증착된다. 이어 통상적인 실리콘 산화물 또는 실리콘 질화물 에칭 스톱(616)은 비아(620)를 한정하기 위한 통상적 방법에 의해 패턴화된다. 이어 바람직하게 낮은 k 유전체 중합체층인 제 2 금속간 유전체층(622)은 패턴화된 에칭 스톱 위에 증착되며 이어 상호 연결선을 한정하기 위해 패턴화된다. 이어 단일 에칭 처리 과정이 아래로는 에칭 스톱까지 상호 연결선을 한정 및 금속화에 앞서 접촉/비아를 한정하기 위해 패턴화된 에칭 스톱에 의해 노출된 보호막없는 유전체를 에칭하기 위해 행해진다.
본 발명에 따라 접착층을 포함하는 이중 다마신 구조가 도 10H 에 도시되며, 구조를 만드는 방법은 계속해서 개략적으로 도 10A­10H 에 도시되며, 이는 기판 위에 형성된 본 발명의 계단 구조를 갖는 기판의 단면도이다. 도 10A 에 도시된 대로, 파릴렌, FSG, 실리콘 산화물 등과 같은 초기의 제 1 금속간 유전체층(710)이 제작될 구조의 크기에 의존하여 약 5,000 내지 10,000 Å 의 두께로 기판(712) 상에 증착된다. 이어 도 10B 에 도시된 대로, 산화된 유기 실란층인 낮은 k 접착층(714)이 약 50 내지 200 Å 의 두께로 제 1 금속간 유전체층(710) 상에 증착된다. 이어 통상적인 실리콘 산화물 또는 실리콘 질화물 에칭 스톱(716)이 약 50 내지 200 Å 의 두께로 접착층(714) 상에 증착된다. 이어 산화된 유기 실란층인 제 2 낮은 k 접착층(718)이 약 50 내지 200 Å 의 두께로 에칭 스톱(716) 상에 증착된다. 이어 에칭 스톱(716) 및 접착층(714,718)은 접촉/비아 개구부(720)를 한정 및 접촉/비아가 도 10C 에 도시된 대로 형성될 영역에서 제 1 금속간 유전체층을 노출시키기 위해 패턴화 에칭된다. 바람직하게, 에칭 스톱(716)은 불소, 탄소 및 산소 이온을 사용하는 통상적인 포토리소그래피 및 에칭 과정을 사용하여 패턴화 에칭된다. 에칭 스톱(716) 및 접착층(714,718)이 접촉/비아를 패턴화 하기 위해 에칭되며 포토 레지스트가 제거된 후, 제 2 금속간 유전체층(722)은 도 10D 에 도시된 대로 약 5,000 내지 10,000 Å 두께로 제 2 접착층 위에 증착된다. 이어 제 2 금속간 유전체층(722)은 바람직하게 도 10E 에 도시된 대로 포토 레지스트층을 갖는 통상적인 포토리소그래피 과정을 사용하는 상호 연결선(724)을 한정하기 위해 패턴화된다. 이어 상호 연결 및 접촉/비아는 도 10F 에 도시된 대로 금속화 구조(예를 들어 상호 연결 및 접촉/비아를 한정하기 위해 반응 이온 에칭 또는 다른 이방성(anisotropic) 에칭 기술을 사용하여 에칭된다. 에칭 스톱(716) 또는 제 2 금속간 유전체층(722)을 패턴화 하기 위해 사용된 소정의 포토 레지스트 또는 다른 재료가 산소 스트립 또는 다른 적절한 처리를 사용하여 제거된다.
이어 금속화 구조는 알루미늄, 구리, 텅스텐 또는 그 화합물 같은 도전성 재료로 형성된다. 현재, 경향은 작은 형상부를 형성하기 위해 저저항성(3.1mW-cm인 알루미늄과 비교하여 1.7mW-cm)을 가진 구리를 사용하는 추세이다. 바람직하게는, 도 10G에서 도시된대로, 적합한 탄탈 질화물과 같은 장벽층(728)은 먼저 구리가 주변의 실리콘 및/또는 유전 물질로 이동하는 것을 막기 위해 금속화 패턴에서 컨포멀한으로 증착된다. 그 후에, 구리는 도전 구조를 형성하기 위해 화학적 기상 증착, 물리 기상 증착, 전기 도금이나 그것들의 조합을 이용하여 증착된다. 일단 그 구조가 구리나 다른 금속으로 채워지면, 그 표면은 도 10H에 도시된 것처럼 화학적 기계 광택제를 사용하여 평면화된다.
이중 다마신의 통합
또 다른 바람직한 이중 다마신 구조는 층들간의 크로스토크에 저항하여 다른 유전 상수들을 갖는 실리콘 산화물층을 포함하며, 거기에 형성된 본 발명의 단계들을 가지는 기판의 단면도로 도시되는 도 11A-11D에서처럼 증착된다.
도 11A에 도시된 것처럼, 탄소 함유량이 원자 무게로 20% 이상을 갖는 경유 레벨 유전체층(810)은 제작되는 상기 구조의 크기에 따라, 약 5,000에서 약 10,000Å의 두께로 기판(812)에 증착된다. 상기 경유 레벨 유전체층은 바람직하게는 10%의 듀티 사이클을 갖는 500 W의 RF 전력 레벨에서 N2O 와 트리메틸실란을 반응시킴으로써 증착된다. 도 11A에 도시된 것처럼, 경유 레벨 유전 레벨은 바이어스를 형성하고 홀들(814)을 종래의 포토리소그래피로 접촉하며 불소, 탄소 및 산소 이온들을 이용하는 고탄소물질에 관한 공정을 에칭하기 위해 패턴 에칭된다.
도 11B에서 도시된 것처럼, 원자 무게로 10%이하의 탄소함유물을 갖는 트렌치 레벨 유전체층(822)은 약 5,000에서 약 10,000Å의 두께로 경유 레벨 유전체층(810)위에 증착된다. 상기 트렌치 레벨 유전체층은 바람직하게는 30%의 듀티 사이클을 갖는 500 W의 RF 전력 레벨에서 N2O 와 삼중메틸실란을 반응시킴으로써 증착된다. 상기 트렌치 레벨 유전체층(822)은 도 11B에서 도시된대로 연계선들(824)을 한정하기 위해 종래의 포토리소그래피 공정을 이용하여 패턴 에칭되며, 저탄소물질들에 효과적이며 고탄소물질들에는 효율이 떨어지는 공정을 에칭하기 위해 패턴 에칭된다. 유전체층들을 패턴화하는데 사용되는 어떠한 포토레지스트나 기타 물질은 화학적 기계 윤택제, 산소 증류, 혹은 다른 적절한 공정을 이용하여 제거된다.
상기 금속화 구조는 알루미늄, 구리, 텅스텐이나 그것들의 조합물과 같은 도전 물질로 형성된다. 곧, 그 경향은 구리의 낮은 저항률(알루미늄이 3.1mW-cm 인데 비해 구리는 1.7mW-cm) 때문에 더 작은 모양들을 형성하기 위해 구리를 사용할 것이다. 바람직하게는, 도 11C에 도시된 것처럼, 탄탈 질화물과 같은 적합한 장벽층(828)은 먼저 주변의 실리콘 및/또는 유전 물질로 구리가 이동하는 것을 막기 위해 금속화 패턴으로 컨포멀한으로 증착된다. 그 후에, 구리(830)는 도 11D에 도시된 것처럼 상기 도전 구조를 형성하기 위해 화학 기상 증착, 물리 기상 증착, 전기 도금이나 그것들의 조합을 사용하여 증착된다. 일단 그 구조가 구리나 기타 물질로 채워지면, 그 표면은 화학적 기계 윤택제나 다른 평면화 방법들을 이용하여 평면화된다. 본 발명은 다음의 실시예를 통해 더 기술된다.
다음의 예는 우수한 장벽 및 부착 특성을 갖는 산화된 유기실란이나 유기실록산의 증착을 설명한다. 본 실시예는 화학 기상 증착 챔버를 사용하여 착수되었다. 그리고 특히, 양쪽 다 캘리포니아, 산타클라라의 어플라이드 머티어리얼즈사에 의해 제작되고 판매되는 두 부분 수정진동자를 갖는 고체상태 RF 매칭 유니트를 포함하는 "CENTURA DxZ" 시스템을 사용하였다.
펄스되지 않은 RF 전력
산화된 디메틸실란 필름은 다음과 같은 리액터로 흘러가는 반응 기체들로부터 15EC의 온도 및 3.0 Torr의 압력으로 챔버에 증착되었다:
디메틸실란, (CH3)2SiH255sccm
아산화질소, N2O 300sccm
헬륨, He 4000sccm
상기 기판은 기체 분배 샤워헤드로부터 600 밀(mil)에 위치해 있으며 20 W의 고주파전력(13 MHZ)은 산화된 디메틸실란 층의 플라즈마 강화 증착에 대한 샤워헤드에 가해졌다. 산화된 디메틸실란 물질은 약 2.5의 유전상수를 가지며 소수성이었다.
가설적 예
다음의 가설의 실시예들은 본 발명의 산화된 유기실란이나 유기실록산 필름의 증착을 기술한다. 본 실시예들은 이전의 실시예에 기술된 화학 기상 증착 챔버에 대해 기술된다.
펄스화된 RF
산화된 1,3,5-트리실라노-2,4,6-트리메틸렌(씨클릭) 필름은 다음과 같은 리액터로 흐르는 반응 기체로부터 15EC의 온도와 3.0 Torr의 압력으로 챔버에 증착된다.
1,3,5-트리실라노-2,4,6-트리메틸렌, -(-SiH2CH2-)3-(씨클릭) 20 sccm
아산화질소, N2O 300 sccm
헬륨, He 4000 sccm
상기 기판은 기체 분배 샤워헤드로부터 600 밀(mil)에 위치하며 50 W의 고주파전력(13 MHZ)은 산화된 1,3,5-트리실라노-2,4,6-트리메틸렌층의 플라즈마 강화 증착에 대한 샤워헤드에 가해진다.
펄스화된 RF 전력
산화된 1,3-디메틸디실록산 필름은 다음과 같은 리액터로 흐르는 반응 기체로부터 15EC의 온도와 3.0 Torr의 압력으로 챔버에 증착된다.
1,3-디메틸디실록산, CH3-SiH2-O-SiH2-CH3, 30 sccm
아산화질소, N2O, 300 sccm
헬륨, He, 4000 sccm
상기 기판은 기체 분배 샤워헤드로부터 600 밀(mil)에 위치하며 50 W의 고주파전력(13 MHZ)은 산화된 디메틸디실록산층의 플라즈마 강화 증착에 대한 샤워헤드에 가해진다.
마이크로파/RF 전력
산화된 1,3-디메틸디실록산 필름은 다음과 같은 리액터로 흐르는 반응 기체로부터 15EC의 온도와 3.0 Torr의 압력으로 챔버에 증착된다.
1,3-디메틸디실록산, CH3-SiH2-O-SiH2-CH330 sccm
아산화질소, N2O, 300 sccm
헬륨, He, 4000 sccm
챔버에 들어가기 전에, 질소 산화물은 2000 W의 마이크로파 에너지를 제공하는 마이크로파 애플리케이터에서 해리된다. 상기 기판은 기체 분배 샤워헤드로부터 600 밀(mil)에 위치하며 50 W의 고주파전력(13 MHZ)은 산화된 디메틸디실록산층의 플라즈마 강화 증착에 대하여 사이클로 샤워헤드에 가해진다. 각 사이클은 상기 사이클의 30%에 대하여 RF 전력을 제공한다.
앞서 기술한 것은 본 발명의 바람직한 실시예들에 나타나있는 반면, 상기 발명의 다른 부가하는 실시예들은 상기 발명의 기본 범위를 이탈하지 않고서 고안될 수 있으며, 그 기본 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

10W 내지 200W의 일정 RF 전력레벨 또는 20W 내지 500W의 펄스화된 RF 전력레벨에서 산화 기체로 탄소를 함유한 하나 이상의 실리콘 화합물을 반응시키는 단계를 포함하는 것을 특징으로 하는 저 유전상수를 가진 필름을 증착시키는 방법.
제 1항에 있어서, 상기 각각의 실리콘 화합물내 각각의 실리콘 원자는 하나 또는 두 개의 원자 및 적어도 하나의 수소 원자와 결합하며, 동일한 분자내 두 개 이상의 실리콘 원자는 두 개의 탄소 원자 또는 하나의 산소 원자에 의해서만 분리되는 것을 특징으로 하는 저 유전상수를 가진 필름을 증착시키는 방법.
제 1항에 있어서, 상기 실리콘 화합물은 메틸실란, 디메틸실란, 트리메틸실란, 디메틸실란디올, 비스(메틸실라노)메탄, 1,2-디실라노에탄, 1,2-비스(메틸실라노)에탄, 2,2-디실라노프로판, 1,3,5-트리실라노-2,4,6-트리에틸렌, 1,3-디메틸디실록산, 1,1,3,3-테트라메틸디실록산, 1,3-비스(실라노메틸렌)디실록산, 비스(1-메틸디실록산닐)메탄, 2,2-비스(1-메틸실록산닐)프로판, 2,4,6,8,10-펜타메틸싸이클로페타실록산, 1,3,5,7-테트라실라노-2,6-디옥시-4.8-디메틸, 2,4,6-트리실란테트라하이드로파이란, 2,5-디실란테트라하이드로푸란, 이들의 불소화된 탄소 유도체 및 이들의 조합으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 저 유전상수를 가진 필름을 증착시키는 방법.
제 1항에 있어서, 산화 기체는 상기 실리콘 화합물을 혼합하기 전에 해리되는 것을 특징으로 하는 저 유전상수를 가진 필름을 증착시키는 방법.
하나 이상의 실리콘 화합물 및 10W 내지 200W의 일정 RF 전력레벨 또는 20W 내지 500W의 펄스화된 RF 전력레벨에서 산화 기체를 함유하는 처리 기체로부터 패터닝된 금속층상에 컨포멀한 라이닝층을 증착시키는 단계; 및
상기 라이닝층상에 갭 충진 층을 증착시키는 단계를 포함하는 것을 저 유전상수를 가진 필름을 증착시키는 방법.
제 5항에 있어서, 상기 각각의 실리콘 화합물내 각각의 실리콘 원자는 하나 또는 두 개의 원자 및 적어도 하나의 수소 원자와 결합하며, 동일한 분자내 실리콘 원자는 두 개의 탄소 원자 또는 하나의 산소 원자에 의해서만 분리되는 것을 특징으로 하는 저 유전상수를 가진 필름을 증착시키는 방법.
제 5항에 있어서, 상기 실리콘 화합물은 메틸실란, 디메틸실란, 트리메틸실란, 디메틸실란디올, 비스(메틸실라노)메탄, 1,2-디실라노에탄, 1,2-비스(메틸실라노)에탄, 2,2-디실라노프로판, 1,3,5-트리실라노-2,4,6-트리에틸렌, 1,3-디메틸디실록산, 1,1,3,3-테트라메틸디실록산, 1,3-비스(실라노메틸렌)디실록산, 비스(1-메틸디실록산닐)메탄, 2,2-비스(1-메틸실록산닐)프로판, 2,4,6,8,10-펜타메틸싸이클로페타실록산, 1,3,5,7-테트라실라노-2,6-디옥시-4.8-디메틸, 2,4,6-트리실란테트라하이드로파이란, 2,5-디실란테트라하이드로푸란, 이들의 불소화된 탄소 유도체 및 이들의 조합으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 저 유전상수를 가진 필름을 증착시키는 방법.
제 5항에 있어서, 산화 기체는 상기 실리콘 화합물을 혼합하기 전에 해리되는 것을 특징으로 하는 저 유전상수를 가진 필름을 증착시키는 방법.
제 5항에 있어서, 갭 충진 층은 실라노-함유 화합물 및 탄소 과산화물의 반응에 의해 증착되는 것을 특징으로 하는 저 유전상수를 가진 필름을 증착시키는 방법.
제 5항에 있어서, 상기 방법은 상기 실리콘 화합물 및 상기 산화 기체를 함유하는 상기 처리 기체로부터 상기 갭 충진 층상에 캡핑층을 증착시키는 단계를 더 포함하는 것을 특징으로 하는 저 유전상수를 가진 필름을 증착시키는 방법.
반응 영역, 상기 반응 영역내 기판을 위치시키는 기판 홀더 및 진공장치를 구비하는 베셀;
하나 이상의 유기실리콘 화합물 및 산화 기체의 공급부에 상기 베셀의 반응 영역을 결합시키는 기체 분배기;
상기 반응 영역내에 플라즈마를 발생시키기 위해 기체 분배기에 결합된 RF 발생기;
상기 베셀, 상기 기체 분배기 및 상기 RF 발생기를 제어하기 위한 컴퓨터를 구비하는 제어기; 및
하나 이상의 실리콘 화합물 및 10W 내지 200W의 일정 RF 전력레벨 또는 20W 내지 500W의 펄스화된 RF 전력레벨에서 산화 기체를 반응시키는 단계를 구비하는 공정을 선택하기 위해 컴퓨터 판독가능 프로그램 코드를 가진 컴퓨터 사용가능 매체를 구비하며, 상기 제어기에 결합된 메모리를 포함하는 것을 특징으로 하는 기판 처리장치.
제 11항에 있어서, 상기 기판 처리장치는 이중 다마신 구조를 증착시키기 위한 컴퓨터 판독가능 프로그램 코드를 더 포함하는 것을 특징으로 하는 기판 처리장치.
반응 영역, 상기 반응 영역내 기판을 위치시키는 기판 홀더 및 진공장치를 구비하는 베셀;
하나 이상의 유기실리콘 화합물 및 산화 기체의 공급부에 상기 베셀의 반응 영역을 결합시키는 기체 분배기;
상기 반응 영역내에 플라즈마를 발생시키기 위해 기체 분배기에 결합된 RF 발생기;
상기 베셀, 상기 기체 분배기 및 상기 RF 발생기를 제어하기 위한 컴퓨터를 구비하는 제어기; 및
하나 이상의 실리콘 화합물 및 10W 내지 200W의 일정 RF 전력레벨 또는 20W 내지 500W의 펄스화된 RF 전력레벨에서 산화 기체를 함유하는 처리 기체로부터 탄소를 함유하는 유전체층을 증착시키는 단계를 구비하는 공정을 선택하기 위해 컴퓨터 판독가능 프로그램 코드를 가진 컴퓨터 사용가능 매체를 구비하며, 상기 제어기에 결합된 메모리를 포함하는 것을 특징으로 하는 기판 처리장치.
제 13항에 있어서, 상기 기판 처리장치는 상기 유전체층상에 갭 충진 층을 증착시키기 위한 컴포터 판독가능 코드 및 유기실리콘 화합물을 함유하는 처리 기체로부터 갭 충진 층상에 위치하는 캡핑층을 더 포함하는 것을 특징으로 하는 기판 처리장치.
하나 이상의 유기실리콘 화합물을 산화 기체와 반응시킴으로써 기판상에 20원자중량% 이상의 탄소 함량을 가진 비아 레벨 유전체 필름을 증착시키는 단계;
상기 비아 레벨 유전체 필름을 패턴 에칭하여 비아를 형성하는 단계;
상기 비아 레벨 유전체 필름상에 10원자중량% 이하의 탄소 함량을 가진 트렌치 레벨 유전체 필름을 증착시키는 단계; 및
상기 트렌치 레벨 유전체 필름을 패턴 에칭하여 수평 상호결합부를 형성하는 단계를 포함하는 것을 특징으로 하는 이중 다마신 구조물 형성 방법.
제 15항에 있어서, 상기 산화 기체는 상기 유기실리콘 화합물과 혼합되기 전에 해리되는 것을 특징으로 하는 이중 다마신 구조물 형성 방법.
하나 이상의 수직 상호결합부를 구비하는 제 1 유전체층; 및
상기 제 1 유전체층과 접촉하며, 하나 이상의 수평 상호결합부를 한정하는 제 2 유전체층을 포함하는 거을 특징으로 하는 이중 다마신 구조물.
제 17항에 있어서, 상기 제 1 및 제 2 유전체ㅊ층은 다른 유전 상수를 가지는 것을 특징으로 하는 이중 다마신 구조물.
제 18항에 있어서, 상기 제 1 유전체층은 20원자중량% 이상의 탄소 함량을 가진 산화된 유기실리콘 화합물을 포함하는 것을 특징으로 하는 이중 다마신 구조물.
제 19항에 있어서, 상기 제 2 유전체층은 10원자중량% 이하의 탄소 함량을 가진 산화된 유기실리콘 화합물을 포함하는 것을 특징으로 하는 이중 다마신 구조물.
KR1020007008809A 1998-02-11 1999-02-10 저 유전상수 필름을 증착하는 플라즈마 방법 KR100605770B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US09/021,788 US6054379A (en) 1998-02-11 1998-02-11 Method of depositing a low k dielectric with organo silane
US09/114,682 US6072227A (en) 1998-02-11 1998-07-13 Low power method of depositing a low k dielectric with organo silane
US09/162,915 US6287990B1 (en) 1998-02-11 1998-09-29 CVD plasma assisted low dielectric constant films
US09/021,788 1998-11-04
US09/114,682 1998-11-04
US09/185,555 US6303523B2 (en) 1998-02-11 1998-11-04 Plasma processes for depositing low dielectric constant films
US09/185,555 1998-11-04
US09/162,915 1998-11-04

Publications (2)

Publication Number Publication Date
KR20010040901A true KR20010040901A (ko) 2001-05-15
KR100605770B1 KR100605770B1 (ko) 2006-07-28

Family

ID=35351919

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007008809A KR100605770B1 (ko) 1998-02-11 1999-02-10 저 유전상수 필름을 증착하는 플라즈마 방법

Country Status (2)

Country Link
EP (1) EP1607493B1 (ko)
KR (1) KR100605770B1 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100558999B1 (ko) * 2001-11-06 2006-03-10 인피네온 테크놀로지스 아게 기판 함몰부 충진 방법
KR100668819B1 (ko) * 2001-06-26 2007-01-17 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR100818953B1 (ko) * 2000-10-30 2008-04-04 어플라이드 머티어리얼스, 인코포레이티드 유기실리케이트층을 증착시키는 방법
KR100984195B1 (ko) * 2007-02-15 2010-09-28 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유전체 필름의 물성을 강화하기 위한 활성 화학 공정
KR20140016203A (ko) * 2012-07-30 2014-02-07 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 무산소 함유 실리콘계 막 및 이의 형성 방법
KR101531611B1 (ko) * 2012-12-28 2015-06-25 제일모직 주식회사 반도체 집적회로 디바이스의 제조방법 및 이에 따른 반도체 집적회로 디바이스
KR20190087251A (ko) * 2018-01-15 2019-07-24 삼성전자주식회사 박막 형성 방법 및 박막 형성 장치

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH077759B2 (ja) 1987-08-20 1995-01-30 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US5246887A (en) * 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
JPH05267480A (ja) * 1992-03-21 1993-10-15 Ricoh Co Ltd 半導体装置とその製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100818953B1 (ko) * 2000-10-30 2008-04-04 어플라이드 머티어리얼스, 인코포레이티드 유기실리케이트층을 증착시키는 방법
KR100668819B1 (ko) * 2001-06-26 2007-01-17 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR100558999B1 (ko) * 2001-11-06 2006-03-10 인피네온 테크놀로지스 아게 기판 함몰부 충진 방법
KR100984195B1 (ko) * 2007-02-15 2010-09-28 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유전체 필름의 물성을 강화하기 위한 활성 화학 공정
KR20140016203A (ko) * 2012-07-30 2014-02-07 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 무산소 함유 실리콘계 막 및 이의 형성 방법
KR101531611B1 (ko) * 2012-12-28 2015-06-25 제일모직 주식회사 반도체 집적회로 디바이스의 제조방법 및 이에 따른 반도체 집적회로 디바이스
KR20190087251A (ko) * 2018-01-15 2019-07-24 삼성전자주식회사 박막 형성 방법 및 박막 형성 장치

Also Published As

Publication number Publication date
KR100605770B1 (ko) 2006-07-28
EP1607493A2 (en) 2005-12-21
EP1607493B1 (en) 2008-12-10
EP1607493A3 (en) 2007-07-04

Similar Documents

Publication Publication Date Title
JP5090430B2 (ja) 低誘電率膜の堆積処理方法、基板処理システム、デュアルダマシン構造の形成方法、およびデュアルダマシン構造
JP4447772B2 (ja) 低誘電率膜を堆積するためのプラズマ処理方法
JP4558206B2 (ja) Cvdナノ多孔性シリカの低誘電率膜
US6660656B2 (en) Plasma processes for depositing low dielectric constant films
US6448187B2 (en) Method of improving moisture resistance of low dielectric constant films
US6800571B2 (en) CVD plasma assisted low dielectric constant films
US6287990B1 (en) CVD plasma assisted low dielectric constant films
US6593247B1 (en) Method of depositing low k films using an oxidizing plasma
KR20010069210A (ko) 낮은 수치의 절연 상수를 갖는 필름을 증착하기 위한 방법
KR100605770B1 (ko) 저 유전상수 필름을 증착하는 플라즈마 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
J202 Request for trial for correction [limitation]
J301 Trial decision

Free format text: TRIAL DECISION FOR CORRECTION REQUESTED 20060914

Effective date: 20070828

FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140627

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 12

EXPY Expiration of term