JP5090430B2 - 低誘電率膜の堆積処理方法、基板処理システム、デュアルダマシン構造の形成方法、およびデュアルダマシン構造 - Google Patents
低誘電率膜の堆積処理方法、基板処理システム、デュアルダマシン構造の形成方法、およびデュアルダマシン構造 Download PDFInfo
- Publication number
- JP5090430B2 JP5090430B2 JP2009274694A JP2009274694A JP5090430B2 JP 5090430 B2 JP5090430 B2 JP 5090430B2 JP 2009274694 A JP2009274694 A JP 2009274694A JP 2009274694 A JP2009274694 A JP 2009274694A JP 5090430 B2 JP5090430 B2 JP 5090430B2
- Authority
- JP
- Japan
- Prior art keywords
- layer
- dielectric
- gas
- sih
- dielectric layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000000034 method Methods 0.000 title claims description 158
- 239000000758 substrate Substances 0.000 title claims description 57
- 230000009977 dual effect Effects 0.000 title claims description 35
- 238000012545 processing Methods 0.000 title claims description 28
- 238000003672 processing method Methods 0.000 title claims 8
- 230000008021 deposition Effects 0.000 title description 46
- 230000008569 process Effects 0.000 claims description 139
- 239000007789 gas Substances 0.000 claims description 104
- 238000000151 deposition Methods 0.000 claims description 70
- 229910052799 carbon Inorganic materials 0.000 claims description 29
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 26
- 238000009826 distribution Methods 0.000 claims description 22
- 238000005530 etching Methods 0.000 claims description 22
- 230000001590 oxidative effect Effects 0.000 claims description 22
- 238000006243 chemical reaction Methods 0.000 claims description 20
- 229910052751 metal Inorganic materials 0.000 claims description 18
- 239000002184 metal Substances 0.000 claims description 18
- 150000003961 organosilicon compounds Chemical class 0.000 claims description 14
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 claims description 11
- 238000011049 filling Methods 0.000 claims description 10
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 10
- 150000003377 silicon compounds Chemical class 0.000 claims description 10
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical group [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 9
- 150000001875 compounds Chemical class 0.000 claims description 7
- OFLMWACNYIOTNX-UHFFFAOYSA-N methyl(methylsilyloxy)silane Chemical compound C[SiH2]O[SiH2]C OFLMWACNYIOTNX-UHFFFAOYSA-N 0.000 claims description 7
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 claims description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical group [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 6
- 238000002156 mixing Methods 0.000 claims description 6
- 230000008878 coupling Effects 0.000 claims description 5
- 238000010168 coupling process Methods 0.000 claims description 5
- 238000005859 coupling reaction Methods 0.000 claims description 5
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 claims description 5
- 229910052710 silicon Inorganic materials 0.000 claims description 5
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 claims description 5
- KWEKXPWNFQBJAY-UHFFFAOYSA-N (dimethyl-$l^{3}-silanyl)oxy-dimethylsilicon Chemical compound C[Si](C)O[Si](C)C KWEKXPWNFQBJAY-UHFFFAOYSA-N 0.000 claims description 3
- VFHJWQUCFQTIAR-UHFFFAOYSA-N 2-methylideneoxatrisiletane Chemical compound C=[Si]1O[SiH2][SiH2]1 VFHJWQUCFQTIAR-UHFFFAOYSA-N 0.000 claims description 3
- OPLQHQZLCUPOIX-UHFFFAOYSA-N 2-methylsilirane Chemical compound CC1C[SiH2]1 OPLQHQZLCUPOIX-UHFFFAOYSA-N 0.000 claims description 3
- 125000004432 carbon atom Chemical group C* 0.000 claims description 3
- FWITZJRQRZACHD-UHFFFAOYSA-N methyl-[2-[methyl(silyloxy)silyl]propan-2-yl]-silyloxysilane Chemical compound C[SiH](O[SiH3])C(C)(C)[SiH](C)O[SiH3] FWITZJRQRZACHD-UHFFFAOYSA-N 0.000 claims description 3
- 125000004429 atom Chemical group 0.000 claims description 2
- 150000001721 carbon Chemical class 0.000 claims description 2
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims description 2
- 125000004430 oxygen atom Chemical group O* 0.000 claims description 2
- PUNGSQUVTIDKNU-UHFFFAOYSA-N 2,4,6,8,10-pentamethyl-1,3,5,7,9,2$l^{3},4$l^{3},6$l^{3},8$l^{3},10$l^{3}-pentaoxapentasilecane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O[Si](C)O1 PUNGSQUVTIDKNU-UHFFFAOYSA-N 0.000 claims 1
- 239000010703 silicon Substances 0.000 claims 1
- 239000010410 layer Substances 0.000 description 227
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 56
- 229910052814 silicon oxide Inorganic materials 0.000 description 49
- 239000010408 film Substances 0.000 description 42
- 150000001282 organosilanes Chemical class 0.000 description 41
- 230000004888 barrier function Effects 0.000 description 34
- 125000005375 organosiloxane group Chemical group 0.000 description 31
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 20
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 20
- 229910052802 copper Inorganic materials 0.000 description 20
- 239000010949 copper Substances 0.000 description 20
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 20
- -1 silane compound Chemical class 0.000 description 19
- 125000004122 cyclic group Chemical group 0.000 description 15
- 239000000463 material Substances 0.000 description 13
- 229910052760 oxygen Inorganic materials 0.000 description 13
- 239000001301 oxygen Substances 0.000 description 11
- 239000003989 dielectric material Substances 0.000 description 10
- 229910052734 helium Inorganic materials 0.000 description 10
- 239000001272 nitrous oxide Substances 0.000 description 10
- 229910052581 Si3N4 Inorganic materials 0.000 description 9
- 238000010438 heat treatment Methods 0.000 description 9
- 239000001307 helium Substances 0.000 description 9
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 9
- 238000001465 metallisation Methods 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 9
- 229910000077 silane Inorganic materials 0.000 description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 9
- 229910052782 aluminium Inorganic materials 0.000 description 8
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- 238000004590 computer program Methods 0.000 description 8
- 238000010586 diagram Methods 0.000 description 8
- 238000007726 management method Methods 0.000 description 8
- 239000012790 adhesive layer Substances 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 239000011261 inert gas Substances 0.000 description 7
- 239000012212 insulator Substances 0.000 description 6
- 239000007788 liquid Substances 0.000 description 6
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 6
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 5
- 229910052731 fluorine Inorganic materials 0.000 description 5
- 239000011737 fluorine Substances 0.000 description 5
- 230000002209 hydrophobic effect Effects 0.000 description 5
- 238000000206 photolithography Methods 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- 239000002210 silicon-based material Substances 0.000 description 5
- 238000005137 deposition process Methods 0.000 description 4
- 230000001965 increasing effect Effects 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 238000012544 monitoring process Methods 0.000 description 4
- 239000012495 reaction gas Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- 125000003342 alkenyl group Chemical group 0.000 description 3
- 125000000217 alkyl group Chemical group 0.000 description 3
- 239000006227 byproduct Substances 0.000 description 3
- 239000003575 carbonaceous material Substances 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 238000009713 electroplating Methods 0.000 description 3
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical class FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 3
- 125000000962 organic group Chemical group 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- BPMGYFSWCJZSBA-UHFFFAOYSA-N C[SiH](C)O[SiH3] Chemical compound C[SiH](C)O[SiH3] BPMGYFSWCJZSBA-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 2
- DHXVGJBLRPWPCS-UHFFFAOYSA-N Tetrahydropyran Chemical compound C1CCOCC1 DHXVGJBLRPWPCS-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- XCLIHDJZGPCUBT-UHFFFAOYSA-N dimethylsilanediol Chemical compound C[Si](C)(O)O XCLIHDJZGPCUBT-UHFFFAOYSA-N 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000005429 filling process Methods 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 239000012705 liquid precursor Substances 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- ANKWZKDLZJQPKN-UHFFFAOYSA-N methyl-[[methyl(silyloxy)silyl]methyl]-silyloxysilane Chemical compound [SiH3]O[SiH](C)C[SiH](C)O[SiH3] ANKWZKDLZJQPKN-UHFFFAOYSA-N 0.000 description 2
- 230000005012 migration Effects 0.000 description 2
- 238000013508 migration Methods 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 230000000737 periodic effect Effects 0.000 description 2
- 229920000052 poly(p-xylylene) Polymers 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 description 1
- PXFBHDWUOSROGM-UHFFFAOYSA-N FC(F)(F)[SiH](C1(F)F)C1(F)F Chemical compound FC(F)(F)[SiH](C1(F)F)C1(F)F PXFBHDWUOSROGM-UHFFFAOYSA-N 0.000 description 1
- DJSPBAYXNUDIND-UHFFFAOYSA-N FC(F)=[Si]1[SiH2][SiH2]O1 Chemical compound FC(F)=[Si]1[SiH2][SiH2]O1 DJSPBAYXNUDIND-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- AIJPERONRMAXQI-UHFFFAOYSA-N [difluoro-[silyloxy(trifluoromethyl)silyl]methyl]-silyloxy-(trifluoromethyl)silane Chemical compound FC(F)(F)[SiH](O[SiH3])C(F)(F)[SiH](O[SiH3])C(F)(F)F AIJPERONRMAXQI-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 125000000596 cyclohexenyl group Chemical group C1(=CCCCC1)* 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- OLLFKUHHDPMQFR-UHFFFAOYSA-N dihydroxy(diphenyl)silane Chemical compound C=1C=CC=CC=1[Si](O)(O)C1=CC=CC=C1 OLLFKUHHDPMQFR-UHFFFAOYSA-N 0.000 description 1
- VDCSGNNYCFPWFK-UHFFFAOYSA-N diphenylsilane Chemical compound C=1C=CC=CC=1[SiH2]C1=CC=CC=C1 VDCSGNNYCFPWFK-UHFFFAOYSA-N 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 description 1
- 150000002430 hydrocarbons Chemical group 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- LAQFLZHBVPULPL-UHFFFAOYSA-N methyl(phenyl)silicon Chemical compound C[Si]C1=CC=CC=C1 LAQFLZHBVPULPL-UHFFFAOYSA-N 0.000 description 1
- 125000001570 methylene group Chemical group [H]C([H])([*:1])[*:2] 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- PARWUHTVGZSQPD-UHFFFAOYSA-N phenylsilane Chemical compound [SiH3]C1=CC=CC=C1 PARWUHTVGZSQPD-UHFFFAOYSA-N 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000005728 strengthening Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
- KIEXGUUJAYEUSM-UHFFFAOYSA-N trifluoromethylsilane Chemical compound FC(F)(F)[SiH3] KIEXGUUJAYEUSM-UHFFFAOYSA-N 0.000 description 1
- 238000001947 vapour-phase growth Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02362—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
- H01L21/3121—Layers comprising organo-silicon compounds
- H01L21/3122—Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
- H01L21/31612—Deposition of SiO2 on a silicon body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/7681—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Description
しかしながら、添付の図面は本発明の典型的な実施形態のみを示すものであり、したがって本発明の請求の範囲を限定するものではなく、他の同等の有効な実施形態にも適用してもよいものであることに留意されたい。本発明をさらに理解するために、より詳細な以下の記載を参照されたい。
ジメチルシラン (CH3)2-SiH2
トリメチルシラン (CH3)3-SiH
ジメチルシランジオール (CH3)2-Si-(OH)2
エチルシラン CH3-CH2-SiH3
フェニルシラン C6H5-SiH3
ジフェニルシラン (C6H5)2-SiH2
ジフェニルシランジオール (C6H5)2-Si-(OH)3
メチルフェニルシラン C6H5-SiH2-CH3
ジシラノメタン SiH3-CH2-SiH3
ビス(メチルシラノ)メタン CH3-SiH2-CH2-SiH2-CH3
1,2-ジシラノエタン SiH3-CH2-CH2-SiH3
1,2-ビス(メチルシラノ)エタン CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-ジシラノプロパン SiH3-C(CH3)2-SiH3
1,3,5-トリシラノー2,4,6-トリメチレン -(-SiH2CH2-)3-(環状)
1,3-ジメチルジシロキサン CH3-SiH2-O-SiH2-CH3
1,1,3,3-テトラメチルジシロキサン (CH3)2-SiH-O-SiH-(CH3)2
ヘキサメチルジシロキサン (CH3)3-Si-O-Si-(CH3)3
1,3-ビス(シラノメチレン) ジシロキサン (SiH3-CH2-SiH2-)2-O
ビス(1-メチルジシロキサニル)メタン (CH3-SiH2-O-SiH2-)2-CH2
2,2-ビス(1-メチルジシロキサニル) プロパン (CH3-SiH2-O-SiH2-)2-C(CH3)2
2,4,6,8-テトラメチルシクロテトラシロキサン -(-SiHCH3-O-)4-(環状)
オクタメチルシクロテトラシロキサン -(-Si(CH3)2-O-)4-(環状)
2,4,6,8,10-ペンタメチルシクロペンタシロキサン -(-SiHCH3-O-)5-(環状)
1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジ -(-SiH2-CH2-SiH2-O-)2-(環状)
メチレン2,4,6-トリシランテトラヒドロピラン -SiH2-CH2-SiH2-CH2-SiHO2-O-(環状)
2,5-ジシランテトラヒドロフラン -SiH2-CH2-CH2-SiH2-O-(環状)
ジメチルシラン (CH3)2-SiH2
トリメチルシラン (CH3)3-SiH
ジシラノメタン SiH3-CH2-SiH3
ビス(メチルシラノ)メタン CH3-SiH2-CH2-SiH2-CH3
1,2-ジシラノエタン SiH3-CH2-CH2-SiH3
1,2-ビス(メチルシラノ)エタン CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-ジシラノプロパン SiH3-C(CH3)2-SiH3
1,3,5-トリシラノ-2,4,6-トリメチレン -(-SiH2CH2-)3-(環状)
1,3-ジメチルジシロキサン CH3-SiH2-O-SiH2-CH3
1,1,3,3-テトラメチルジシロキサン (CH3)2-SiH-O-SiH-(CH3)2
1,3-ビス(シラノメチレン) ジシロキサン (SiH3-CH2-SiH2-)2-O
ビス(1-メチルジシロキサニル)メタン (CH3-SiH2-O-SiH2-)2-CH2
2,2-ビス(1-メチルジシロキサニル) プロパン (CH3-SiH2-O-SiH2-)2-C(CH3)2
2,4,6,8,10-ペンタメチルシクロペンタシロキサン -(-SiHCH3-O-)5-(環状)
1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメ -(-SiH2-CH2-SiH2-O-)2-(環状)
チレン2,4,6-トリシランテトラヒドロピラン -SiH2-CH2-SiH2-CH2-SiHO2-O-(環状)
2,5-ジシランテトラヒドロフラン -SiH2-CH2-CH2-SiH2-O-(環状)
1,2-ジシラノテトラフルオロエチレン SiH3-CF2-CF2-SiH3
1,2-ビス(トリフルオロメチルシラノ)テトラフルオロエタン CF3-SiH2-CF2-CF2-SiH2-CF3
2,2-ジシラノヘキサフルオロプロパン SiH3-C(CF3)2-SiH3
1,3-ビス(シラノジフルオロメチレン) ジシロキサン (SiH3-CF2-SiH2-)2-O
ビス(1-トリフルオロメチルジシロキサニル) ジフルオロメタン (CF3-SiH2-O-SiH2-)2-CF2
2,4,6-トリシランテトラフルオロピラン -SiH2-CF2-SiH2-CF2-SiH2-O-(環状)
2,5-ジシランテトラフルオロフラン -SiH2-CF2-CF2-SiH2-O-(環状)
本発明の方法を実行可能な1つの適切なCVDプラズマリアクタが図2に示されており、この図は、高真空領域15を有する平行板化学気相堆積リアクタ10の垂直断面図である。リアクタ10は、ガス分配マニホールド11を含み、これによりマニホールドに貫通させた穴を介して、リフトモニタ14により昇降させる基板支持板またはサセプタ12上に載せた基板またはウェーハ(図示せず)にプロセスガスを分散させる。液体オルガノシランおよび/またはオルガノシロキサン化合物を射出するために、通常はTEOSの液体射出用に用いられるような液体射出システム(図示せず)が用いられてもよい。好適なメチルシランは気体である。
本発明の酸化オルガノシランまたはオルガノシロキサン層は、図2のPECVDチャンバを用いて、図5に示すような3層ギャップ充填処理に使用することができる。図5を参照すると、リアクタ10にウェーハが配置され(200)、低誘電率の酸化オルガノシラン層が、オルガノシラン化合物および/またはオルガノシロキサン化合物からなるプラズマからPECVD処理により堆積される(205)。この堆積工程205は、当業者に周知の方法に従って処理チャンバ15内において、静電結合されたプラズマか、または誘導結合プラズマと静電結合プラズマの両方を含むことができる。PECVD堆積法では、ヘリウムなどの不活性ガスが一般に使用され、プラズマ発生を促進する。次いで、ギャップ充填層が、周知の方法でライナ層上に堆積される(210)。ギャップ充填層は、メチルシランと過酸化水素を反応させて液体で堆積させたスピンオンポリマーや酸化物など、自己平坦化させることが好ましい。次いで、好ましくは、ライニング層を堆積するプロセスと同じプロセスを用いて、キャップ層215が、ギャップ充填層上に堆積される(215)。次いで、ウェーハは、リアクタ10から除去される(220)。
ジシラノメタン
ビス(メチルシラノ)メタン
1,2−ジシラノエタン
2,2−ジシラノプロパン
1,3,5−トリシラノ−2,4,6−トリメチレン(環状)
1,3−ビス(シラノメチレン)シロキサン
ビス(1−メチルジシロキサニル)メタン
2,4,6,8−テトラメチルシクロテトラシロキサン、または
1,2−ジシラノテトラフルオロエタン
別の好適なデュアルダマシン構造は、層間でのクロストークに対して耐性を備えるために、異なる誘電率のシリコン酸化物層からなり、図11Aから11Dに示されるように堆積され、これらの図は、本発明の工程を施して形成された基板の断面図である。
以下の実施例は、良好なバリヤ特性および密着性を有する酸化オルガノシランまたはオルガノシロキサン膜の堆積を表すものである。この実施例は、化学気相堆積用チャンバを用いて行われたものであり、特に、カリフォルニア州サンタクララのアプライドマテリアルズ社により共に製造され販売されている固体RF整合ユニットと2個の石英プロセスキットを含む「CENTURAD×Z」システムを用いて行われた。
以下のようなリアクタに流入した反応ガスから、3.0Torrのチャンバ圧力と15ECの温度で、酸化ジメチルシラン膜が堆積された。
亜酸化窒素、N2O300sccm
ヘリウム、He 4000sccm
以下の仮定上の実施例は、本発明の酸化オルガノシランまたはオルガノシロキサン膜の堆積を記載したものである。これらの実施例は、前述した実施例で記載した化学気相堆積法に対して書かれているものである。
以下のようにリアクタ内に流入する反応ガスから、3.0Torrのチャンバ圧力と15ECの温度で、酸化1,3,5−トリシラノ−2,4,6−トリメチレン(環状)膜が堆積された。
亜酸化窒素、N2O 300sccm
ヘリウム、He 4000sccm
以下のようにリアクタ内に流入する反応ガスから、3.0Torrのチャンバ圧力と15ECの温度で、酸化1,3−ジメチルジシロキサン膜が堆積された。
亜酸化窒素、N2O 300sccm
ヘリウム、He 4000sccm
以下のようにリアクタ内に流入する反応ガスから、3.0Torrのチャンバ圧力と15ECの温度で、酸化1,3−ジメチルジシロキサン膜が堆積された。
亜酸化窒素、N2O 300sccm
ヘリウム、He 4000sccm
Claims (16)
- 10Wから200Wの一定RFパワーレベルか、または20Wから500WのパルスRFパワーレベルで、1以上のシリコン化合物と酸化ガスからなるプロセスガスから、パターン化された金属層上にコンフォーマルライニング層を堆積する工程と、
前記ライニング層上にギャップ充填層を堆積させる工程と、を含み、
前記シリコン化合物と前記コンフォーマルライニング層は、炭素を含み、
前記コンフォーマルライニング層は、2.5ないし3.0の誘電率を有する、低誘電率膜の堆積処理方法。 - 各シリコン化合物にある各シリコン原子が、1個以上の炭素原子および少なくとも1個の水素原子に結合され、同じ分子中にあるシリコン原子が、3個以上の炭素原子か、または2個以上の酸素原子より分離されない請求項1に記載の処理方法。
- シリコン化合物が、メチルシラン、ジメチルシラン、トリメチルシラン、ジシラノメタン、ビス(メチルシラノ)メタン、1,2−ジシラノエタン、1,2−ビス(メチルシラノ)エタン、2,2−ジシラノプロパン、1,3,5−トリシラノ−2,4,6−トリメチレン、1,3−ジメチルジシロキサン、1,1,3,3−テトラメチルジシロキサン、1,3−ビス(シラノメチレン)ジシロキサン、ビス(1−メチルジシロキサニル)メタン、2,2−ビス(1−メチルジシロキサニル)プロパン、2,4,6,8,10−ペンタメチルシクロペンタシロキサン、1,3,5,7−テトラシラノ−2,6−ジオキシ−4,8−ジメチレン、2,4,6−トリシランテトラヒドロピラン、2,5−ジシランテトラヒドロフラン、それらのフッ化炭素誘導体、およびそれらの組合せからなる群から選択される請求項1に記載の処理方法。
- 酸化ガスが、シリコン化合物と混合する前に解離される請求項1に記載の処理方法。
- ギャップ充填層が、シラノ含有化合物と過酸化水素を反応させることにより堆積される請求項1に記載の処理方法。
- シリコン化合物と酸化ガスからなるプロセスガスから、ギャップ充填層上にキャップ層を堆積する工程をさらに含む請求項1に記載の処理方法。
- 反応ゾーン、前記反応ゾーンに基板を位置付ける基板ホルダ、および真空システムを備える容器と、
前記容器の反応ゾーンを、1以上のオルガノシリコン化合物と酸化ガスの供給源に結合するガス分配システムと、
前記反応ゾーンにプラズマを発生するためのガス分配システムに結合されたRF発生器と、
前記容器、前記ガス分配システム、および前記RF発生器を制御するためのコンピュータを備えるコントローラと、
前記コントローラに結合されるメモリであって、10Wから200Wの一定RFパワーレベルか、または20Wから500WのパルスRFパワーレベルで、1以上のオルガノシリコン化合物と酸化ガスを反応させ、炭素を含む誘電体層を堆積する工程を備えるプロセスを選択するためのコンピュータ読取り可能プログラムコードを備えたコンピュータ使用可能媒体からなるメモリと、を備え、
前記誘電体層は、2.5ないし3.0の誘電率を有する、基板処理システム。 - デュアルダマシン構造を堆積するためのコンピュータ読取り可能プログラムコードをさらに備える請求項7に記載の基板処理システム。
- 反応ゾーン、前記反応ゾーンに基板を位置付ける基板ホルダ、および真空システムを備える容器と、
前記容器の反応ゾーンを、1以上のオルガノシリコン化合物と酸化ガスの供給源に結合するガス分配システムと、
前記反応ゾーンをオルガノシリコン化合物の供給源に結合するマイクロ波チャンバと、
前記反応ゾーンにプラズマを発生するためのガス分配システムに静電結合されたRF発生器と、
前記容器、前記ガス分配システム、および前記RF発生器を制御するためのコンピュータを備えるコントローラと、
前記コントローラに結合されるメモリであって、10Wから200Wの一定RFパワーレベルか、または20Wから500WのパルスRFパワーレベルで、オルガノシリコン化合物と酸化ガスからなるプロセスガスから炭素含有の誘電体層を堆積する工程を含むプロセスを選択するためのコンピュータ読取り可能プログラムコードを備えたコンピュータ使用可能媒体からなるメモリと
を備え、
前記誘電体層は、2.5ないし3.0の誘電率を有する、基板処理システム。 - オルガノシリコン化合物からなるプロセスガスから、誘電体層上にギャップ充填層を堆積し、前記ギャップ充填層上にキャップ層を堆積するためのコンピュータ読取り可能なプログラムコードをさらに備える請求項9に記載のシステム。
- 1以上のオルガノシリコン化合物と酸化ガスを反応させることによって、基板上に20原子量%よりも多い炭素含有量を有するバイアレベルの誘電体膜を堆積させる工程と、
バイアを形成するために、前記バイアレベルの誘電体膜をパターンエッチングする工程と、
前記バイアレベルの誘電体膜上に、10原子量%よりも少ない炭素含有量を有するトレンチレベルの誘電体膜を堆積する工程と、
水平方向の配線を形成するために、前記トレンチレベルの誘電体膜をパターンエッチングする工程と
を含むデュアルダマシン構造の形成方法。 - 酸化ガスが、オルガノシリコン化合物と混合する前に解離される請求項11に記載の処理方法。
- 1以上の垂直方向の配線を含む第1の誘電体層と、
前記第1の誘電体層に接触し、1以上の水平方向の配線を画定する第2の誘電体層を備え、
前記第1の誘電体層と第2の誘電体層とは、シリコンと炭素を含み、それぞれ2.5ないし3.0の誘電率を有している、デュアルダマシン構造。 - 第1および第2の誘電体層の誘電率が異なる請求項13に記載の構造。
- 第1の誘電体層が、20原子量%よりも多い炭素含有量を有する酸化オルガノシリコン化合物からなる請求項14に記載の構造。
- 第2の誘電体層が、10原子量%よりも少ない炭素含有量を有する酸化オルガノシリコン化合物からなる請求項15に記載の構造。
Applications Claiming Priority (8)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/021,788 US6054379A (en) | 1998-02-11 | 1998-02-11 | Method of depositing a low k dielectric with organo silane |
US09/114,682 US6072227A (en) | 1998-02-11 | 1998-07-13 | Low power method of depositing a low k dielectric with organo silane |
US09/162,915 US6287990B1 (en) | 1998-02-11 | 1998-09-29 | CVD plasma assisted low dielectric constant films |
US09/114,682 | 1998-11-04 | ||
US09/185,555 US6303523B2 (en) | 1998-02-11 | 1998-11-04 | Plasma processes for depositing low dielectric constant films |
US09/162,915 | 1998-11-04 | ||
US09/021,788 | 1998-11-04 | ||
US09/185,555 | 1998-11-04 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000531599A Division JP4447772B2 (ja) | 1998-02-11 | 1999-02-10 | 低誘電率膜を堆積するためのプラズマ処理方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2010123972A JP2010123972A (ja) | 2010-06-03 |
JP5090430B2 true JP5090430B2 (ja) | 2012-12-05 |
Family
ID=21806156
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2009274694A Expired - Lifetime JP5090430B2 (ja) | 1998-02-11 | 2009-12-02 | 低誘電率膜の堆積処理方法、基板処理システム、デュアルダマシン構造の形成方法、およびデュアルダマシン構造 |
Country Status (3)
Country | Link |
---|---|
US (13) | US6054379A (ja) |
JP (1) | JP5090430B2 (ja) |
DE (1) | DE69940082D1 (ja) |
Families Citing this family (545)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5955037A (en) * | 1996-12-31 | 1999-09-21 | Atmi Ecosys Corporation | Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases |
US6121159A (en) | 1997-06-19 | 2000-09-19 | Lsi Logic Corporation | Polymeric dielectric layers having low dielectric constants and improved adhesion to metal lines |
GB9801359D0 (en) * | 1998-01-23 | 1998-03-18 | Poulton Limited | Methods and apparatus for treating a substrate |
US6881683B2 (en) * | 1998-02-05 | 2005-04-19 | Asm Japan K.K. | Insulation film on semiconductor substrate and method for forming same |
US6852650B2 (en) * | 1998-02-05 | 2005-02-08 | Asm Japan K.K. | Insulation film on semiconductor substrate and method for forming same |
US6432846B1 (en) * | 1999-02-02 | 2002-08-13 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6514880B2 (en) * | 1998-02-05 | 2003-02-04 | Asm Japan K.K. | Siloxan polymer film on semiconductor substrate and method for forming same |
TW437017B (en) | 1998-02-05 | 2001-05-28 | Asm Japan Kk | Silicone polymer insulation film on semiconductor substrate and method for formation thereof |
US6383955B1 (en) | 1998-02-05 | 2002-05-07 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6303523B2 (en) * | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6413583B1 (en) * | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6593247B1 (en) * | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6340435B1 (en) * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6287990B1 (en) * | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6627532B1 (en) * | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6660656B2 (en) | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
US6274292B1 (en) * | 1998-02-25 | 2001-08-14 | Micron Technology, Inc. | Semiconductor processing methods |
US7804115B2 (en) * | 1998-02-25 | 2010-09-28 | Micron Technology, Inc. | Semiconductor constructions having antireflective portions |
JP3305251B2 (ja) | 1998-02-26 | 2002-07-22 | 松下電器産業株式会社 | 配線構造体の形成方法 |
US6068884A (en) * | 1998-04-28 | 2000-05-30 | Silcon Valley Group Thermal Systems, Llc | Method of making low κ dielectric inorganic/organic hybrid films |
US6218268B1 (en) * | 1998-05-05 | 2001-04-17 | Applied Materials, Inc. | Two-step borophosphosilicate glass deposition process and related devices and apparatus |
US7923383B2 (en) * | 1998-05-21 | 2011-04-12 | Tokyo Electron Limited | Method and apparatus for treating a semi-conductor substrate |
US6627539B1 (en) * | 1998-05-29 | 2003-09-30 | Newport Fab, Llc | Method of forming dual-damascene interconnect structures employing low-k dielectric materials |
US6159871A (en) | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant |
US6667553B2 (en) | 1998-05-29 | 2003-12-23 | Dow Corning Corporation | H:SiOC coated substrates |
US6127263A (en) | 1998-07-10 | 2000-10-03 | Applied Materials, Inc. | Misalignment tolerant techniques for dual damascene fabrication |
US6281100B1 (en) | 1998-09-03 | 2001-08-28 | Micron Technology, Inc. | Semiconductor processing methods |
US6383951B1 (en) * | 1998-09-03 | 2002-05-07 | Micron Technology, Inc. | Low dielectric constant material for integrated circuit fabrication |
US6268282B1 (en) | 1998-09-03 | 2001-07-31 | Micron Technology, Inc. | Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks |
US6800571B2 (en) * | 1998-09-29 | 2004-10-05 | Applied Materials Inc. | CVD plasma assisted low dielectric constant films |
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6635583B2 (en) * | 1998-10-01 | 2003-10-21 | Applied Materials, Inc. | Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating |
JP3657788B2 (ja) * | 1998-10-14 | 2005-06-08 | 富士通株式会社 | 半導体装置及びその製造方法 |
US6251802B1 (en) * | 1998-10-19 | 2001-06-26 | Micron Technology, Inc. | Methods of forming carbon-containing layers |
US6245690B1 (en) | 1998-11-04 | 2001-06-12 | Applied Materials, Inc. | Method of improving moisture resistance of low dielectric constant films |
US6168726B1 (en) * | 1998-11-25 | 2001-01-02 | Applied Materials, Inc. | Etching an oxidized organo-silane film |
JP2000174123A (ja) * | 1998-12-09 | 2000-06-23 | Nec Corp | 半導体装置及びその製造方法 |
US6828683B2 (en) | 1998-12-23 | 2004-12-07 | Micron Technology, Inc. | Semiconductor devices, and semiconductor processing methods |
US7235499B1 (en) | 1999-01-20 | 2007-06-26 | Micron Technology, Inc. | Semiconductor processing methods |
US6849923B2 (en) * | 1999-03-12 | 2005-02-01 | Kabushiki Kaisha Toshiba | Semiconductor device and manufacturing method of the same |
JP4270632B2 (ja) * | 1999-03-12 | 2009-06-03 | 株式会社東芝 | ドライエッチングを用いた半導体装置の製造方法 |
US20060017162A1 (en) * | 1999-03-12 | 2006-01-26 | Shoji Seta | Semiconductor device and manufacturing method of the same |
US6556949B1 (en) | 1999-05-18 | 2003-04-29 | Applied Materials, Inc. | Semiconductor processing techniques |
US6408220B1 (en) | 1999-06-01 | 2002-06-18 | Applied Materials, Inc. | Semiconductor processing techniques |
US6303395B1 (en) | 1999-06-01 | 2001-10-16 | Applied Materials, Inc. | Semiconductor processing techniques |
US6456894B1 (en) | 1999-06-01 | 2002-09-24 | Applied Materials, Inc. | Semiconductor processing techniques |
US6709715B1 (en) * | 1999-06-17 | 2004-03-23 | Applied Materials Inc. | Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds |
US6821571B2 (en) * | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6251770B1 (en) * | 1999-06-30 | 2001-06-26 | Lam Research Corp. | Dual-damascene dielectric structures and methods for making the same |
EP1077479A1 (en) * | 1999-08-17 | 2001-02-21 | Applied Materials, Inc. | Post-deposition treatment to enchance properties of Si-O-C low K film |
US6602806B1 (en) | 1999-08-17 | 2003-08-05 | Applied Materials, Inc. | Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film |
US7067414B1 (en) | 1999-09-01 | 2006-06-27 | Micron Technology, Inc. | Low k interlevel dielectric layer fabrication methods |
US6346476B1 (en) * | 1999-09-27 | 2002-02-12 | Taiwan Semiconductor Manufacturing Company | Method for enhancing line-to-line capacitance uniformity of plasma enhanced chemical vapor deposited (PECVD) inter-metal dielectric (IMD) layers |
US6153512A (en) * | 1999-10-12 | 2000-11-28 | Taiwan Semiconductor Manufacturing Company | Process to improve adhesion of HSQ to underlying materials |
US6423284B1 (en) * | 1999-10-18 | 2002-07-23 | Advanced Technology Materials, Inc. | Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases |
US6156743A (en) * | 1999-10-18 | 2000-12-05 | Whitcomb; John E. | Method of decreasing fatigue |
US6376361B1 (en) * | 1999-10-18 | 2002-04-23 | Chartered Semiconductor Manufacturing Ltd. | Method to remove excess metal in the formation of damascene and dual interconnects |
US6391795B1 (en) * | 1999-10-22 | 2002-05-21 | Lsi Logic Corporation | Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning |
US6417106B1 (en) * | 1999-11-01 | 2002-07-09 | Taiwan Semiconductor Manufacturing Company | Underlayer liner for copper damascene in low k dielectric |
US6147012A (en) * | 1999-11-12 | 2000-11-14 | Lsi Logic Corporation | Process for forming low k silicon oxide dielectric material while suppressing pressure spiking and inhibiting increase in dielectric constant |
JP3400770B2 (ja) * | 1999-11-16 | 2003-04-28 | 松下電器産業株式会社 | エッチング方法、半導体装置及びその製造方法 |
US6432826B1 (en) | 1999-11-29 | 2002-08-13 | Applied Materials, Inc. | Planarized Cu cleaning for reduced defects |
US6541369B2 (en) * | 1999-12-07 | 2003-04-01 | Applied Materials, Inc. | Method and apparatus for reducing fixed charges in a semiconductor device |
US6638143B2 (en) | 1999-12-22 | 2003-10-28 | Applied Materials, Inc. | Ion exchange materials for chemical mechanical polishing |
US6640151B1 (en) | 1999-12-22 | 2003-10-28 | Applied Materials, Inc. | Multi-tool control system, method and medium |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6440860B1 (en) * | 2000-01-18 | 2002-08-27 | Micron Technology, Inc. | Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride |
JP3615979B2 (ja) * | 2000-01-18 | 2005-02-02 | 株式会社ルネサステクノロジ | 半導体装置及びその製造方法 |
US6410770B2 (en) | 2000-02-08 | 2002-06-25 | Gelest, Inc. | Chloride-free process for the production of alkylsilanes suitable for microelectronic applications |
EP1123991A3 (en) * | 2000-02-08 | 2002-11-13 | Asm Japan K.K. | Low dielectric constant materials and processes |
US6815329B2 (en) * | 2000-02-08 | 2004-11-09 | International Business Machines Corporation | Multilayer interconnect structure containing air gaps and method for making |
JP2001267310A (ja) * | 2000-03-17 | 2001-09-28 | Tokyo Electron Ltd | プラズマ成膜方法及びその装置 |
US6417092B1 (en) | 2000-04-05 | 2002-07-09 | Novellus Systems, Inc. | Low dielectric constant etch stop films |
US6451697B1 (en) | 2000-04-06 | 2002-09-17 | Applied Materials, Inc. | Method for abrasive-free metal CMP in passivation domain |
US6952656B1 (en) | 2000-04-28 | 2005-10-04 | Applied Materials, Inc. | Wafer fabrication data acquisition and management systems |
JP4368498B2 (ja) * | 2000-05-16 | 2009-11-18 | Necエレクトロニクス株式会社 | 半導体装置、半導体ウェーハおよびこれらの製造方法 |
US6468927B1 (en) * | 2000-05-19 | 2002-10-22 | Applied Materials, Inc. | Method of depositing a nitrogen-doped FSG layer |
US6284644B1 (en) * | 2000-10-10 | 2001-09-04 | Chartered Semiconductor Manufacturing Ltd. | IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer |
US6492731B1 (en) | 2000-06-27 | 2002-12-10 | Lsi Logic Corporation | Composite low dielectric constant film for integrated circuit structure |
US6410437B1 (en) * | 2000-06-30 | 2002-06-25 | Lam Research Corporation | Method for etching dual damascene structures in organosilicate glass |
US6653242B1 (en) | 2000-06-30 | 2003-11-25 | Applied Materials, Inc. | Solution to metal re-deposition during substrate planarization |
US6372661B1 (en) * | 2000-07-14 | 2002-04-16 | Taiwan Semiconductor Manufacturing Company | Method to improve the crack resistance of CVD low-k dielectric constant material |
US6524944B1 (en) * | 2000-07-17 | 2003-02-25 | Advanced Micro Devices, Inc. | Low k ILD process by removable ILD |
US6764958B1 (en) * | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6708074B1 (en) | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
US6573196B1 (en) | 2000-08-12 | 2003-06-03 | Applied Materials Inc. | Method of depositing organosilicate layers |
US6362094B1 (en) * | 2000-08-16 | 2002-03-26 | Agere Systems Guardian Corp. | Hydrogenated silicon carbide as a liner for self-aligning contact vias |
US6303525B1 (en) * | 2000-08-18 | 2001-10-16 | Philips Electronics No. America Corp. | Method and structure for adhering MSQ material to liner oxide |
US7220322B1 (en) | 2000-08-24 | 2007-05-22 | Applied Materials, Inc. | Cu CMP polishing pad cleaning |
US6489242B1 (en) | 2000-09-13 | 2002-12-03 | Lsi Logic Corporation | Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures |
US6521302B1 (en) | 2000-09-26 | 2003-02-18 | Applied Materials, Inc. | Method of reducing plasma-induced damage |
US6448186B1 (en) | 2000-10-06 | 2002-09-10 | Novellus Systems, Inc. | Method and apparatus for use of hydrogen and silanes in plasma |
US6569349B1 (en) | 2000-10-23 | 2003-05-27 | Applied Materials Inc. | Additives to CMP slurry to polish dielectric films |
US6524167B1 (en) | 2000-10-27 | 2003-02-25 | Applied Materials, Inc. | Method and composition for the selective removal of residual materials and barrier materials during substrate planarization |
US6531398B1 (en) | 2000-10-30 | 2003-03-11 | Applied Materials, Inc. | Method of depositing organosillicate layers |
US6284653B1 (en) * | 2000-10-30 | 2001-09-04 | Vanguard International Semiconductor Corp. | Method of selectively forming a barrier layer from a directionally deposited metal layer |
US6537923B1 (en) | 2000-10-31 | 2003-03-25 | Lsi Logic Corporation | Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines |
US6423630B1 (en) | 2000-10-31 | 2002-07-23 | Lsi Logic Corporation | Process for forming low K dielectric material between metal lines |
US6753258B1 (en) * | 2000-11-03 | 2004-06-22 | Applied Materials Inc. | Integration scheme for dual damascene structure |
US6607967B1 (en) | 2000-11-15 | 2003-08-19 | Lsi Logic Corporation | Process for forming planarized isolation trench in integrated circuit structure on semiconductor substrate |
US6905981B1 (en) | 2000-11-24 | 2005-06-14 | Asm Japan K.K. | Low-k dielectric materials and processes |
US6500773B1 (en) * | 2000-11-27 | 2002-12-31 | Applied Materials, Inc. | Method of depositing organosilicate layers |
US6432814B1 (en) * | 2000-11-30 | 2002-08-13 | Agere Systems Guardian Corp. | Method of manufacturing an interconnect structure having a passivation layer for preventing subsequent processing reactions |
US7188142B2 (en) * | 2000-11-30 | 2007-03-06 | Applied Materials, Inc. | Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility |
US6657284B1 (en) * | 2000-12-01 | 2003-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Graded dielectric layer and method for fabrication thereof |
US20020068454A1 (en) * | 2000-12-01 | 2002-06-06 | Applied Materials, Inc. | Method and composition for the removal of residual materials during substrate planarization |
JP3545364B2 (ja) * | 2000-12-19 | 2004-07-21 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
KR20020051456A (ko) * | 2000-12-22 | 2002-06-29 | 황 철 주 | 저온환경의 화학기상증착 방법 |
US6407013B1 (en) | 2001-01-16 | 2002-06-18 | Taiwan Semiconductor Manufacturing Co., Ltd | Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties |
US6583048B2 (en) | 2001-01-17 | 2003-06-24 | Air Products And Chemicals, Inc. | Organosilicon precursors for interlayer dielectric films with low dielectric constants |
US6537733B2 (en) | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
US6858195B2 (en) | 2001-02-23 | 2005-02-22 | Lsi Logic Corporation | Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material |
US6649219B2 (en) | 2001-02-23 | 2003-11-18 | Lsi Logic Corporation | Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation |
US6572925B2 (en) | 2001-02-23 | 2003-06-03 | Lsi Logic Corporation | Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material |
US6465343B1 (en) * | 2001-02-28 | 2002-10-15 | Advanced Micro Devices, Inc. | Method for forming backend interconnect with copper etching and ultra low-k dielectric materials |
US6603204B2 (en) * | 2001-02-28 | 2003-08-05 | International Business Machines Corporation | Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics |
US20020128735A1 (en) * | 2001-03-08 | 2002-09-12 | Hawkins Parris C.M. | Dynamic and extensible task guide |
US6348407B1 (en) | 2001-03-15 | 2002-02-19 | Chartered Semiconductor Manufacturing Inc. | Method to improve adhesion of organic dielectrics in dual damascene interconnects |
US20020138321A1 (en) * | 2001-03-20 | 2002-09-26 | Applied Materials, Inc. | Fault tolerant and automated computer software workflow |
US6709721B2 (en) | 2001-03-28 | 2004-03-23 | Applied Materials Inc. | Purge heater design and process development for the improvement of low k film properties |
US6472333B2 (en) | 2001-03-28 | 2002-10-29 | Applied Materials, Inc. | Silicon carbide cap layers for low dielectric constant silicon oxide layers |
US7311852B2 (en) * | 2001-03-30 | 2007-12-25 | Lam Research Corporation | Method of plasma etching low-k dielectric materials |
US6777171B2 (en) | 2001-04-20 | 2004-08-17 | Applied Materials, Inc. | Fluorine-containing layers for damascene structures |
US6624091B2 (en) | 2001-05-07 | 2003-09-23 | Applied Materials, Inc. | Methods of forming gap fill and layers formed thereby |
US7074489B2 (en) | 2001-05-23 | 2006-07-11 | Air Products And Chemicals, Inc. | Low dielectric constant material and method of processing by CVD |
US6716770B2 (en) | 2001-05-23 | 2004-04-06 | Air Products And Chemicals, Inc. | Low dielectric constant material and method of processing by CVD |
US6559048B1 (en) | 2001-05-30 | 2003-05-06 | Lsi Logic Corporation | Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning |
US6562700B1 (en) | 2001-05-31 | 2003-05-13 | Lsi Logic Corporation | Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal |
US6583026B1 (en) | 2001-05-31 | 2003-06-24 | Lsi Logic Corporation | Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure |
US6566171B1 (en) | 2001-06-12 | 2003-05-20 | Lsi Logic Corporation | Fuse construction for integrated circuit structure having low dielectric constant dielectric material |
KR100422348B1 (ko) * | 2001-06-15 | 2004-03-12 | 주식회사 하이닉스반도체 | 반도체소자의 제조방법 |
US6486082B1 (en) | 2001-06-18 | 2002-11-26 | Applied Materials, Inc. | CVD plasma assisted lower dielectric constant sicoh film |
US6610354B2 (en) * | 2001-06-18 | 2003-08-26 | Applied Materials, Inc. | Plasma display panel with a low k dielectric layer |
US7201936B2 (en) * | 2001-06-19 | 2007-04-10 | Applied Materials, Inc. | Method of feedback control of sub-atmospheric chemical vapor deposition processes |
US7160739B2 (en) * | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US7698012B2 (en) * | 2001-06-19 | 2010-04-13 | Applied Materials, Inc. | Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing |
US7101799B2 (en) * | 2001-06-19 | 2006-09-05 | Applied Materials, Inc. | Feedforward and feedback control for conditioning of chemical mechanical polishing pad |
US6930056B1 (en) * | 2001-06-19 | 2005-08-16 | Lsi Logic Corporation | Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure |
US6910947B2 (en) * | 2001-06-19 | 2005-06-28 | Applied Materials, Inc. | Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life |
US6913938B2 (en) * | 2001-06-19 | 2005-07-05 | Applied Materials, Inc. | Feedback control of plasma-enhanced chemical vapor deposition processes |
US7082345B2 (en) * | 2001-06-19 | 2006-07-25 | Applied Materials, Inc. | Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities |
KR100422916B1 (ko) * | 2001-06-26 | 2004-03-12 | 주식회사 엘지화학 | 유기실리케이트 중합체 및 이를 함유하는 저유전 절연막 |
US6559033B1 (en) | 2001-06-27 | 2003-05-06 | Lsi Logic Corporation | Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines |
US6455417B1 (en) | 2001-07-05 | 2002-09-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer |
US6498112B1 (en) * | 2001-07-13 | 2002-12-24 | Advanced Micro Devices, Inc. | Graded oxide caps on low dielectric constant (low K) chemical vapor deposition (CVD) films |
US7337019B2 (en) * | 2001-07-16 | 2008-02-26 | Applied Materials, Inc. | Integration of fault detection with run-to-run control |
US6811470B2 (en) | 2001-07-16 | 2004-11-02 | Applied Materials Inc. | Methods and compositions for chemical mechanical polishing shallow trench isolation substrates |
US20030017359A1 (en) * | 2001-07-17 | 2003-01-23 | American Air Liquide, Inc. | Increased stability low concentration gases, products comprising same, and methods of making same |
ATE500350T1 (de) * | 2001-07-17 | 2011-03-15 | Air Liquide | Verfahren zur herstellung einer passivierten oberfläche |
US7832550B2 (en) * | 2001-07-17 | 2010-11-16 | American Air Liquide, Inc. | Reactive gases with concentrations of increased stability and processes for manufacturing same |
US6458650B1 (en) | 2001-07-20 | 2002-10-01 | Taiwan Semiconductor Manufacturing Company | CU second electrode process with in situ ashing and oxidation process |
US6570256B2 (en) * | 2001-07-20 | 2003-05-27 | International Business Machines Corporation | Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates |
US7183201B2 (en) * | 2001-07-23 | 2007-02-27 | Applied Materials, Inc. | Selective etching of organosilicate films over silicon oxide stop etch layers |
US7085616B2 (en) * | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
GB0118417D0 (en) * | 2001-07-28 | 2001-09-19 | Trikon Holdings Ltd | A method of depositing a dielectric film |
US6632735B2 (en) * | 2001-08-07 | 2003-10-14 | Applied Materials, Inc. | Method of depositing low dielectric constant carbon doped silicon oxide |
US6762127B2 (en) * | 2001-08-23 | 2004-07-13 | Yves Pierre Boiteux | Etch process for dielectric materials comprising oxidized organo silane materials |
US6677239B2 (en) | 2001-08-24 | 2004-01-13 | Applied Materials Inc. | Methods and compositions for chemical mechanical polishing |
US6881664B2 (en) * | 2001-08-28 | 2005-04-19 | Lsi Logic Corporation | Process for planarizing upper surface of damascene wiring structure for integrated circuit structures |
US6521520B1 (en) | 2001-08-30 | 2003-02-18 | Lsi Logic Corporation | Semiconductor wafer arrangement and method of processing a semiconductor wafer |
US6605549B2 (en) * | 2001-09-29 | 2003-08-12 | Intel Corporation | Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics |
US6759327B2 (en) * | 2001-10-09 | 2004-07-06 | Applied Materials Inc. | Method of depositing low k barrier layers |
US6656837B2 (en) * | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
US6670717B2 (en) * | 2001-10-15 | 2003-12-30 | International Business Machines Corporation | Structure and method for charge sensitive electrical devices |
US6613665B1 (en) | 2001-10-26 | 2003-09-02 | Lsi Logic Corporation | Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface |
US6528423B1 (en) | 2001-10-26 | 2003-03-04 | Lsi Logic Corporation | Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material |
US7001823B1 (en) | 2001-11-14 | 2006-02-21 | Lsi Logic Corporation | Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance |
US6537896B1 (en) | 2001-12-04 | 2003-03-25 | Lsi Logic Corporation | Process for treating porous low k dielectric material in damascene structure to form a non-porous dielectric diffusion barrier on etched via and trench surfaces in the porous low k dielectric material |
US6562735B1 (en) | 2001-12-11 | 2003-05-13 | Lsi Logic Corporation | Control of reaction rate in formation of low k carbon-containing silicon oxide dielectric material using organosilane, unsubstituted silane, and hydrogen peroxide reactants |
US6905968B2 (en) * | 2001-12-12 | 2005-06-14 | Applied Materials, Inc. | Process for selectively etching dielectric layers |
US6699784B2 (en) | 2001-12-14 | 2004-03-02 | Applied Materials Inc. | Method for depositing a low k dielectric film (K>3.5) for hard mask application |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6838393B2 (en) * | 2001-12-14 | 2005-01-04 | Applied Materials, Inc. | Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide |
US7091137B2 (en) * | 2001-12-14 | 2006-08-15 | Applied Materials | Bi-layer approach for a hermetic low dielectric constant layer for barrier applications |
US7226853B2 (en) * | 2001-12-26 | 2007-06-05 | Applied Materials, Inc. | Method of forming a dual damascene structure utilizing a three layer hard mask structure |
US7199056B2 (en) * | 2002-02-08 | 2007-04-03 | Applied Materials, Inc. | Low cost and low dishing slurry for polysilicon CMP |
US6777349B2 (en) * | 2002-03-13 | 2004-08-17 | Novellus Systems, Inc. | Hermetic silicon carbide |
US6806203B2 (en) | 2002-03-18 | 2004-10-19 | Applied Materials Inc. | Method of forming a dual damascene structure using an amorphous silicon hard mask |
US7225047B2 (en) * | 2002-03-19 | 2007-05-29 | Applied Materials, Inc. | Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements |
US20030199112A1 (en) * | 2002-03-22 | 2003-10-23 | Applied Materials, Inc. | Copper wiring module control |
US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
US6541397B1 (en) * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6936309B2 (en) | 2002-04-02 | 2005-08-30 | Applied Materials, Inc. | Hardness improvement of silicon carboxy films |
US20030211244A1 (en) * | 2002-04-11 | 2003-11-13 | Applied Materials, Inc. | Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric |
US20030194495A1 (en) * | 2002-04-11 | 2003-10-16 | Applied Materials, Inc. | Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric |
US20030194496A1 (en) * | 2002-04-11 | 2003-10-16 | Applied Materials, Inc. | Methods for depositing dielectric material |
US6815373B2 (en) * | 2002-04-16 | 2004-11-09 | Applied Materials Inc. | Use of cyclic siloxanes for hardness improvement of low k dielectric films |
US6812043B2 (en) * | 2002-04-25 | 2004-11-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a carbon doped oxide low-k insulating layer |
US6949389B2 (en) * | 2002-05-02 | 2005-09-27 | Osram Opto Semiconductors Gmbh | Encapsulation for organic light emitting diodes devices |
US7008484B2 (en) * | 2002-05-06 | 2006-03-07 | Applied Materials Inc. | Method and apparatus for deposition of low dielectric constant materials |
US20030206337A1 (en) * | 2002-05-06 | 2003-11-06 | Eastman Kodak Company | Exposure apparatus for irradiating a sensitized substrate |
US6936551B2 (en) * | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US7060330B2 (en) * | 2002-05-08 | 2006-06-13 | Applied Materials, Inc. | Method for forming ultra low k films using electron beam |
US7056560B2 (en) * | 2002-05-08 | 2006-06-06 | Applies Materials Inc. | Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) |
US6602779B1 (en) | 2002-05-13 | 2003-08-05 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer |
US20040033371A1 (en) * | 2002-05-16 | 2004-02-19 | Hacker Nigel P. | Deposition of organosilsesquioxane films |
JP4504184B2 (ja) | 2002-05-29 | 2010-07-14 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 酸性ガスおよびマトリックスガスを含む水分の減少した組成物、この組成物を含む製品およびそれを製造するための方法 |
TW200416131A (en) * | 2002-06-03 | 2004-09-01 | Honeywell Int Inc | Layered components, materials, methods of production and uses thereof |
US7105460B2 (en) * | 2002-07-11 | 2006-09-12 | Applied Materials | Nitrogen-free dielectric anti-reflective coating and hardmask |
US6927178B2 (en) * | 2002-07-11 | 2005-08-09 | Applied Materials, Inc. | Nitrogen-free dielectric anti-reflective coating and hardmask |
DE10392996T5 (de) * | 2002-08-08 | 2005-07-21 | Trikon Technologies Limited, Newport | Verbesserungen für Duschköpfe |
US20040033703A1 (en) * | 2002-08-19 | 2004-02-19 | Shyh-Dar Lee | Method for forming amino-free low k material |
US6903023B2 (en) * | 2002-09-16 | 2005-06-07 | International Business Machines Corporation | In-situ plasma etch for TERA hard mask materials |
US20040063224A1 (en) * | 2002-09-18 | 2004-04-01 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing process for multi-layered films |
US6806185B2 (en) | 2002-09-19 | 2004-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming low dielectric constant damascene structure while employing a carbon doped silicon oxide capping layer |
US7001833B2 (en) * | 2002-09-27 | 2006-02-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming openings in low-k dielectric layers |
US6756321B2 (en) * | 2002-10-05 | 2004-06-29 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant |
US7749563B2 (en) * | 2002-10-07 | 2010-07-06 | Applied Materials, Inc. | Two-layer film for next generation damascene barrier application with good oxidation resistance |
US6797643B2 (en) * | 2002-10-23 | 2004-09-28 | Applied Materials Inc. | Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power |
US7063597B2 (en) | 2002-10-25 | 2006-06-20 | Applied Materials | Polishing processes for shallow trench isolation substrates |
US7404990B2 (en) | 2002-11-14 | 2008-07-29 | Air Products And Chemicals, Inc. | Non-thermal process for forming porous low dielectric constant films |
WO2004046835A2 (en) * | 2002-11-15 | 2004-06-03 | Applied Materials, Inc. | Method, system and medium for controlling manufacture process having multivariate input parameters |
US6932092B2 (en) * | 2002-11-22 | 2005-08-23 | Applied Materials, Inc. | Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy |
US6720255B1 (en) * | 2002-12-12 | 2004-04-13 | Texas Instruments Incorporated | Semiconductor device with silicon-carbon-oxygen dielectric having improved metal barrier adhesion and method of forming the device |
US6855645B2 (en) * | 2002-12-30 | 2005-02-15 | Novellus Systems, Inc. | Silicon carbide having low dielectric constant |
US7270713B2 (en) * | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
US6790788B2 (en) * | 2003-01-13 | 2004-09-14 | Applied Materials Inc. | Method of improving stability in low k barrier layers |
US7333871B2 (en) * | 2003-01-21 | 2008-02-19 | Applied Materials, Inc. | Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools |
JP2004253791A (ja) * | 2003-01-29 | 2004-09-09 | Nec Electronics Corp | 絶縁膜およびそれを用いた半導体装置 |
US6897163B2 (en) * | 2003-01-31 | 2005-05-24 | Applied Materials, Inc. | Method for depositing a low dielectric constant film |
US7011890B2 (en) * | 2003-03-03 | 2006-03-14 | Applied Materials Inc. | Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices |
TWI240959B (en) | 2003-03-04 | 2005-10-01 | Air Prod & Chem | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
US6774053B1 (en) | 2003-03-07 | 2004-08-10 | Freescale Semiconductor, Inc. | Method and structure for low-k dielectric constant applications |
US6913992B2 (en) | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
US6740602B1 (en) | 2003-03-17 | 2004-05-25 | Asm Japan K.K. | Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power |
US7208389B1 (en) * | 2003-03-31 | 2007-04-24 | Novellus Systems, Inc. | Method of porogen removal from porous low-k films using UV radiation |
US6942753B2 (en) | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US8137764B2 (en) | 2003-05-29 | 2012-03-20 | Air Products And Chemicals, Inc. | Mechanical enhancer additives for low dielectric films |
US7205228B2 (en) * | 2003-06-03 | 2007-04-17 | Applied Materials, Inc. | Selective metal encapsulation schemes |
US20050238889A1 (en) * | 2003-07-10 | 2005-10-27 | Nancy Iwamoto | Layered components, materials, methods of production and uses thereof |
US20050014299A1 (en) * | 2003-07-15 | 2005-01-20 | Applied Materials, Inc. | Control of metal resistance in semiconductor products via integrated metrology |
US7122481B2 (en) * | 2003-07-25 | 2006-10-17 | Intel Corporation | Sealing porous dielectrics with silane coupling reagents |
US7354332B2 (en) * | 2003-08-04 | 2008-04-08 | Applied Materials, Inc. | Technique for process-qualifying a semiconductor manufacturing tool using metrology data |
US7067437B2 (en) * | 2003-09-12 | 2006-06-27 | International Business Machines Corporation | Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same |
US7256499B1 (en) | 2003-10-02 | 2007-08-14 | Advanced Micro Devices, Inc. | Ultra low dielectric constant integrated circuit system |
US7067441B2 (en) * | 2003-11-06 | 2006-06-27 | Texas Instruments Incorporated | Damage-free resist removal process for ultra-low-k processing |
KR100511890B1 (ko) * | 2003-11-10 | 2005-09-05 | 매그나칩 반도체 유한회사 | 반도체소자 제조방법 |
US6909934B1 (en) * | 2004-01-05 | 2005-06-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Efficient method of dynamic formulation of chamber selections for multiple chamber tools |
US20050150452A1 (en) * | 2004-01-14 | 2005-07-14 | Soovo Sen | Process kit design for deposition chamber |
US7356377B2 (en) * | 2004-01-29 | 2008-04-08 | Applied Materials, Inc. | System, method, and medium for monitoring performance of an advanced process control system |
JP4917249B2 (ja) * | 2004-02-03 | 2012-04-18 | ルネサスエレクトロニクス株式会社 | 半導体装置及び半導体装置の製造方法 |
US7732326B2 (en) * | 2004-02-25 | 2010-06-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
JP4879159B2 (ja) * | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US7638440B2 (en) * | 2004-03-12 | 2009-12-29 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for etch hardmask application |
US7030041B2 (en) * | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US7524735B1 (en) | 2004-03-25 | 2009-04-28 | Novellus Systems, Inc | Flowable film dielectric gap fill process |
US7582555B1 (en) * | 2005-12-29 | 2009-09-01 | Novellus Systems, Inc. | CVD flowable gap fill |
US9257302B1 (en) | 2004-03-25 | 2016-02-09 | Novellus Systems, Inc. | CVD flowable gap fill |
US20050214457A1 (en) * | 2004-03-29 | 2005-09-29 | Applied Materials, Inc. | Deposition of low dielectric constant films by N2O addition |
US7229911B2 (en) * | 2004-04-19 | 2007-06-12 | Applied Materials, Inc. | Adhesion improvement for low k dielectrics to conductive materials |
US20050233555A1 (en) * | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US20050250346A1 (en) * | 2004-05-06 | 2005-11-10 | Applied Materials, Inc. | Process and apparatus for post deposition treatment of low k dielectric materials |
US20050252547A1 (en) * | 2004-05-11 | 2005-11-17 | Applied Materials, Inc. | Methods and apparatus for liquid chemical delivery |
JP4279195B2 (ja) * | 2004-05-18 | 2009-06-17 | ソニー株式会社 | 半導体装置 |
US20050277302A1 (en) * | 2004-05-28 | 2005-12-15 | Nguyen Son V | Advanced low dielectric constant barrier layers |
US7096085B2 (en) * | 2004-05-28 | 2006-08-22 | Applied Materials | Process control by distinguishing a white noise component of a process variance |
US6961626B1 (en) * | 2004-05-28 | 2005-11-01 | Applied Materials, Inc | Dynamic offset and feedback threshold |
US7229041B2 (en) * | 2004-06-30 | 2007-06-12 | Ohio Central Steel Company | Lifting lid crusher |
US7288205B2 (en) * | 2004-07-09 | 2007-10-30 | Applied Materials, Inc. | Hermetic low dielectric constant layer for barrier applications |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US7210988B2 (en) * | 2004-08-24 | 2007-05-01 | Applied Materials, Inc. | Method and apparatus for reduced wear polishing pad conditioning |
US7312146B2 (en) * | 2004-09-21 | 2007-12-25 | Applied Materials, Inc. | Semiconductor device interconnect fabricating techniques |
US20060088976A1 (en) * | 2004-10-22 | 2006-04-27 | Applied Materials, Inc. | Methods and compositions for chemical mechanical polishing substrates |
US7736599B2 (en) | 2004-11-12 | 2010-06-15 | Applied Materials, Inc. | Reactor design to reduce particle deposition during process abatement |
US20060115980A1 (en) * | 2004-11-30 | 2006-06-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for decreasing a dielectric constant of a low-k film |
US7202176B1 (en) * | 2004-12-13 | 2007-04-10 | Novellus Systems, Inc. | Enhanced stripping of low-k films using downstream gas mixing |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US20060163731A1 (en) * | 2005-01-21 | 2006-07-27 | Keishi Inoue | Dual damascene interconnections employing a copper alloy at the copper/barrier interface |
US20060166491A1 (en) * | 2005-01-21 | 2006-07-27 | Kensaku Ida | Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process |
US7262127B2 (en) * | 2005-01-21 | 2007-08-28 | Sony Corporation | Method for Cu metallization of highly reliable dual damascene structures |
US7166531B1 (en) | 2005-01-31 | 2007-01-23 | Novellus Systems, Inc. | VLSI fabrication processes for introducing pores into dielectric materials |
US7867779B2 (en) | 2005-02-03 | 2011-01-11 | Air Products And Chemicals, Inc. | System and method comprising same for measurement and/or analysis of particles in gas stream |
US20060183055A1 (en) * | 2005-02-15 | 2006-08-17 | O'neill Mark L | Method for defining a feature on a substrate |
US7446047B2 (en) * | 2005-02-18 | 2008-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal structure with sidewall passivation and method |
US20060251827A1 (en) * | 2005-05-09 | 2006-11-09 | Applied Materials, Inc. | Tandem uv chamber for curing dielectric materials |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
KR100675895B1 (ko) * | 2005-06-29 | 2007-02-02 | 주식회사 하이닉스반도체 | 반도체소자의 금속배선구조 및 그 제조방법 |
JP4197694B2 (ja) * | 2005-08-10 | 2008-12-17 | 株式会社東芝 | 半導体装置およびその製造方法 |
US7867845B2 (en) * | 2005-09-01 | 2011-01-11 | Micron Technology, Inc. | Transistor gate forming methods and transistor structures |
US20070082477A1 (en) * | 2005-10-06 | 2007-04-12 | Applied Materials, Inc. | Integrated circuit fabricating techniques employing sacrificial liners |
US20070080455A1 (en) * | 2005-10-11 | 2007-04-12 | International Business Machines Corporation | Semiconductors and methods of making |
US20070080461A1 (en) * | 2005-10-11 | 2007-04-12 | Taiwan Semiconductor Manufacturing Comapny, Ltd. | Ultra low-k dielectric in damascene structures |
KR101036734B1 (ko) | 2005-10-31 | 2011-05-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 공정 저감 반응로 |
WO2007094869A2 (en) * | 2005-10-31 | 2007-08-23 | Applied Materials, Inc. | Electrochemical method for ecmp polishing pad conditioning |
US20070134435A1 (en) * | 2005-12-13 | 2007-06-14 | Ahn Sang H | Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films |
US7829159B2 (en) * | 2005-12-16 | 2010-11-09 | Asm Japan K.K. | Method of forming organosilicon oxide film and multilayer resist structure |
US20070158207A1 (en) * | 2006-01-06 | 2007-07-12 | Applied Materials, Inc. | Methods for electrochemical processing with pre-biased cells |
US7863183B2 (en) * | 2006-01-18 | 2011-01-04 | International Business Machines Corporation | Method for fabricating last level copper-to-C4 connection with interfacial cap structure |
US20070173070A1 (en) * | 2006-01-26 | 2007-07-26 | Mei-Ling Chen | Porous low-k dielectric film and fabrication method thereof |
US20070202640A1 (en) * | 2006-02-28 | 2007-08-30 | Applied Materials, Inc. | Low-k spacer integration into CMOS transistors |
US20070227902A1 (en) * | 2006-03-29 | 2007-10-04 | Applied Materials, Inc. | Removal profile tuning by adjusting conditioning sweep profile on a conductive pad |
US7300868B2 (en) | 2006-03-30 | 2007-11-27 | Sony Corporation | Damascene interconnection having porous low k layer with a hard mask reduced in thickness |
US20070232062A1 (en) * | 2006-03-31 | 2007-10-04 | Takeshi Nogami | Damascene interconnection having porous low k layer followed by a nonporous low k layer |
US8399349B2 (en) | 2006-04-18 | 2013-03-19 | Air Products And Chemicals, Inc. | Materials and methods of forming controlled void |
US7851384B2 (en) * | 2006-06-01 | 2010-12-14 | Applied Materials, Inc. | Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film |
US20070286954A1 (en) * | 2006-06-13 | 2007-12-13 | Applied Materials, Inc. | Methods for low temperature deposition of an amorphous carbon layer |
US20070287849A1 (en) * | 2006-06-13 | 2007-12-13 | Air Products And Chemicals, Inc. | Low-Impurity Organosilicon Product As Precursor For CVD |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US20070299239A1 (en) * | 2006-06-27 | 2007-12-27 | Air Products And Chemicals, Inc. | Curing Dielectric Films Under A Reducing Atmosphere |
US7297376B1 (en) | 2006-07-07 | 2007-11-20 | Applied Materials, Inc. | Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers |
WO2008020592A1 (fr) * | 2006-08-15 | 2008-02-21 | Jsr Corporation | Matériau filmogène, film isolant contenant du silicium et procédé de formation de celui-ci |
EP2074660A1 (en) * | 2006-09-04 | 2009-07-01 | Nxp B.V. | Control of carbon nanostructure growth in an interconnect structure |
US7459388B2 (en) * | 2006-09-06 | 2008-12-02 | Samsung Electronics Co., Ltd. | Methods of forming dual-damascene interconnect structures using adhesion layers having high internal compressive stresses |
US7598183B2 (en) * | 2006-09-20 | 2009-10-06 | Applied Materials, Inc. | Bi-layer capping of low-K dielectric films |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
US9245739B2 (en) | 2006-11-01 | 2016-01-26 | Lam Research Corporation | Low-K oxide deposition by hydrolysis and condensation |
TWI323266B (en) * | 2006-11-14 | 2010-04-11 | Nat Univ Tsing Hua | Method for synthesizing conducting polymer by plasma polymerization |
US7718548B2 (en) | 2006-12-06 | 2010-05-18 | Applied Materials, Inc. | Selective copper-silicon-nitride layer formation for an improved dielectric film/copper line interface |
US20080182403A1 (en) * | 2007-01-26 | 2008-07-31 | Atif Noori | Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild |
US7670924B2 (en) * | 2007-01-29 | 2010-03-02 | Applied Materials, Inc. | Air gap integration scheme |
US20080188679A1 (en) * | 2007-02-05 | 2008-08-07 | Air Products And Chemicals, Inc. | Method Of Purifying Organosilicon Compositions Used As Precursors In Chemical Vapor Desposition |
JP5170445B2 (ja) * | 2007-02-14 | 2013-03-27 | Jsr株式会社 | ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法 |
US7500397B2 (en) | 2007-02-15 | 2009-03-10 | Air Products And Chemicals, Inc. | Activated chemical process for enhancing material properties of dielectric films |
US8435895B2 (en) * | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
WO2008157536A2 (en) * | 2007-06-21 | 2008-12-24 | Z-Medica Corporation | Hemostatic sponge and method of making the same |
WO2009008424A1 (ja) * | 2007-07-10 | 2009-01-15 | Jsr Corporation | ケイ素化合物の製造方法 |
US8618663B2 (en) * | 2007-09-20 | 2013-12-31 | International Business Machines Corporation | Patternable dielectric film structure with improved lithography and method of fabricating same |
US7709370B2 (en) | 2007-09-20 | 2010-05-04 | International Business Machines Corporation | Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures |
US8084862B2 (en) * | 2007-09-20 | 2011-12-27 | International Business Machines Corporation | Interconnect structures with patternable low-k dielectrics and method of fabricating same |
JP2009088267A (ja) * | 2007-09-28 | 2009-04-23 | Tokyo Electron Ltd | 成膜方法、成膜装置、記憶媒体及び半導体装置 |
US8084356B2 (en) * | 2007-09-29 | 2011-12-27 | Lam Research Corporation | Methods of low-K dielectric and metal process integration |
US20090093128A1 (en) * | 2007-10-08 | 2009-04-09 | Martin Jay Seamons | Methods for high temperature deposition of an amorphous carbon layer |
US7879683B2 (en) * | 2007-10-09 | 2011-02-01 | Applied Materials, Inc. | Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay |
US20090096106A1 (en) * | 2007-10-12 | 2009-04-16 | Air Products And Chemicals, Inc. | Antireflective coatings |
US8987039B2 (en) | 2007-10-12 | 2015-03-24 | Air Products And Chemicals, Inc. | Antireflective coatings for photovoltaic applications |
US7867923B2 (en) * | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US8764993B2 (en) * | 2008-04-03 | 2014-07-01 | General Electric Company | SiOC membranes and methods of making the same |
US20090269923A1 (en) * | 2008-04-25 | 2009-10-29 | Lee Sang M | Adhesion and electromigration improvement between dielectric and conductive layers |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US7951695B2 (en) * | 2008-05-22 | 2011-05-31 | Freescale Semiconductor, Inc. | Method for reducing plasma discharge damage during processing |
JP2010003894A (ja) * | 2008-06-20 | 2010-01-07 | Nec Electronics Corp | 半導体装置の製造方法及び半導体装置 |
US8283260B2 (en) * | 2008-08-18 | 2012-10-09 | Air Products And Chemicals, Inc. | Process for restoring dielectric properties |
JP2010067810A (ja) * | 2008-09-11 | 2010-03-25 | Shin-Etsu Chemical Co Ltd | Si含有膜の成膜方法、絶縁膜、並びに半導体デバイス |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
JP5105105B2 (ja) * | 2008-12-02 | 2012-12-19 | 信越化学工業株式会社 | プラズマCVD法によるSi含有膜形成用有機シラン化合物及びSi含有膜の成膜方法 |
US20100151206A1 (en) | 2008-12-11 | 2010-06-17 | Air Products And Chemicals, Inc. | Method for Removal of Carbon From An Organosilicate Material |
KR101039142B1 (ko) * | 2008-12-23 | 2011-06-03 | 주식회사 하이닉스반도체 | 리세스 채널을 갖는 반도체 소자의 제조방법 |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
US8836127B2 (en) * | 2009-11-19 | 2014-09-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect with flexible dielectric layer |
US20110143548A1 (en) * | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
CN102652351B (zh) * | 2009-12-11 | 2016-10-05 | 诺发系统有限公司 | 在高剂量植入剥除前保护硅的增强式钝化工艺 |
KR20120111738A (ko) * | 2009-12-30 | 2012-10-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장 |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
JP2013517616A (ja) * | 2010-01-06 | 2013-05-16 | アプライド マテリアルズ インコーポレイテッド | 酸化物ライナを使用する流動可能な誘電体 |
CN102714156A (zh) | 2010-01-07 | 2012-10-03 | 应用材料公司 | 自由基成分cvd的原位臭氧固化 |
CN102844848A (zh) | 2010-03-05 | 2012-12-26 | 应用材料公司 | 通过自由基成分化学气相沉积的共形层 |
US8236708B2 (en) * | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP2011249678A (ja) * | 2010-05-28 | 2011-12-08 | Elpida Memory Inc | 半導体装置及びその製造方法 |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US9653327B2 (en) | 2011-05-12 | 2017-05-16 | Applied Materials, Inc. | Methods of removing a material layer from a substrate using water vapor treatment |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8883638B2 (en) * | 2012-01-18 | 2014-11-11 | United Microelectronics Corp. | Method for manufacturing damascene structure involving dummy via holes |
US8846536B2 (en) | 2012-03-05 | 2014-09-30 | Novellus Systems, Inc. | Flowable oxide film with tunable wet etch rate |
US8853831B2 (en) * | 2012-03-29 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method for forming the same |
US9337018B2 (en) * | 2012-06-01 | 2016-05-10 | Air Products And Chemicals, Inc. | Methods for depositing films with organoaminodisilane precursors |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9243324B2 (en) * | 2012-07-30 | 2016-01-26 | Air Products And Chemicals, Inc. | Methods of forming non-oxygen containing silicon-based films |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
CN103871961B (zh) | 2012-12-17 | 2017-08-25 | 中芯国际集成电路制造(上海)有限公司 | 互连结构及其制造方法 |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9064970B2 (en) | 2013-03-15 | 2015-06-23 | Micron Technology, Inc. | Memory including blocking dielectric in etch stop tier |
US9276011B2 (en) | 2013-03-15 | 2016-03-01 | Micron Technology, Inc. | Cell pillar structures and integrated flows |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9847222B2 (en) | 2013-10-25 | 2017-12-19 | Lam Research Corporation | Treatment for flowable dielectric deposition on substrate surfaces |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9437604B2 (en) | 2013-11-01 | 2016-09-06 | Micron Technology, Inc. | Methods and apparatuses having strings of memory cells including a metal source |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US10049921B2 (en) | 2014-08-20 | 2018-08-14 | Lam Research Corporation | Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9437484B2 (en) | 2014-10-17 | 2016-09-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch stop layer in integrated circuits |
US9879340B2 (en) | 2014-11-03 | 2018-01-30 | Versum Materials Us, Llc | Silicon-based films and methods of forming the same |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9455136B2 (en) * | 2015-01-23 | 2016-09-27 | Infineon Technologies Austria Ag | Controlling the reflow behaviour of BPSG films and devices made thereof |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9608000B2 (en) * | 2015-05-27 | 2017-03-28 | Micron Technology, Inc. | Devices and methods including an etch stop protection material |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
WO2017034958A1 (en) * | 2015-08-21 | 2017-03-02 | Corning Incorporated | Glass substrate assemblies having low dielectric properties |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9916977B2 (en) | 2015-11-16 | 2018-03-13 | Lam Research Corporation | Low k dielectric deposition via UV driven photopolymerization |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11679412B2 (en) | 2016-06-13 | 2023-06-20 | Gvd Corporation | Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles |
US20170358445A1 (en) | 2016-06-13 | 2017-12-14 | Gvd Corporation | Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210143943A (ko) | 2019-04-19 | 2021-11-29 | 램 리써치 코포레이션 | 원자층 증착 동안 급속 플러시 퍼징 |
US11164776B2 (en) * | 2019-09-30 | 2021-11-02 | International Business Machines Corporation | Metallic interconnect structure |
CN113012724A (zh) * | 2021-02-09 | 2021-06-22 | 山东英信计算机技术有限公司 | 一种硬盘拷贝机的治具及硬盘拷贝机 |
CN114391325B (zh) * | 2021-12-16 | 2023-12-12 | 安徽科技学院 | 一种秸秆炭化还田土壤改良方法 |
Family Cites Families (224)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4168330A (en) | 1977-10-13 | 1979-09-18 | Rca Corporation | Method of depositing a silicon oxide layer |
CA1134214A (en) | 1978-03-08 | 1982-10-26 | Roy G. Gordon | Deposition method |
DE3236541A1 (de) | 1982-10-02 | 1984-04-05 | Henkel KGaA, 4000 Düsseldorf | Tampon fuer die frauenhygiene und verfahren zu dessen herstellung |
JPS5998726A (ja) * | 1982-11-26 | 1984-06-07 | Seiko Epson Corp | 酸化膜形成法 |
US4599243A (en) * | 1982-12-23 | 1986-07-08 | International Business Machines Corporation | Use of plasma polymerized organosilicon films in fabrication of lift-off masks |
US4557946A (en) * | 1983-06-03 | 1985-12-10 | Edward Sacher | Moisture impermeability or organosilicone films |
JPS60111480A (ja) * | 1983-11-22 | 1985-06-17 | Toshiba Corp | 薄膜発光素子 |
DE3574997D1 (de) | 1984-03-03 | 1990-02-01 | Stc Plc | Pulsierendes plasmaverfahren. |
US4717585A (en) * | 1985-02-09 | 1988-01-05 | Canon Kabushiki Kaisha | Process for forming deposited film |
US4845054A (en) * | 1985-06-14 | 1989-07-04 | Focus Semiconductor Systems, Inc. | Low temperature chemical vapor deposition of silicon dioxide films |
US5336489A (en) * | 1985-09-05 | 1994-08-09 | The Beth Israel Hospital Association | Treatment of allograft rejection with IL-2 receptor-specific cytotoxins |
US4812325A (en) * | 1985-10-23 | 1989-03-14 | Canon Kabushiki Kaisha | Method for forming a deposited film |
US4789648A (en) * | 1985-10-28 | 1988-12-06 | International Business Machines Corporation | Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias |
FR2591587A1 (fr) | 1985-12-17 | 1987-06-19 | Saint Gobain Vitrage | Film organo-mineral depose sur un substrat en verre eventuellement revetu d'une ou plusieurs couches metalliques minces. |
US4690746A (en) * | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
GB8630918D0 (en) * | 1986-12-24 | 1987-02-04 | Pilkington Brothers Plc | Coatings on glass |
US5087959A (en) * | 1987-03-02 | 1992-02-11 | Microwave Technology, Inc. | Protective coating useful as a passivation layer for semiconductor devices |
DE3856483T2 (de) | 1987-03-18 | 2002-04-18 | Kabushiki Kaisha Toshiba, Kawasaki | Verfahren zur Herstellung von Dünnschichten |
US5028566A (en) * | 1987-04-10 | 1991-07-02 | Air Products And Chemicals, Inc. | Method of forming silicon dioxide glass films |
FR2614317B1 (fr) | 1987-04-22 | 1989-07-13 | Air Liquide | Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre. |
JPH077759B2 (ja) * | 1987-08-20 | 1995-01-30 | 株式会社半導体エネルギ−研究所 | 絶縁膜形成方法 |
US4798629A (en) * | 1987-10-22 | 1989-01-17 | Motorola Inc. | Spin-on glass for use in semiconductor processing |
US4900591A (en) * | 1988-01-20 | 1990-02-13 | The United States Of America As Represented By The Secretary Of The Air Force | Method for the deposition of high quality silicon dioxide at low temperature |
US4842888A (en) | 1988-04-07 | 1989-06-27 | Dow Corning Corporation | Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors |
US4894352A (en) * | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
US4981724A (en) * | 1988-10-27 | 1991-01-01 | Hochberg Arthur K | Deposition of silicon oxide films using alkylsilane liquid sources |
US4973511A (en) * | 1988-12-01 | 1990-11-27 | Monsanto Company | Composite solar/safety film and laminated window assembly made therefrom |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
FR2651782B1 (fr) * | 1989-09-14 | 1993-03-19 | Air Liquide | Procede pour la realisation d'un depot d'un revetement protecteur inorganique et amorphe sur un substrat polymerique organique. |
KR910010516A (ko) | 1989-11-15 | 1991-06-29 | 아오이 죠이치 | 반도체 메모리장치 |
JPH0740569B2 (ja) * | 1990-02-27 | 1995-05-01 | エイ・ティ・アンド・ティ・コーポレーション | Ecrプラズマ堆積方法 |
US5120680A (en) * | 1990-07-19 | 1992-06-09 | At&T Bell Laboratories | Method for depositing dielectric layers |
CA2048168A1 (en) * | 1990-08-03 | 1992-02-04 | John T. Felts | Silicon oxide based thin film vapour barriers |
NL9001770A (nl) * | 1990-08-06 | 1992-03-02 | Philips Nv | Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd). |
JPH053258A (ja) * | 1990-09-25 | 1993-01-08 | Kawasaki Steel Corp | 層間絶縁膜の形成方法 |
US5040046A (en) * | 1990-10-09 | 1991-08-13 | Micron Technology, Inc. | Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby |
US5356515A (en) | 1990-10-19 | 1994-10-18 | Tokyo Electron Limited | Dry etching method |
US5284730A (en) | 1990-10-24 | 1994-02-08 | Canon Kabushiki Kaisha | Electrophotographic light-receiving member |
US5262279A (en) | 1990-12-21 | 1993-11-16 | Intel Corporation | Dry process for stripping photoresist from a polyimide surface |
EP0519079B1 (en) * | 1991-01-08 | 1999-03-03 | Fujitsu Limited | Process for forming silicon oxide film |
US5352493A (en) | 1991-05-03 | 1994-10-04 | Veniamin Dorfman | Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films |
US5525550A (en) | 1991-05-21 | 1996-06-11 | Fujitsu Limited | Process for forming thin films by plasma CVD for use in the production of semiconductor devices |
US6238588B1 (en) | 1991-06-27 | 2001-05-29 | Applied Materials, Inc. | High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process |
DE4122605A1 (de) | 1991-07-08 | 1993-01-14 | Zur Steege Geb Hinze Ellen | Absperrarmatur |
US5246887A (en) * | 1991-07-10 | 1993-09-21 | At&T Bell Laboratories | Dielectric deposition |
US5204141A (en) * | 1991-09-18 | 1993-04-20 | Air Products And Chemicals, Inc. | Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources |
US5224441A (en) * | 1991-09-27 | 1993-07-06 | The Boc Group, Inc. | Apparatus for rapid plasma treatments and method |
JPH05102329A (ja) * | 1991-10-04 | 1993-04-23 | Fuji Xerox Co Ltd | 半導体装置の製造方法 |
US5208069A (en) | 1991-10-28 | 1993-05-04 | Istituto Guido Donegani S.P.A. | Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus prepared thereby, and method of utilizing apparatus prepared thereby |
US5182000A (en) | 1991-11-12 | 1993-01-26 | E. I. Du Pont De Nemours And Company | Method of coating metal using low temperature plasma and electrodeposition |
TW223649B (ja) * | 1992-01-29 | 1994-05-11 | Ciba Geigy Ag | |
JPH05267480A (ja) * | 1992-03-21 | 1993-10-15 | Ricoh Co Ltd | 半導体装置とその製造方法 |
JP2934353B2 (ja) * | 1992-06-24 | 1999-08-16 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
US5739579A (en) * | 1992-06-29 | 1998-04-14 | Intel Corporation | Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections |
EP0731982B1 (en) * | 1992-07-04 | 1999-12-01 | Trikon Equipments Limited | A method of treating a semiconductor wafer |
JP2665299B2 (ja) * | 1992-07-06 | 1997-10-22 | 三菱電機株式会社 | エレベーターの乗場表示装置 |
JPH0795548B2 (ja) | 1992-09-10 | 1995-10-11 | アプライド マテリアルズ インコーポレイテッド | 二酸化珪素膜の気相成長法 |
US5825078A (en) * | 1992-09-23 | 1998-10-20 | Dow Corning Corporation | Hermetic protection for integrated circuits |
US5610105A (en) * | 1992-10-23 | 1997-03-11 | Vlsi Technology, Inc. | Densification in an intermetal dielectric film |
JP2884968B2 (ja) * | 1992-11-17 | 1999-04-19 | 東亞合成株式会社 | シリコン酸化膜の製造方法 |
US5753564A (en) * | 1992-11-24 | 1998-05-19 | Sumitomo Metal Industries, Ltd. | Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma |
JP2684942B2 (ja) * | 1992-11-30 | 1997-12-03 | 日本電気株式会社 | 化学気相成長法と化学気相成長装置および多層配線の製造方法 |
US5298587A (en) | 1992-12-21 | 1994-03-29 | The Dow Chemical Company | Protective film for articles and method |
JPH06326099A (ja) * | 1993-05-13 | 1994-11-25 | Sony Corp | 半導体装置の配線形成方法 |
US5465680A (en) * | 1993-07-01 | 1995-11-14 | Dow Corning Corporation | Method of forming crystalline silicon carbide coatings |
US5433786A (en) * | 1993-08-27 | 1995-07-18 | The Dow Chemical Company | Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein |
US5364666A (en) * | 1993-09-23 | 1994-11-15 | Becton, Dickinson And Company | Process for barrier coating of plastic objects |
JP2899600B2 (ja) * | 1994-01-25 | 1999-06-02 | キヤノン販売 株式会社 | 成膜方法 |
DE4404690A1 (de) | 1994-02-15 | 1995-08-17 | Leybold Ag | Verfahren zur Erzeugung von Sperrschichten für Gase und Dämpfe auf Kunststoff-Substraten |
US5486493A (en) | 1994-02-25 | 1996-01-23 | Jeng; Shin-Puu | Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators |
JP2751820B2 (ja) * | 1994-02-28 | 1998-05-18 | 日本電気株式会社 | 半導体装置の製造方法 |
US5618619A (en) * | 1994-03-03 | 1997-04-08 | Monsanto Company | Highly abrasion-resistant, flexible coatings for soft substrates |
US5508368A (en) * | 1994-03-03 | 1996-04-16 | Diamonex, Incorporated | Ion beam process for deposition of highly abrasion-resistant coatings |
US5888593A (en) * | 1994-03-03 | 1999-03-30 | Monsanto Company | Ion beam process for deposition of highly wear-resistant optical coatings |
US5509553A (en) | 1994-04-22 | 1996-04-23 | Litel Instruments | Direct etch processes for the manufacture of high density multichip modules |
US5858880A (en) * | 1994-05-14 | 1999-01-12 | Trikon Equipment Limited | Method of treating a semi-conductor wafer |
US5488015A (en) | 1994-05-20 | 1996-01-30 | Texas Instruments Incorporated | Method of making an interconnect structure with an integrated low density dielectric |
JPH0855913A (ja) * | 1994-06-07 | 1996-02-27 | Texas Instr Inc <Ti> | サブミクロン相互接続の選択的空隙充填方法 |
US5559367A (en) | 1994-07-12 | 1996-09-24 | International Business Machines Corporation | Diamond-like carbon for use in VLSI and ULSI interconnect systems |
AU700073B2 (en) * | 1994-08-12 | 1998-12-17 | Minnesota Mining And Manufacturing Company | Poly(beta -hydroxyorganoate) pressure sensitive adhesive compositions |
US5482894A (en) * | 1994-08-23 | 1996-01-09 | Texas Instruments Incorporated | Method of fabricating a self-aligned contact using organic dielectric materials |
CA2157257C (en) * | 1994-09-12 | 1999-08-10 | Kazuhiko Endo | Semiconductor device with amorphous carbon layer and method of fabricating the same |
US5563105A (en) * | 1994-09-30 | 1996-10-08 | International Business Machines Corporation | PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element |
JP3495116B2 (ja) * | 1994-10-31 | 2004-02-09 | 東レ・ダウコーニング・シリコーン株式会社 | 撥水性薄膜およびその製造方法 |
US5492736A (en) | 1994-11-28 | 1996-02-20 | Air Products And Chemicals, Inc. | Fluorine doped silicon oxide process |
US5607773A (en) * | 1994-12-20 | 1997-03-04 | Texas Instruments Incorporated | Method of forming a multilevel dielectric |
US5550405A (en) | 1994-12-21 | 1996-08-27 | Advanced Micro Devices, Incorporated | Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS |
US5559055A (en) | 1994-12-21 | 1996-09-24 | Advanced Micro Devices, Inc. | Method of decreased interlayer dielectric constant in a multilayer interconnect structure to increase device speed performance |
JPH08181276A (ja) * | 1994-12-26 | 1996-07-12 | Toshiba Corp | 半導体装置の製造方法 |
JPH08181210A (ja) * | 1994-12-26 | 1996-07-12 | Toshiba Corp | 半導体装置の製造方法 |
TW285753B (ja) * | 1995-01-04 | 1996-09-11 | Air Prod & Chem | |
US5818071A (en) * | 1995-02-02 | 1998-10-06 | Dow Corning Corporation | Silicon carbide metal diffusion barrier layer |
JP3176017B2 (ja) * | 1995-02-15 | 2001-06-11 | 株式会社東芝 | 半導体装置の製造方法 |
US6037274A (en) | 1995-02-17 | 2000-03-14 | Fujitsu Limited | Method for forming insulating film |
US5534462A (en) * | 1995-02-24 | 1996-07-09 | Motorola, Inc. | Method for forming a plug and semiconductor device having the same |
JPH08236518A (ja) * | 1995-02-28 | 1996-09-13 | Hitachi Ltd | シリコン酸化膜の形成方法 |
JPH08288286A (ja) * | 1995-04-19 | 1996-11-01 | Sharp Corp | シリコン酸化膜の成膜方法 |
US5637351A (en) * | 1995-05-11 | 1997-06-10 | Air Products And Chemicals, Inc. | Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promoter |
FR2734402B1 (fr) * | 1995-05-15 | 1997-07-18 | Brouquet Pierre | Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant |
KR960042974A (ja) * | 1995-05-23 | 1996-12-21 | ||
US5530581A (en) | 1995-05-31 | 1996-06-25 | Eic Laboratories, Inc. | Protective overlayer material and electro-optical coating using same |
US5567332A (en) * | 1995-06-09 | 1996-10-22 | Fsi International | Micro-machine manufacturing process |
JP3463416B2 (ja) * | 1995-06-23 | 2003-11-05 | ソニー株式会社 | 絶縁膜の製造方法および半導体装置 |
JP3369817B2 (ja) * | 1995-06-23 | 2003-01-20 | 三菱電機株式会社 | 半導体装置 |
KR0161422B1 (ko) | 1995-07-31 | 1999-02-01 | 김광호 | 접촉창을 용이하게 매몰한 반도체 장치 및 그 제조 방법 |
JP3061255B2 (ja) | 1995-08-18 | 2000-07-10 | キヤノン販売株式会社 | 成膜方法 |
JPH09199501A (ja) * | 1995-10-02 | 1997-07-31 | Applied Materials Inc | SiF4を用いて安定な弗素ドープ膜を堆積するプロセス及び装置 |
US5638251A (en) | 1995-10-03 | 1997-06-10 | Advanced Refractory Technologies, Inc. | Capacitive thin films using diamond-like nanocomposite materials |
US5942802A (en) * | 1995-10-09 | 1999-08-24 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method of producing the same |
CN1074006C (zh) * | 1995-10-13 | 2001-10-31 | 陶氏化学公司 | 涂覆的塑料基材 |
JPH09116011A (ja) * | 1995-10-23 | 1997-05-02 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
JP3979687B2 (ja) * | 1995-10-26 | 2007-09-19 | アプライド マテリアルズ インコーポレイテッド | ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法 |
TW362118B (en) | 1995-10-30 | 1999-06-21 | Dow Corning | Method for depositing amorphous SiNC coatings |
TW328971B (en) * | 1995-10-30 | 1998-04-01 | Dow Corning | Method for depositing Si-O containing coatings |
US5599740A (en) | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
US5552344A (en) * | 1995-11-16 | 1996-09-03 | Taiwan Semiconductor Manufacturing Company | Non-etchback self-aligned via size reduction method employing ozone assisted chemical vapor deposited silicon oxide |
US5989338A (en) * | 1995-11-22 | 1999-11-23 | Micron Technology, Inc. | Method for depositing cell nitride with improved step coverage using MOCVD in a wafer deposition system |
JPH09237785A (ja) * | 1995-12-28 | 1997-09-09 | Toshiba Corp | 半導体装置およびその製造方法 |
US5798319A (en) * | 1996-01-16 | 1998-08-25 | Exxon Chemical Patents Inc. | High stability and low metals esters based on 3,5,5-trimethyl-1-hexanol |
JPH09212535A (ja) * | 1996-01-31 | 1997-08-15 | Hitachi Ltd | プリント基板への部品実装設計方法およびその支援装置 |
JPH09260369A (ja) * | 1996-03-25 | 1997-10-03 | Toshiba Corp | 絶縁膜の形成方法 |
US5693928A (en) * | 1996-06-27 | 1997-12-02 | International Business Machines Corporation | Method for producing a diffusion barrier and polymeric article having a diffusion barrier |
US5693563A (en) * | 1996-07-15 | 1997-12-02 | Chartered Semiconductor Manufacturing Pte Ltd. | Etch stop for copper damascene process |
US5807785A (en) * | 1996-08-02 | 1998-09-15 | Applied Materials, Inc. | Low dielectric constant silicon dioxide sandwich layer |
JP3355949B2 (ja) | 1996-08-16 | 2002-12-09 | 日本電気株式会社 | プラズマcvd絶縁膜の形成方法 |
DE19781956T1 (de) * | 1996-08-24 | 1999-07-08 | Trikon Equip Ltd | Verfahren und Vorrichtung zum Aufbringen einer planarisierten dielektrischen Schicht auf einem Halbleitersubstrat |
US5989998A (en) | 1996-08-29 | 1999-11-23 | Matsushita Electric Industrial Co., Ltd. | Method of forming interlayer insulating film |
US5711987A (en) * | 1996-10-04 | 1998-01-27 | Dow Corning Corporation | Electronic coatings |
US5827785A (en) * | 1996-10-24 | 1998-10-27 | Applied Materials, Inc. | Method for improving film stability of fluorosilicate glass films |
US5834162A (en) * | 1996-10-28 | 1998-11-10 | Regents Of The University Of California | Process for 3D chip stacking |
RU2179948C2 (ru) | 1996-11-19 | 2002-02-27 | Александр Константинович КАМЛЮК | Лебедка с приводом от раздаточной коробки транспортного средства тип камлюка |
JP3773340B2 (ja) | 1996-12-18 | 2006-05-10 | 大日本印刷株式会社 | 低屈折率SiO2 膜及びその製造方法 |
KR19980064444A (ko) | 1996-12-20 | 1998-10-07 | 윌리엄비.켐플러 | 다층 집적 회로 유전체 구조의 에칭 방법 |
JP3354424B2 (ja) * | 1997-02-27 | 2002-12-09 | 三洋電機株式会社 | 半導体装置および半導体装置の製造方法 |
US6080526A (en) | 1997-03-24 | 2000-06-27 | Alliedsignal Inc. | Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation |
US5780338A (en) * | 1997-04-11 | 1998-07-14 | Vanguard International Semiconductor Corporation | Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits |
JPH10313003A (ja) * | 1997-05-13 | 1998-11-24 | Sony Corp | 酸化シリコン系誘電体膜の形成方法 |
US6008540A (en) * | 1997-05-28 | 1999-12-28 | Texas Instruments Incorporated | Integrated circuit dielectric and method |
US6143646A (en) * | 1997-06-03 | 2000-11-07 | Motorola Inc. | Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation |
TW392288B (en) * | 1997-06-06 | 2000-06-01 | Dow Corning | Thermally stable dielectric coatings |
EP0885983A1 (en) | 1997-06-19 | 1998-12-23 | N.V. Bekaert S.A. | Method for coating a substrate with a diamond like nanocomposite composition |
JPH1116904A (ja) | 1997-06-26 | 1999-01-22 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
DE19804375B4 (de) | 1997-06-26 | 2005-05-19 | Mitsubishi Denki K.K. | Verfahren zur Herstellung eines Zwischenschichtisolierfilmes |
US5821168A (en) * | 1997-07-16 | 1998-10-13 | Motorola, Inc. | Process for forming a semiconductor device |
JPH1154504A (ja) * | 1997-08-04 | 1999-02-26 | Sony Corp | 積層絶縁体膜の形成方法およびこれを用いた半導体装置 |
US5891799A (en) * | 1997-08-18 | 1999-04-06 | Industrial Technology Research Institute | Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates |
US6100184A (en) * | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
US6136682A (en) * | 1997-10-20 | 2000-10-24 | Motorola Inc. | Method for forming a conductive structure having a composite or amorphous barrier layer |
US6001730A (en) * | 1997-10-20 | 1999-12-14 | Motorola, Inc. | Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers |
US6051321A (en) | 1997-10-24 | 2000-04-18 | Quester Technology, Inc. | Low dielectric constant materials and method |
US6126847A (en) * | 1997-11-24 | 2000-10-03 | Micron Technology Inc. | High selectivity etching process for oxides |
US6103590A (en) | 1997-12-12 | 2000-08-15 | Texas Instruments Incorporated | SiC patterning of porous silicon |
EP0926715B1 (en) | 1997-12-23 | 2009-06-10 | Texas Instruments Incorporated | Chemical mechanical polishing for isolation dielectric planarization |
US5970376A (en) * | 1997-12-29 | 1999-10-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer |
US6140226A (en) * | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
US6383955B1 (en) | 1998-02-05 | 2002-05-07 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6514880B2 (en) | 1998-02-05 | 2003-02-04 | Asm Japan K.K. | Siloxan polymer film on semiconductor substrate and method for forming same |
TW437017B (en) | 1998-02-05 | 2001-05-28 | Asm Japan Kk | Silicone polymer insulation film on semiconductor substrate and method for formation thereof |
US6432846B1 (en) | 1999-02-02 | 2002-08-13 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6348421B1 (en) | 1998-02-06 | 2002-02-19 | National Semiconductor Corporation | Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD |
DE19904311A1 (de) | 1998-02-06 | 1999-08-12 | Nat Semiconductor Corp | Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat |
US6162743A (en) * | 1998-02-10 | 2000-12-19 | Chu; Cheng-Jye | Low dielectric constant film and method thereof |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6287990B1 (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
JPH11251293A (ja) | 1998-03-03 | 1999-09-17 | Hitachi Ltd | 半導体集積回路装置の製造方法 |
US6448655B1 (en) | 1998-04-28 | 2002-09-10 | International Business Machines Corporation | Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation |
US6068884A (en) | 1998-04-28 | 2000-05-30 | Silcon Valley Group Thermal Systems, Llc | Method of making low κ dielectric inorganic/organic hybrid films |
US6627539B1 (en) | 1998-05-29 | 2003-09-30 | Newport Fab, Llc | Method of forming dual-damascene interconnect structures employing low-k dielectric materials |
US6159871A (en) * | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant |
US6054206A (en) | 1998-06-22 | 2000-04-25 | Novellus Systems, Inc. | Chemical vapor deposition of low density silicon dioxide films |
US6316167B1 (en) * | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6248429B1 (en) | 1998-07-06 | 2001-06-19 | Micron Technology, Inc. | Metallized recess in a substrate |
JP3248492B2 (ja) | 1998-08-14 | 2002-01-21 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US6383951B1 (en) | 1998-09-03 | 2002-05-07 | Micron Technology, Inc. | Low dielectric constant material for integrated circuit fabrication |
US6176198B1 (en) | 1998-11-02 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for depositing low K dielectric materials |
US6245690B1 (en) | 1998-11-04 | 2001-06-12 | Applied Materials, Inc. | Method of improving moisture resistance of low dielectric constant films |
US6462371B1 (en) | 1998-11-24 | 2002-10-08 | Micron Technology Inc. | Films doped with carbon for use in integrated circuit technology |
US6255735B1 (en) | 1999-01-05 | 2001-07-03 | Advanced Micro Devices, Inc. | Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers |
JP3084367B1 (ja) | 1999-03-17 | 2000-09-04 | キヤノン販売株式会社 | 層間絶縁膜の形成方法及び半導体装置 |
KR100292409B1 (ko) | 1999-05-24 | 2001-06-01 | 윤종용 | 실리콘-메틸 결합을 함유하는 절연층을 포함하는 다층 구조의 절연막 및 그 형성방법 |
US6312793B1 (en) | 1999-05-26 | 2001-11-06 | International Business Machines Corporation | Multiphase low dielectric constant material |
US6436824B1 (en) * | 1999-07-02 | 2002-08-20 | Chartered Semiconductor Manufacturing Ltd. | Low dielectric constant materials for copper damascene |
US6458720B1 (en) | 1999-07-23 | 2002-10-01 | Matsushita Electric Industrial Co., Ltd. | Method for forming interlayer dielectric film |
US7067414B1 (en) | 1999-09-01 | 2006-06-27 | Micron Technology, Inc. | Low k interlevel dielectric layer fabrication methods |
US6417098B1 (en) | 1999-12-09 | 2002-07-09 | Intel Corporation | Enhanced surface modification of low K carbon-doped oxide |
US6316063B1 (en) | 1999-12-15 | 2001-11-13 | Intel Corporation | Method for preparing carbon doped oxide insulating layers |
US6761975B1 (en) | 1999-12-23 | 2004-07-13 | Honeywell International Inc. | Polycarbosilane adhesion promoters for low dielectric constant polymeric materials |
EP1123991A3 (en) | 2000-02-08 | 2002-11-13 | Asm Japan K.K. | Low dielectric constant materials and processes |
US6284657B1 (en) | 2000-02-25 | 2001-09-04 | Chartered Semiconductor Manufacturing Ltd. | Non-metallic barrier formation for copper damascene type interconnects |
JP3419745B2 (ja) | 2000-02-28 | 2003-06-23 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
EP1149933A1 (en) | 2000-04-28 | 2001-10-31 | STMicroelectronics S.r.l. | Deposition method of dielectric films having a low dielectric constant |
US6410462B1 (en) | 2000-05-12 | 2002-06-25 | Sharp Laboratories Of America, Inc. | Method of making low-K carbon doped silicon oxide |
JP3600507B2 (ja) | 2000-05-18 | 2004-12-15 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
JP4504515B2 (ja) * | 2000-06-13 | 2010-07-14 | ルネサスエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
US6492731B1 (en) | 2000-06-27 | 2002-12-10 | Lsi Logic Corporation | Composite low dielectric constant film for integrated circuit structure |
US6258735B1 (en) | 2000-10-05 | 2001-07-10 | Applied Materials, Inc. | Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber |
US6448186B1 (en) | 2000-10-06 | 2002-09-10 | Novellus Systems, Inc. | Method and apparatus for use of hydrogen and silanes in plasma |
US6756323B2 (en) | 2001-01-25 | 2004-06-29 | International Business Machines Corporation | Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device |
US6441491B1 (en) | 2000-10-25 | 2002-08-27 | International Business Machines Corporation | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same |
US6368924B1 (en) | 2000-10-31 | 2002-04-09 | Motorola, Inc. | Amorphous carbon layer for improved adhesion of photoresist and method of fabrication |
US6649540B2 (en) | 2000-11-09 | 2003-11-18 | The Boc Group, Inc. | Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film |
US6949450B2 (en) | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US6340628B1 (en) | 2000-12-12 | 2002-01-22 | Novellus Systems, Inc. | Method to deposit SiOCH films with dielectric constant below 3.0 |
US6583047B2 (en) * | 2000-12-26 | 2003-06-24 | Honeywell International, Inc. | Method for eliminating reaction between photoresist and OSG |
US6500772B2 (en) | 2001-01-08 | 2002-12-31 | International Business Machines Corporation | Methods and materials for depositing films on semiconductor substrates |
US6737727B2 (en) * | 2001-01-12 | 2004-05-18 | International Business Machines Corporation | Electronic structures with reduced capacitance |
US6472231B1 (en) | 2001-01-29 | 2002-10-29 | Advanced Micro Devices, Inc. | Dielectric layer with treated top surface forming an etch stop layer and method of making the same |
EP1373595A1 (en) | 2001-03-23 | 2004-01-02 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films |
US20020173157A1 (en) | 2001-03-29 | 2002-11-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual damascene method employing composite low dielectric constant dielectric layer having intrinsic etch stop characteristics |
TW582086B (en) | 2001-04-02 | 2004-04-01 | United Microelectronics Corp | Surface densification method of low dielectric constant film |
US6803314B2 (en) | 2001-04-30 | 2004-10-12 | Chartered Semiconductor Manufacturing Ltd. | Double-layered low dielectric constant dielectric dual damascene method |
US20020164868A1 (en) | 2001-05-02 | 2002-11-07 | Ting-Chang Chang | Method for forming a silicon dioxide-low k dielectric stack |
US6780499B2 (en) | 2001-05-03 | 2004-08-24 | International Business Machines Corporation | Ordered two-phase dielectric film, and semiconductor device containing the same |
US6602800B2 (en) | 2001-05-09 | 2003-08-05 | Asm Japan K.K. | Apparatus for forming thin film on semiconductor substrate by plasma reaction |
US20020177303A1 (en) | 2001-05-23 | 2002-11-28 | Qing-Tang Jiang | Method for sealing via sidewalls in porous low-k dielectric layers |
US20030006477A1 (en) | 2001-05-23 | 2003-01-09 | Shipley Company, L.L.C. | Porous materials |
US6482754B1 (en) | 2001-05-29 | 2002-11-19 | Intel Corporation | Method of forming a carbon doped oxide layer on a substrate |
US20030087043A1 (en) | 2001-11-08 | 2003-05-08 | International Business Machines Corporation | Low k dielectric film deposition process |
US6972253B2 (en) * | 2003-09-09 | 2005-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming dielectric barrier layer in damascene structure |
-
1998
- 1998-02-11 US US09/021,788 patent/US6054379A/en not_active Expired - Lifetime
- 1998-07-13 US US09/114,682 patent/US6072227A/en not_active Expired - Lifetime
-
1999
- 1999-02-10 DE DE69940082T patent/DE69940082D1/de not_active Expired - Fee Related
- 1999-08-09 US US09/370,371 patent/US6511909B1/en not_active Expired - Fee Related
- 1999-12-16 US US09/465,233 patent/US6511903B1/en not_active Expired - Fee Related
- 1999-12-30 US US09/477,126 patent/US20020000670A1/en not_active Abandoned
-
2001
- 2001-11-15 US US09/998,956 patent/US6730593B2/en not_active Expired - Lifetime
-
2002
- 2002-11-21 US US10/301,019 patent/US6770556B2/en not_active Expired - Fee Related
-
2004
- 2004-01-13 US US10/756,122 patent/US20040147109A1/en not_active Abandoned
- 2004-04-29 US US10/835,171 patent/US7023092B2/en not_active Expired - Lifetime
-
2005
- 2005-02-11 US US11/056,459 patent/US20050156317A1/en not_active Abandoned
-
2007
- 2007-10-23 US US11/877,488 patent/US20080061439A1/en not_active Abandoned
- 2007-10-23 US US11/877,483 patent/US20080064225A1/en not_active Abandoned
- 2007-10-24 US US11/923,037 patent/US7651725B2/en not_active Expired - Fee Related
-
2009
- 2009-12-02 JP JP2009274694A patent/JP5090430B2/ja not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
US20040147109A1 (en) | 2004-07-29 |
US7023092B2 (en) | 2006-04-04 |
US20040201103A1 (en) | 2004-10-14 |
US6511909B1 (en) | 2003-01-28 |
US20080061439A1 (en) | 2008-03-13 |
US6054379A (en) | 2000-04-25 |
US20050156317A1 (en) | 2005-07-21 |
JP2010123972A (ja) | 2010-06-03 |
US6511903B1 (en) | 2003-01-28 |
US6770556B2 (en) | 2004-08-03 |
US20080064225A1 (en) | 2008-03-13 |
US6072227A (en) | 2000-06-06 |
US20020111042A1 (en) | 2002-08-15 |
US20080044557A1 (en) | 2008-02-21 |
DE69940082D1 (de) | 2009-01-22 |
US20030113992A1 (en) | 2003-06-19 |
US20020000670A1 (en) | 2002-01-03 |
US6730593B2 (en) | 2004-05-04 |
US7651725B2 (en) | 2010-01-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5090430B2 (ja) | 低誘電率膜の堆積処理方法、基板処理システム、デュアルダマシン構造の形成方法、およびデュアルダマシン構造 | |
JP4447772B2 (ja) | 低誘電率膜を堆積するためのプラズマ処理方法 | |
US6660656B2 (en) | Plasma processes for depositing low dielectric constant films | |
US6743737B2 (en) | Method of improving moisture resistance of low dielectric constant films | |
US6800571B2 (en) | CVD plasma assisted low dielectric constant films | |
US6660663B1 (en) | Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds | |
JP4558206B2 (ja) | Cvdナノ多孔性シリカの低誘電率膜 | |
US6593247B1 (en) | Method of depositing low k films using an oxidizing plasma | |
KR100605770B1 (ko) | 저 유전상수 필름을 증착하는 플라즈마 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101130 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101210 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20111111 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20111206 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120305 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120508 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120725 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20120814 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20120912 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20150921 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |