KR20210143943A - 원자층 증착 동안 급속 플러시 퍼징 - Google Patents

원자층 증착 동안 급속 플러시 퍼징 Download PDF

Info

Publication number
KR20210143943A
KR20210143943A KR1020217037765A KR20217037765A KR20210143943A KR 20210143943 A KR20210143943 A KR 20210143943A KR 1020217037765 A KR1020217037765 A KR 1020217037765A KR 20217037765 A KR20217037765 A KR 20217037765A KR 20210143943 A KR20210143943 A KR 20210143943A
Authority
KR
South Korea
Prior art keywords
purge gas
chamber
flowing
accumulator
tungsten
Prior art date
Application number
KR1020217037765A
Other languages
English (en)
Inventor
프라그나 난나파네니
세마 에르메즈
노비 초크로
루오펭 뎅
티앤화 위
시아오란 바
주웬 가오
산제이 고피나스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210143943A publication Critical patent/KR20210143943A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L27/10891
    • H01L27/11556
    • H01L27/11582
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

원자층 증착 (Atomic Layer Deposition; ALD) 프로세스 동안 프로세싱 챔버들을 퍼지하기 위한 방법들 및 관련 장치가 본 명세서에 제공된다. 방법들은 프로세싱 챔버들로부터 프로세스 가스들을 제거하기 위해 하나 이상의 축적기들 (accumulators) 로부터 퍼지 가스를 흘리는 단계를 수반한다. 퍼지 가스를 흘리는 단계에 이어, ALD 사이클을 계속하기 위해 부가적인 반응물질들이 프로세싱 챔버 내로 도입될 수도 있다.

Description

원자층 증착 동안 급속 플러시 퍼징
원자층 증착 (Atomic Layer Deposition; ALD) 기법들을 사용한 텅스텐 (W) 막 증착은 반도체 제조 프로세스들의 필수적인 부분이다. 예를 들면, 텅스텐 막들이 수평 상호접속부들, 인접한 금속 층들 사이의 비아들 (vias), 및 제 1 금속 층과 실리콘 기판 상의 디바이스들 (devices) 사이의 콘택트들 (contacts) 의 형태의 저저항률 전기 접속부들로 사용될 수도 있다. 텅스텐 막들은 또한 DRAM (Dynamic Random Access Memory) 을 위한 bWL (buried Wordline) 아키텍쳐들, 3D NAND 를 위한 워드 라인들, 및 로직 적용 예들의 포메이션을 포함한, 다양한 메모리 적용 예들에 사용될 수도 있다. 그러나, 피처 사이즈 및 막 두께의 계속적인 감소는 보이드가 없고 저 응력의 막들을 증착하는 것을 포함한 다양한 문제점들을 발생시킨다.
본 명세서에 제공된 배경기술의 기술은 일반적으로 본 개시의 맥락을 제시하기 위한 것이다. 본 배경기술 섹션에 기술된 범위까지, 현재 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로 또는 묵시적으로 인정되지 않는다.
참조로서 인용
PCT 요청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출된다. 본출원이 동시에 제출된 PCT 요청 양식에서 식별된 바와 같이 이익 또는 우선권을 주장하는 출원 각각은 그 전체 및 모든 목적들을 위해 본 참조로 인용된다.
본 명세서에는 반응물질 가스들을 급속하게 퍼징하는 (purging) 것을 포함하는 원자층 증착 (ALD) 방법들이 개시된다. ALD 사이클 동안, 반응 가스 도즈들이 기판 표면 상에서 반응하도록 챔버 내로 순차적으로 흐르게 된다. 순차적 반응물질 가스 도즈들이 이전 도즈의 잔류 반응물질 가스를 제거하도록 챔버를 통해 불활성 가스가 흐르는 퍼지 동작에 의해 분리된다. 급속 플러시 퍼지는 단일 퍼지 동작 동안 가압 퍼지 가스들을 챔버 내로 순차적으로 흘리도록 2 개 이상의 축적기들 (accumulators) 을 사용한다. 급속 플러시 퍼지는 전체 질량 유량 레이트를 증가시키고, 퍼지 시간을 감소시키고 쓰루풋 (throughput) 을 향상시킨다. 텅스텐과 같은 막들의 ALD에서, 급속 플러시 퍼지는 증착된 막들의 재료 특성들을 향상시킨다.
본 명세서의 실시 예들의 일 양태에서, 다음을 포함하는 방법이 개시된다: 100 토르 (torr) 미만의 챔버 압력을 갖는 챔버에 반도체 기판을 제공하는 단계로서, 반도체 기판은 개구부를 통해 유체로 액세스할 수 있는 복수의 내부 영역들을 갖는 복수의 피처들 (features) 로 유도하는 측벽들 및 측벽들의 복수의 개구부들을 포함하는 부분적으로 제조된 3차원 (3-D) NAND 구조체를 포함하고; 복수의 ALD 사이클들에 의해 반도체 기판 상에 재료를 증착하는 단계로서, 사이클 각각은 순차적으로 챔버 내로 흘리는 것을 포함하고: 환원제; 제 1 퍼지 가스; 텅스텐 전구체; 및 제 2 퍼지 가스; 및 제 1 퍼지 가스 및 제 2 퍼지 가스를 흘리는 단계는 제 1 충전 압력으로 제 1 축적기 (accumulator) 로부터 퍼지 가스를 흘리는 단계에 이어서 제 1 축적기로부터 퍼지 가스를 흘리는 단계의 5 초 이내 제 2 충전 압력으로 제 2 축적기로부터 퍼지 가스를 흘리는 단계를 포함하고, 제 1 충전 압력 및 제 2 충전 압력은 약 400 토르 내지 약 1000 토르이다.
본 명세서의 실시 예들의 또 다른 양태에서, 방법은 챔버 압력을 갖는 챔버에 반도체 기판을 제공하는 단계; 복수의 ALD 사이클들에 의해 반도체 기판 상에 재료를 증착하는 단계로서, 사이클 각각은 반응물질; 및 퍼지 가스; 퍼지 가스를 순차적으로 챔버 내로 흘리는 단계는 제 1 충전 압력으로 제 1 축적기로부터 퍼지 가스를 흘리는 단계에 이어서 제 2 충전 압력으로 제 2 축적기로부터 퍼지 가스를 흘리는 단계를 포함하는 방법이 개시된다.
일부 실시 예들에서, 제 1 충전 압력 및 제 2 충전 압력은 챔버 압력보다 적어도 2 배 보다 크다. 다양한 실시 예들에서, 퍼지 가스 또는 제 2 퍼지 가스를 흘리기 전 챔버 압력은 약 100 토르 미만이다. 일부 실시 예들에서, 제 1 충전 압력 및 제 2 충전 압력은 약 400 토르 내지 약 1000 토르이다.
다양한 구현 예들에서, 퍼지 가스는, 헬륨, 질소, 아르곤, 또는 제논이다. 일부 실시 예들에서, 반응물질은 환원제를 포함한다. 특정 실시 예들에서, 환원제는 B2H6, SiH4, 또는 H2이다. 일부 실시 예들에서, 반응물질은 금속 전구체를 포함한다. 일부 실시 예들에서, 금속 전구체는 금속 할라이드이다. 다양한 구현예들에서, 금속 전구체는 금속 옥시할라이드이다. 특정 실시 예들에서, 금속 전구체는 텅스텐 전구체이다. 다양한 실시 예들에서, 텅스텐 전구체는 WF6 (tungsten hexafluoride), WCl6 (tungsten hexachloride), WCl5 (tungsten pentachloride), WCl4 (tungsten tetrachloride), WCl2 (tungsten dichloride), WOCl4 (tungsten oxytetrachloride) 또는 WO2Cl2 (tungsten dichloride dioxide) 중 하나이다.
일부 실시 예들에서, 금속 전구체는 몰리브덴 전구체이다. 특정 실시 예들에서, 몰리브덴 전구체는 MoCl5 (molybdenum pentachloride), MoF6 (molybdenum hexafluoride), MoO2Cl2 (molybdenum dichloride dioxide), MoOCl4 (molybdenum oxytetrachloride), 및 MoOF4 (molybdenum oxytetrafluoride) 중 하나이다.
다양한 구현예들에서, 퍼지 가스를 흘리는 단계는 초크된 (choked) 플로우이다. 일부 구현예들에서, 제 1 축적기로부터 퍼지 가스를 흘리는 단계는 적어도 부분적으로 초크된 플로우이다. 특정 실시 예들에서, 제 2 축적기로부터 퍼지 가스를 흘리는 단계는 제 1 축적기로부터 퍼지 가스를 흘리는 단계의 초크된 플로우 부분 동안 발생한다. 일부 실시 예들에서, 제 2 축적기로부터 퍼지 가스를 흘리는 단계는 제 1 축적기로부터 퍼지 가스를 흘리게 하는 단계 후 5 초 미만에 발생한다.
다양한 실시 예들에서, 반도체 기판은 개구부들을 통해 유체로 액세스 가능한 복수의 내부 영역들을 갖는 복수의 피처들로 리딩하여 측벽들 및 측벽들의 복수의 개구부들을 포함하는 부분적으로 제조된 3-D (three-dimension) 구조체를 포함한다.
개시된 실시 예들의 이러한 피처들 및 다른 피처들은 관련 도면들을 참조하여 하기에 상세하게 기술될 것이다.
도 1은 일 예시적인 실시 예에 대한 동작의 흐름도를 나타낸다.
도 2a 및 도 2b는 일 개시된 실시 예에 대한 압력 시간 그래프를 나타낸다.
도 3a 내지 도 3c는 본 명세서에 기술된 방법들의 실시 예들을 사용하여 충진될 수도 있는 3D NAND 구조체들의 예시를 나타낸다.
도 4a 및 도 4b는 일 예시적인 실시 예에 대한 동작의 흐름도들을 나타낸다.
도 5는 일 예시적인 실시 예에 대한 유체 연통들의 예시이다.
도 6 및 도 7은 개시된 실시 예들에 따른 방법들을 수행하기 위한 프로세스 챔버들의 예시들의 개략도이다.
후술할 기술 (description) 에서, 다수의 특정 상세들이 제시된 실시 예들의 완전한 이해를 제공하기 위해 제시된다. 본 명세서에 개시된 실시 예들은 이러한 특정 상세들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세하게 기술되지 않았다. 또한, 개시된 실시 예들이 특정 실시 예와 관련하여 기술될 것이지만, 특정 실시 예들은 개시된 실시 예들을 제한하도록 의도되지 않음이 이해될 것이다.
원자층 증착 (ALD) 방법들 및 관련 시스템들 및 장치가 본 명세서에 기술된다. 적용 예들의 예들은 로직 및 메모리 콘택트 충진, DRAM 매립 워드라인 충진, 수직 집적 메모리 게이트/워드라인 충진, 및 TSVs (Through-Silicon Vias) 와의 3-D 집적을 포함한다. 일부 실시 예들에서, 방법들은 텅스텐 피처 (feature) 충진을 위해 사용될 수도 있다. 이러한 피처들은 비아들과 같은, 수직 피처들 및 수직 NAND (VNAND) 워드라인들과 같은 수평 피처들을 포함할 수 있다.
본 명세서에 반응물질 가스들을 급속하게 퍼지하는 것을 포함하는 원자층 증착 (ALD) 방법들이 개시된다. ALD 사이클 동안, 반응물질 가스 도즈들이 기판 표면 상에서 반응하기 위해 챔버 내로 순차적으로 흐르게 된다. 순차 반응물질 가스 도즈들은 이전 도즈의 잔류 반응물질 가스를 제거하기 위해 챔버를 통해 불활성 가스가 흐르게 되는 퍼지 동작에 의해 분리된다. 급속 플러시 퍼지 (rapid flush purge) 는 단일 퍼지 동작 동안 챔버 내로 가압 퍼지 가스들을 순차적으로 흘리도록 2 개 이상의 축적기들 (accumulators) 을 사용한다. 일부 실시 예들에서, 후술할 이점들 중 하나 이상이 실현될 수도 있다. 일부 실시 예들에서, 전체 질량 유량 레이트 (mass flow rate) 가 증가하고, 이는 감소된 퍼지 시간 및 향상된 쓰루풋 (throughput) 을 발생시킬 수 있다. 일부 실시 예들에서, 증착된 막들의 내부 응력이 감소된다. 일부 실시 예들에서, 증착된 막들의 불순물들이 감소된다.
ALD는 순차 자기 제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. “ALD 사이클” 의 개념은 본 명세서의 다양한 실시 예들의 논의와 관련이 있다. 일반적으로 ALD 사이클은 표면 증착 반응을 한 회 수행하기 위해 사용되는 동작들의 최소 세트이다. 한 사이클의 결과는 기판 표면 상의 적어도 부분적인 막 층의 생성이다. 통상적으로, ALD 사이클은 기판 표면에 적어도 하나의 반응물질을 전달하고 흡착한 다음, 막의 부분적인 층을 형성하기 위해 하나 이상의 반응물질들과 흡착된 반응물질을 반응시키는 동작들을 포함한다. 본 명세서에 기술된 방법들에서, 사이클은 또한 하기에 더 기술되는 바와 같이 적어도 1회의 급속 플러시 퍼지를 포함한다. 일반적으로, 사이클은 동작들의 고유한 시퀀스 (sequence) 의 일 예를 포함한다. 예로서, ALD 사이클은 다음 동작들을 포함할 수도 있다: (i) 반응물질 A의 전달/흡착, (ii) 챔버로부터 반응물질 A의 퍼징, (iii) 반응물질 B의 전달, 및 (iv) 챔버로부터 반응물질 B의 퍼징.
도 1은 다양한 실시 예들에 따른 방법의 단계들의 프로세스 흐름도이다. 본 명세서에 기술된 사이클 및 노출 시간들은 사용된 장치 및 플랫폼에 종속될 수도 있고 당업자는 그에 따라 사이클 및 노출 시간들을 조정할 수도 있다. 동작 102에서, 반응물질 A가 프로세스 챔버에 도입된다. 전도성 막들의 증착을 위해, 반응물질 A는 금속 함유 전구체 또는 환원제 또는 다른 공반응물질일 수도 있다. 하기의 기술은 주로 금속들의 열 ALD를 기술하지만, 방법들은 또한 열 ALD에 의해 임의의 재료를 증착하도록 구현될 수도 있다.
동작 102 후, 프로세스 챔버가 동작 104에서 급속 플러시 퍼지에 의해 퍼지된다. 일반적으로, 퍼지는 프로세스 챔버로부터 기상 반응물질을 제거하고 통상적으로 이러한 반응물질의 전달이 완료된 후에만 발생한다. 즉, 그러한 반응물질이 퍼지 동안 반응 챔버에 더 이상 전달되지 않는다. 그러나, 반응물질은 퍼지 동안 기판 표면 상에 흡착 상태로 남는다. 통상적으로, 퍼지는 반응물질이 목표된 레벨로 기판 표면 상에 흡착된 후 챔버에서의 모든 잔류 기상 반응물질을 제거하도록 역할을 한다. 퍼지는 또한 기판 표면으로부터 약하게 흡착된 종 (예를 들어, 특정 전구체 리간드들 또는 반응 부산물들) 을 제거할 수도 있다. ALD에서, 퍼지는 두 반응물질들과의 가스상 (gas phase) 상호작용 또는 표면 반응을 위한 열 또는 다른 구동력과 일 반응물질과의 상호작용을 방지할 수도 있다. 퍼지는 또한 챔버에 남아 있는 잔류 기상 반응물질의 양을 감소시키기 위해 챔버의 부피 몇 배의 챔버를 통해 유체 부피를 흐르게 할 수도 있다. 본 명세서에 기술된 퍼지 페이즈들은 아르곤 (Ar), 제논 (Xe), 헬륨 (He), 또는 질소 (N) 와 같은 불활성 가스를 프로세스 챔버로 흘리는 것을 포함한다. 급속 플러시 퍼지는 하기에 더 설명된다.
동작 106에서, 반응물질 B가 챔버에 도입된다. 반응물질 B는 예를 들면, 금속 함유 전구체 또는 환원제 또는 다른 공반응물질일 수도 있다. 반응물질 B는 막의 적어도 부-단층 (sub-monolayer) 을 형성하기 위해 반응물질 A의 흡착된 종과 반응할 수도 있다. 반응물질 B 및 반응물질 A의 흡착된 종은 또한 기상 생성물을 생성할 수도 있다.
동작 106 후, 프로세스 챔버가 급속 플러시 퍼지에 의해 동작 108에서 퍼지된다. 동작 104와 유사하게, 퍼지는 아르곤과 같은 불활성 가스를 프로세스 챔버로 흐르게 함으로써 프로세스 챔버로부터 반응물질 B와 흡착된 반응물질 A의 반응에 의해 생성된 모든 기상 생성물뿐만 아니라 기상 반응물질 B를 제거한다.
동작 102 및 동작 108의 결과는 막의 적어도 부-단층의 형성이다. 예를 들면, 텅스텐의 막이 형성될 수도 있다. 동작 102 및 동작 108은 단일 ALD 사이클을 포함하고 막의 두께를 증가시키기 위해 1 회 이상 반복될 수도 있다. ALD 사이클 각각은 부가적인 재료를 증착하여 실질적으로 균일한 막 층을 생성한다. 일부 실시 예들에서, 막 조성은 원치 않은 화합물들, 예를 들어 플루오린을 포함할 수도 있다. 막에서 원치 않은 화학 물질의 존재는 저항률과 같은, 막의 특성들에 영향을 줄 수도 있다.
도 1에서 동작 104 및 동작 108 모두 급속 플러시 퍼지들이다. 급속 플러시 퍼지는 순차적으로 흘리는 2 개의 축적기들을 사용하여 퍼지 가스를 챔버 내로 흘린다. 축적기는 퍼지 가스의 가압된 부피, 예를 들면 약 50 cc 내지 약 1 리터, 또는 약 300 cc를 저장할 수 있는 임의의 컨테이너 또는 볼륨일 수도 있다. 일부 실시 예들에서, 동작 104 및 동작 108 중 하나만 급속 플러시 퍼지인 반면 다른 하나는 연속된 플로우 또는 단일 축적기를 사용하는 것과 같은 상이한 방법에 의한 퍼지이다. 일부 실시 예들에서, 급속 플러시 퍼지가 단일 반응물질 ALD 사이클에 대해 사용될 수 있고, 동작 102 및 동작 104가 단일 반응물질 및 단일 퍼지 동작을 사용하여 반복된다.
도 2a 및 도 2b는 퍼지 동작을 수행하는 2 가지 상이한 방식들에 대한 시간의 함수로서 하나 이상의 축적기들에서 부피의 압력을 나타낸다. 도 2a에서, 축적기 (200) 가 퍼지 가스의 가압된 부피를 형성하는데 사용되고, 이는 이어서 프로세스 챔버 내로 흐르게 된다. 축적기 (200) 는 축적기의 기준점 압력으로서 작용하는 초기 압력 (202) 을 갖는다. 기울기 (204) 는 퍼지 가스가 가압됨에 따라 축적기 (200) 에서의 부피의 압력의 증가를 도시한다. 도 2a에서 축적기는 퍼지 가스가 챔버로 플로우되기 전에 약 550 토르의 최대 압력에 도달하지만 축적기의 압력은 약 400 토르로부터 약 1000 토르까지 가변할 수도 있다. 이어서, 시간 (206) 에서 퍼지 가스가 챔버 내로 흐르게 되고, 퍼지 가스가 축적기 (200) 밖으로 흐르게 됨에 따라 압력이 급격하게 감소한다. 축적기 (200) 의 압력의 감소는 챔버 내로 퍼지 가스의 증가된 플로우에 대응한다. 퍼지 부피가 챔버 내로 플로우되면, 축적기 (200) 의 압력은 기준점 값 (202) 으로 되돌아간다. 축적기는 이어서 압력을 증가시킬 수도 있고 제 2 퍼지 단계 (미도시) 를 위해 챔버 내로 퍼지 가스를 흐르게 할 수도 있다.
도 2b는 급속 플러시 퍼지를 나타낸다. 제 1 축적기 (210) 및 제 2 축적기 (211) 가 퍼지 가스를 챔버 내로 흐르게 하도록 사용된다. 도 2a와 유사하게, 축적기들 둘 다 기준점 압력 (212) 을 갖는다. 이어서, 제 1 축적기 (210) 기울기 (214) 로 도시된 바와 같이 가압된다. 시간 (216) 에서 제 1 축적기로부터의 퍼지 가스가 챔버 내로 흐르게 되고, 제 1 축적기의 압력의 급격한 감소를 초래한다. 축적기의 압력의 감소는 챔버 내로 퍼지 가스의 증가된 매스 플로우 (mass flow) 와 대응한다. 제 1 축적기의 압력이 감소함에 따라, 챔버 내로 퍼지 가스의 매스 플로우 또한 감소한다. 이어서, 시간 (217) 에서, 제 2 축적기 (211) 는 퍼지 가스를 챔버 내로 흐르게 한다. 가압된 퍼지 가스의 제 2 플로우는 다시 챔버 내로 퍼지 가스의 매스 플로우를 증가시킨다. 2 개의 축적기들을 사용함으로써, 챔버 내로의 평균 매스 플로우가 증가되고, 반응 가스들의 제거 레이트가 증가하고, 챔버를 퍼지하는데 필요한 총 시간이 감소한다. 일부 실시 예들에서, 제 2 축적기와 유사한 방식으로 임의의 수의 부가적인 축적기들로부터 퍼지 가스를 흘리는, 챔버 내로 퍼지 가스의 고압 플로우를 연속적으로 유지하도록 부가적인 축적기들이 사용될 수도 있다. 일부 실시 예들에서, 단일 급속 플러시 퍼지 동작 동안, 축적기 각각이 가압되고 퍼지 가스가 복수 회 챔버 내로 흐르고, 예를 들면, 단일 퍼지 동작 동안 제 1 축적기가 퍼지 가스를 챔버 내로 2 회 이상 흘리도록 한다. 일부 실시 예들에서, 부가적인 축적기들 또는 동일한 축적기들로부터의 반복된 플로우들이 대형 챔버들에 유용할 수도 있거나 축적기 각각의 부피가 300 cc 미만인 때 유용할 수도 있다. 상기 참조한 바와 같이, 제 1 축적기 및 제 2 축적기의 압력이 약 550 토르이지만, 다양한 실시 예들에서 압력은 약 400 토르에서 약 1000 토르까지 가변할 수도 있다. 제 1 축적기와 제 2 축적기 사이의 압력은 또한 가변할 수도 있으며, 제 1 축적기는 약 400 토르와 약 100 토르 사이의 압력을 갖고 제 2 축적기는 약 400 토르와 약 1000 토르 사이의 상이한 압력을 갖는다.
제 1 축적기 및 제 2 축적기로부터의 퍼지 가스의 플로우 타이밍이 실시 예들에 따라 가변할 수도 있다. 일부 실시 예들에서, 제 2 축적기가 제 1 축적기의 압력이 기준점 (212) 으로 되돌아오기 전에 흐르게 된다. 다른 실시 예들에서, 제 1 축적기가 기준점 압력으로 되돌아올 때 또는 제 1 축적기가 기준점 압력으로 되돌아온 후에 제 2 축적기는 흐르게 될 수도 있다. 일부 실시 예들에서 제 2 축적기는 제 1 축적기의 압력과 관계 없이 단순히 제 1 축적기 뒤에 흐르게 된다. 일부 실시 예들에서 제 2 축적기는 제 1 축적기를 흐른 후 5 초, 3 초, 1 초, 0.5 초, 또는 0.1 초 내에 흐르게 된다.
축적기로부터 퍼지 가스의 플로우는 초크된 (choked) 플로우일 수도 있다. 고압 분위기와 저압 분위기 사이의 압력비가 충분히 크면 초크된 플로우가 발생할 수도 있다. 유체 플로우의 속도는 저압 분위기의 압력의 추가 감소에도 증가하지 않고 플로우는 초크된 것으로 간주된다. 초크된 플로우에 대한 최소 압력비는 일반적으로 약 2:1이지만 사용된 특정 가스들에 종속된다. 초크된 플로우가 온도, 압력, 및 가스 밀도를 포함한 고압 분위기의 조건들만을 사용하여 모델링될 수 있고, 이는 저압 분위기의 파라미터들이 알려지지 않거나 변화할 때 바람직할 수도 있다. 구체적으로, 프로세스 챔버를 퍼징할 때, 초크된 플로우가 챔버를 충분히 퍼지하기 위해 필요한 시간을 감소시키기 위한 인자로서 관련된다.
ALD 프로세스 동안, 예시적인 챔버 압력 범위들은 약 3 토르 내지 100 토르, 약 3 토르 내지 40 토르, 또는 약 3 토르 내지 10 토르이다. 한편, 퍼지 단계를 위한 축적기의 압력은 약 400 토르 내지 1000 토르일 수도 있다. 따라서, 축적기로부터 챔버 내로 퍼지가스의 초기 플로우는 통상적으로 초크된다. 그러나, 도 2b로부터 볼 수 있듯, 축적기의 압력이 급속하게 감쇠한다. 축적기와 프로세스 챔버 사이의 압력비가 감소함에 따라 플로우가 초크되지 않을 수도 있다. 이는 챔버 내로의 퍼지가스의 속도 및 매스 플로우 (mass flow) 를 감소시켜 퍼지하는 데 필요한 시간을 증가시킨다. 도 2b에 도시된 바와 같이 제 2 축적기 (211) 로부터 퍼지 가스를 흐르게 함으로써, 플로우가 초크된 채로 남고, 챔버는 퍼지되는데 보다 적은 시간이 필요하다. 제 2 축적기로부터 퍼지 가스를 흐르게 하는 타이밍은 실시 예들에 따라 가변할 수도 있고, 일부 실시 예들에서 제 2 축적기로부터의 퍼지 가스가 챔버 내로 퍼지 가스의 플로우가 초크되는 동안 흐른다. 다른 실시 예들에서 제 2 축적기로부터 퍼지 가스는 챔버 내로 퍼지가스의 플로우가 초크되는 것을 중단한 후 흐르게 될 수도 있다. 이러한 경우들에 제 2 축적기로부터 퍼지 가스는 흘리는 것은 챔버 내로 퍼지 가스의 플로우로 하여금 초크되게 할 수도 있다.
다양한 실시 예들에 따라, 급속 플러시 퍼지를 사용하는 것은 증착된 재료의 보다 낮은 응력, 플루오린과 같은 불순물들의 보다 낮은 함량, 증착을 위한 감소된 사이클 시간, 및 개선된 퍼지 효율을 발생시킬 수 있다. 예를 들면, 3D NAND 구조체의 워드라인들과 같은 복잡한 피처들을 충진할 때 급속 플러시 퍼징은 감소된 사이클 시간 및 향상된 막 특성들을 갖는 충진 품질을 유지한다.
도 3a는 기판 (300) 상에 형성된 3D NAND 구조체 (323) 의 워드라인들 (310) 의 개략적인 예시를 도시한다. 워드라인들 (310) 은 산화 층들 (311) 에 의해 분리된다. 도 3b는 부분적으로 제조된 3-D NAND 구조체 (333) 의 측단면도를 나타내고 금속 충진의 과제들을 예시한다. 구조체 (330) 가 반도체 기판 (300) 상에 형성되고 3D NAND 스택들 (좌측 (325) 및 우측 (326)), 중앙 수직 구조체 (330), 및 중앙 수직 구조체 (330) 의 대향 측벽들 (340) 상의 개구부들 (322) 을 갖는 복수의 스택된 (stacked) 워드라인 피처들 (320) 을 포함한다. 도 3b는 트렌치식 중앙 수직 구조체 (330) 를 함께 형성하는 도시된 부분적으로 제조된 3-D NAND 구조체 (333) 의 2 개의 스택들 (325 및 326) 을 디스플레이하지만, 특정한 실시 예들에서, 3 개 이상의 스택들이 순차적으로 배열되고 서로에 대해 공간적으로 평행할 수도 있으며, 도 3b에 명시적으로 예시된 것과 같이 인접한 스택들의 쌍 각각 사이의 갭은 중앙 수직 구조 (330) 를 형성할 수도 있다는 것을 주의한다. 도 3b의 예에서, 워드라인 피처들 (320) 이 개구부들 (322) 을 통해 중앙 수직 구조체 (330) 로부터 유체로 액세스 가능하다. 도면에 명시적으로 표시되지는 않았지만, 도 3b에 도시된 3-D NAND 스택들 (325 및 326) (즉, 좌측 3-D NAND 스택 (325) 및 우측 3-D NAND 스택 (326)) 모두에 존재하는 수평 피처들 (320) 은 또한 스택들의 다른 측면들 (각각 맨 왼쪽 및 맨 오른쪽) 로부터 부가적인 3-D NAND 스택들에 의해 형성된 유사한 수직 구조체들을 통해 (맨 왼쪽 및 맨 오른쪽으로, 그러나 도시되지 않음) 액세스 가능하다. 즉, 3-D NAND 스택 (325, 326) 각각은 3-D NAND 스택의 양 측면들로부터 중앙 수직 구조체 (330) 를 통해 유체로 액세스 가능한 워드라인 피처들의 스택을 포함한다.
3-D NAND 스택의 워드라인 피처들은 실리콘 옥사이드 층 및 실리콘 나이트라이드 층의 교번하는 스택을 증착한 후 그들 사이에 갭들을 갖고 옥사이드 층들의 스택 (311) 을 남기면서 나이트라이드 층들을 선택적으로 제거함으로써 형성될 수도 있다. 이들 갭들이 워드라인 피처들 (320) 이다. 이들을 형성하는 데 이용 가능한 기법 뿐만 아니라 수직 피처들의 실질적으로 보이드-프리 충진들을 성공적으로 달성하는 데 이용할 수 있는 기법이 있는 한 이러한 3-D NAND 구조체에서 임의의 수의 워드라인들이 수직으로 스택될 수도 있다. 따라서, 예를 들면, 3D-NAND 스택은 2 내지 256 개의 수평 워드라인 피처들, 또는 8 내지 128 개의 수평 워드라인 피처들, 또는 16 내지 64 개의 수평 워드라인 피처들, 등을 포함할 수도 있다 (열거된 범위들은 언급된 끝점들을 포함하는 것으로 이해됨).
도 3c는 도 3b에서 수평 파선으로 나타낸 수평 섹션 (360) 을 통해 취한 횡단면과 함께 도 3b에 도시된 동일한 3-D NAND 구조체의 횡단면 평면도를 제시한다. 도 3b의 단면도는 반도체 기판 (300) 의 베이스로부터 3-D NAND 스택들의 상단부까지 수직으로 이어지는 필라들 (pillars) (355) 의 여러 행들을 예시한다. 일부 실시 예들에서, 이들 필라들 (355) 은 폴리실리콘 재료로 형성되고 3-D NAND 구조체 (333) 에 구조적으로 및 기능적으로 중요하다. 일부 실시 예들에서, 이러한 폴리실리콘 필라들은 필라들 내에 형성된 스택된 메모리 셀들을 위한 게이트 전극들로서 역할할 수도 있다. 도 3c의 평면도는 필라들 (355) 이 개구부들 (322) 에 워드라인 피처들 (320) 로의 수축부들을 형성하는 것을 예시한다 - 즉, 중심 수직 구조 (330) 로부터 개구부들 (322) 을 통한 워드라인 피처들 (320) 의 유체 액세스 가능성 (도 3c에서 화살표들에 의해 표시된 바와 같음) 은 필라들 (355) 에 의해 억제된다. 일부 실시 예들에서, 인접한 폴리실리콘 필라들 사이의 수평 갭의 사이즈는 약 1 내지 20 ㎚이다. 유체 액세스 가능성의 이러한 감소는 워드라인 피처들 (320) 을 전도성 재료로 균일하게 충진하는 어려움을 증가시킨다.
도 4a 내지 도 4c는 3D NAND 구조체를 금속으로 충진하기 위해 수행될 수도 있는 방법들을 기술한다. 먼저 도 4a를 참조하면, 도 4a의 동작 402 및 동작 410이 ALD에 의해 핵생성 층 (nucleation layer) 을 증착하기 위해 수행된다. 본 명세서에 기술된 일부 실시 예들에서, 동작 402 및 동작 410이 동작 480에서의 후속 벌크 증착보다 보다 낮은 압력에서 수행된다. 예를 들면, 동작 402 및 동작 410은 약 10 토르 미만의 저압에서 수행될 수도 있다. 일부 예들에서, 동작 402 및 동작 410은 약 10 토르의 압력, 또는 약 3 토르의 압력에서 수행된다.
동작 402에서, 기판이 금속 함유 전구체에 노출된다. 이 동작이 “펄스” 또는 “도즈” 로 참조될 수도 있고, 본 명세서에서 상호교환가능하게 사용될 수도 있다. 금속-함유 전구체는 핵생성 층의 주요 컴포넌트, 및 많은 실시 예들에서 (반드시 그렇지는 않지만), 후속 증착 벌크 층이 될 금속을 포함한다.
핵생성 층들의 예들은 텅스텐 함유 핵생성 층들 및 몰리브덴 함유 핵생성 층들을 포함하고, 이들은 각각 텅스텐 함유 전구체들 및 몰리브덴 함유 전구체들을 사용한다. 텅스텐 함유 전구체들의 예들은 텅스텐 할라이드들 (halides) 및 텅스텐 옥시할라이드들 (oxyhalides) 을 포함한다. 텅스텐 할라이드들의 예들은 WF6 (tungsten hexafluoride), WCl6 (tungsten hexachloride), WCl5 (tungsten pentachloride), WCl4 (tungsten tetrachloride), WCl2 (tungsten dichloride) 를 포함하는 WClx (tungsten chlorides), WOCl4 (tungsten oxytetrachloride) 및 WO2Cl2 (tungsten dichloride dioxide) 와 같은 WOxCly (tungsten oxychlorides) 를 포함한다. 추가 예들은 W(CO)6 (tungsten hexacarbonyl) 및 MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (Ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 와 같은 유기 텅스텐 전구체들을 포함한다.
몰리브덴 함유 전구체들의 예들은 몰리브덴 할라이드들 및 몰리브덴 옥시할라이드들을 포함한다. 몰리브덴 할라이드들의 예들은 MoCl5 (molybdenum pentachloride) 및 MoF6 (molybdenum hexafluoride) 를 포함한다. 몰리브덴 옥시할라이드들의 예들은 MoO2Cl2 (molybdenum dichloride dioxide), MoOCl4 (molybdenum oxytetrachloride), 및 MoOF4 (molybdenum oxytetrafluoride) 를 포함한다.
금속 함유 전구체는 이들 화합물들의 조합을 포함할 수도 있다. 일부 실시 예들에서, 질소 (N2), 아르곤 (Ar), 헬륨 (He), 또는 다른 불활성 가스들과 같은, 캐리어 가스가 동작 402 동안 흐르게 될 수도 있다.
동작 402가 임의의 적합한 기간 동안 및 임의의 적합한 온도에서 수행될 수도 있다. 일부 예들에서, 동작 402가 약 0.25 초 내지 약 30 초, 약 0.25 초 내지 약 5 초, 또는 약 0.5 초 내지 약 3 초의 지속기간 동안 수행될 수도 있다. 이 동작은 일부 실시 예들에서 기판의 표면 상의 활성 사이트들을 포화시키기에 충분한 지속기간 동안 수행될 수도 있다.
동작 404에서, 챔버가 기판의 표면에 흡착되지 않은 과잉 전구체를 제거하도록 퍼지된다. 퍼지가 상기 기술된 바와 같이, 2 개 이상의 축적기들과 급속 플러시 퍼지로 수행될 수도 있다. 퍼지 가스가 챔버 압력보다 높은 압력으로 제 1 축적기로부터 챔버 내로 흐르게 될 수도 있고, 이어서 제 2 축적기로부터 부가적인 퍼지 가스를 챔버 내로 흐르게 할 수도 있다. 동작 404가 임의의 적법한 지속기간 동안 수행될 수도 있다. 예시적인 지속기간들은 약 0.5 초 내지 약 25 초 또는 약 0.5 초 내지 약 5 초를 포함한다. 제 2 축적기로부터의 퍼지 가스가 제 1 축적기로부터 퍼지 가스를 흐르게 한 후 약 2 초, 약 1 초, 또는 약 0.5 초 흐르게 될 수도 있다. 퍼지 가스가 축적기 각각으로부터 흐른 후, 챔버는 재가압될 수도 있다.
동작 406에서, 기판이 핵생성 층을 증착하기 위해 공반응물질에 노출된다. 일부 실시 예들에서, 공반응물질이 수소 (H2), 보란 (borane), 실란 (silane), 또는 게르만 (germane) 과 같은 환원제이다. 예시적인 보란들은 보란 (borane) (BH3), 다이보란 (diborane) (B2H6), 트리보란 (triborane), 알킬 보란들 (alkyl boranes), 아미노보란들 (aminoboranes), 카보보란들 (carboboranes), 및 할로보란 (haloborane) 을 포함한다. 예시적인 실란들은 실란 (silane) (SiH4), 다이실란 (disilane) (Si2H6), 트리실란 (trisilane) (Si3H8), 알킬 실란들 (alkyl silanes), 아미노실란들 (aminosilanes), 카보실란들 (carbosilanes), 및 할로실란 (halosilane) 을 포함한다. 게르만들은 GenHn+4, GenHn+6, GenHn+8, 및 GenHm을 포함하고, 여기서 n은 1부터 10까지의 정수이고, n은 m과 상이한 정수이다. 다른 게르만들 예를 들면, 알킬 게르만들 (alkyl germanes), 아미노게르만들 (aminogermanes), 카보게르만들 (carbogermanes), 및 할로게르만들 (halogermanes) 가 또한 사용될 수도 있다. 일반적으로, 할로게르만들은 충분한 환원 포텐셜을 가지지 않을 수도 있지만 할로게르만들을 사용하여 막 형성에 적합한 프로세스 조건들 및 전구체들이 있을 수도 있다.
일부 실시 예들에서, 비정질 핵생성 층이 금속 할라이드 또는 금속 옥시할라이드 전구체 및 암모니아 (NH3) 와 같은 질소 함유 환원제를 사용하여 증착될 수도 있다. 이러한 핵생성 층들은 2019년 1월 28일에 출원된 미국 특허 가출원 제 62/797,860 호에 기술되어 있고 금속 옥시나이트라이드 (metal oxynitride) 또는 금속 나이트라이드 (metal nitride) 핵생성 층들을 특징으로 할 수도 있다. 금속 옥시나이트라이드 또는 금속 나이트라이드 핵생성 층들이 벌크 층의 증착 동안을 포함하는 후속 프로세싱에서 금속 층들로 변환될 수도 있다.
동작 406이 임의의 적합한 지속기간 동안 수행될 수도 있다. 예시적인 지속기간들은 약 0.25 초 내지 약 30 초, 약 0.25 초 내지 약 5 초, 또는 약 0.5 초 내지 약 3 초를 포함한다. 일부 실시 예들에서, 이 동작은 기판의 표면 상의 금속 함유 전구체의 흡착 층과 반응하기에 충분할 수도 있다. 동작 406이 이들 예시적인 범위들 밖의 지속기간 동안 수행될 수도 있다. 일부 실시 예들에서, 예를 들면, 아르곤 (Ar), 헬륨 (He), 또는 질소 (N2) 와 같은 캐리어 가스가 사용될 수도 있다.
동작 408 후, 피처의 표면 상의 금속 함유 전구체와 반응하지 않는 아직 가스상인 과잉 공반응물질을 퍼지하기 위한 퍼지 단계가 있다. 상기 기술된 바와 같이, 퍼지가 2 개 이상의 축적기들을 사용한 급속 플러시 퍼지로서 수행될 수도 있다. 퍼지 가스가 챔버 압력보다 높은 압력으로 제 1 축적기로부터 챔버 내로 흐르게 될 수도 있고, 이어서 제 2 축적기로부터 챔버 내로 부가적인 퍼지 가스를 흐르게 할 수도 있다. 동작 404가 임의의 적합한 지속기간 동안 수행될 수도 있다. 예시적인 지속기간들은 약 0.5 초 내지 약 25 초 또는 약 0.5 초 내지 약 5 초를 포함한다. 제 2 축적기로부터의 퍼지 가스가 제 1 축적기로부터 퍼지 가스를 흐르게 한 후 약 2 초, 약 1 초, 또는 약 0.5 초 흐르게 될 수도 있다. 퍼지 가스가 축적기 각각으로부터 흐른 후, 챔버가 재가압될 수도 있다.
동작 402 내지 동작 408의 반복 각각이 ALD 사이클로 참조될 수도 있다. 금속 함유 전구체 및 공반응물질 도즈들을 선택 가능하게 (optionally) 분리하는 퍼지들과 함께 공반응물질이 특정 사이클에서 먼저 도입되도록 동작 402 및 동작 406 의 순서가 역전될 수도 있다는 것이 이해될 것이다. 동작 410 에서, 핵생성 층이 적절한 두께로 또는 미리 설정된 수의 사이클들로 증착되었는지 여추가 결정된다. 그렇지 않으면, 동작 402 내지 동작 408 이 반복된다.
핵생성 층이 적절한 두께로 증착된 후, 동작 480에서, 벌크 금속이 하기에 기술된 바와 같이 증착된다. 다양한 실시 예들에서, 동작 480은 동작 402 및 동작 410 동안 압력보다 큰 압력에서 수행될 수도 있다. 예를 들면, 동작 480이 약 3 토르 이상의 압력, 예를 들면 약 10 토르, 약 40 토르 또는 약 100 토르에서 수행될 수도 있다. 일부 실시 예들에서, 핵생성 층 및 벌크 층 증착 모두 동안의 압력은 약 30 내지 40 토르, 또는 10 토르일 수도 있다. 다른 실시 예들에서, 동일한 압력이 사용될 수도 있고 그리고/또는 보다 낮은 압력이 동작 480에서 사용될 수도 있다.
도 4b는 동작 480 동안 수행될 수도 있는 동작들에 대한 프로세스 흐름도를 제공한다. 도 4b의 동작들이 도 4a의 동작들을 수행하지 않고 수행될 수도 있음을 주의한다. 즉, 일부 실시 예들에서, 도 4b의 방법은 먼저 핵생성 층을 증착하지 않고 수행될 수도 있다.
도 4b에서, 동작 482에서, 기판이 공반응물질에 노출된다. 일부 실시 예들에서, 이는 또 다른 반응물질을 흐르게 하지 않고 펄싱될 수도 있는, H2와 같은 환원제이다. 공반응물질 펄스가 동작 482 및 동작 488에 의해 규정된 사이클에서 제 1 펄스로 기술되지만, 일부 실시 예들에서, 동작 482 및 동작 486의 순서가 금속 함유 전구체가 먼저일 수도 있도록 역전될 수도 있다. 동작 482는 표면 상의 H2 분자들의 흡착 및/또는 막의 부-단층의 단층을 형성하기 위해 금속 함유 전구체 분자들과의 반응을 수반할 수도 있다.
일부 실시 예들에서, 캐리어 가스가 흐르게 될 수도 있다. 캐리어 가스는 도 4a의 동작 404에 대해 상기 기술된 것들 중 임의의 것일 수도 있다. 동작 482 이 임의의 적법한 지속기간 동안 수행될 수도 있다. 일부 예들에서, 예시적인 지속기간들은 약 0.25 초 내지 약 30 초, 약 0.25 초 내지 약 5 초, 또는 약 0.5 초 내지 약 3 초를 포함한다.
도 4b를 다시 참조하면, 동작 484에서, 챔버가 퍼지된다. 이 퍼지 동작은 가스상으로 잔류하는 과잉 공반응물질을 제거할 수도 있다. 상기 기술된 바와 같이, 퍼지가 급속 플러시 퍼지에 의해 수행될 수도 있고, 이어서 또 다른 가스 노출을 시작하기 전에 챔버를 재가압할 수도 있다. 챔버가 임의의 적합한 지속기간 동안, 예를 들면, 약 0.1 초 내지 약 3 초 사이의 지속기간 동안 퍼지될 수도 있다. 동작 486에서, 기판이 금속 함유 전구체에 노출된다. 이는 기판 상에 막의 부-단층 또는 단층을 형성할 수도 있다. 다양한 실시 예들에서, 금속 함유 전구체가 약 0.1 초 내지 약 3 초, 또는 약 0.5 초의 지속기간 동안의 이 동작 동안 챔버로 흐르게 된다. 일부 실시 예들에서, 금속 함유 전구체가 도징 전에 가스 라인을 충진하고 라인 충전 (line charge) 하도록 전환될 수도 있다.
금속 전구체들의 예들은 WF6, WCl6, WCl5, WCl4, WCl2 를 포함하는 WClx, 및 WOCl4 및 WO2Cl2와 같은 WOxCly를 포함한다. 추가 예들은 W(CO)6 및 MDNOW 및 EDNOW를 포함한다. 추가 예들은 몰리브덴 할라이드들 및 몰리브덴 옥시할라이드들과 같은 몰리브덴 함유 전구체들을 포함한다. 몰리브덴 할라이드들의 예들은 MoCl5 및 MoCl6를 포함한다. 몰리브덴 옥시할라이드들의 예들은 MoO2Cl2 및 MoOCl4를 포함한다.
도 4b의 동작 488에서, 챔버가 챔버로부터 반응된 부산물들 및 가스상 금속 함유 전구체를 제거하도록 퍼지된다. 퍼지가 상기 기술된 바와 같이 급속 플러시 퍼지일 수도 있다. 일부 실시 예들에서, 퍼지 지속기간은 약 0.1 초 내지 약 2 초이다.
도 4b의 동작 490에서, 벌크 금속이 적절한 두께로 증착되었는지 또는 미리 설정된 수의 사이클들이 완료되었는지가 결정된다. 그렇지 않으면, 목표된 두께가 증착될 때까지 동작 482 내지 동작 488이 반복된다. 일부 실시 예들에서, 동작 482 내지 동작 488이 피처가 충진될 때까지 반복된다.
동작 480 에서 사용된 금속 함유 전구체는 핵생성 층 증착에 사용된 것과 동일하거나 상이할 수도 있다. 상이하다면, 이는 동일하거나 상이한 금속을 포함할 수도 있으며, 예를 들면, 텅스텐 벌크 층이 일부 실시 예들에서 텅스텐 핵생성 층 상에 또는 일부 실시 예들에서 몰리브덴 핵생성 층 상에 증착될 수도 있다.
후술할 예는 다양한 실시 예들의 양태들을 추가로 예시하도록 제공된다. 이 예가 양태들을 실증하고 보다 명확하게 예시하도록 제공되며 제한하려는 의도가 아니다. 후술할 표들은 본 명세서 개시된 실시 예들을 사용하여 ALD 텅스텐 증착의 다양한 양태들을 예시한다.
아래의 표 1 및 표 2는 각각 급속 플러시 퍼지가 없고 급속 플러시 퍼지를 사용한 텅스텐의 핵생성 층의 증착을 위한 타이밍도들이다. 전환은 예를 들면, 저압 컨테이너와 같은 챔버가 아닌 다른 곳으로 반응물질들을 흐르게 하는 것을 나타낸다. LC는 라인 충전 (line charge) 을 나타내며, 이는 챔버로 가스를 제공하는 축적기에서의 압력을 구축하는 것을 지칭한다. 일부 실시 예들에서 반응 가스 및 퍼지 가스를 포함하는 가스 각각은 고유의 축적기를 갖는다. 도즈는 표의 왼쪽에 있는 반응물질들인 다이보란/실란 또는 WF6가 챔버 내로 흐르게 하는 것을 나타낸다. 퍼지는 챔버 내로 퍼지 가스가 흐르게 하는 것을 나타낸다. 수직 정렬된 프로세스들은 두 동작들이 동시에 발생함을 나타낸다.
급속 플러시 퍼지가 없는 핵생성 층에 대한 단일 ALD 사이클에 대한 총 시간이 54 초이고, 그 중 39 초는 챔버를 퍼징하는 것으로 구성된다. 이와 대조적으로, 본 명세서에 기술된 급속 플러시 퍼지를 사용한 단일 ALD 사이클은 25 초가 걸리고, 이는 부-단층을 증착하기 위한 사이클 시간의 54 % 향상이다. 급속 플러시 퍼지를 사용하는 것은 ALD 사이클 각각에서 단 10 초가 필요하며, 급속 플러시 퍼지를 사용하지 않는 것보다 큰 향상이다.
Figure pct00001
Figure pct00002
표 3은 급속 플러시 퍼지가 단일 퍼지 동작 동안 복수 회 2 개의 축적기들로부터 퍼지 가스를 흐르게 하는 것을 수반하는 실시 예들에 대한 흐름도이다. H2 도즈 또는 WF6 도즈에 이어, 퍼지 가스가 제 1 축적기로부터 흐르게 될 수도 있고, 이어서 제 2 축적기로부터 흐르게 될 수도 있고 이후 다시 제 1 축적기 및 제 2 축적기로부터 흐르게 될 수도 있다. 축적기 각각이 다음 반응물질이 챔버 내로 흐르게 되기 전에 복수 회 퍼지 가스의 도즈를 제공하도록 사용될 수도 있다. 일부 실시 예들에서 축적기들이 챔버 내로 퍼지 가스를 반복적으로 흐르게 하도록 사이클링된다. 일부 실시 예들에서 퍼지 가스가 n 회 일 축적기로부터 흐르게 되고 퍼지 가스가 m 회 또 다른 축적기로부터 흐르게 되고, 여기서 n은 m 과 같을 수도 있거나 다를 수도 있다.
Figure pct00003
표 4는 급속 플러시 퍼지를 사용하고 급속 플러시 퍼지를 사용하지 않고 핵생성 층이 증착된 텅스텐의 재료 특성들의 비교를 도시한다. 증착 프로세스 각각의 타이밍들이 상기 표 1 및 표 2에 도시된 바와 같다. 핵생성 증착 프로세스들 모두에서 반응 가스들이 또한 반응 가스 각각의 상이한 축적기를 사용하여 가압된다. 핵생성 층 증착은 급속 플러시 퍼지 프로세스 및 비-급속 플러시 퍼지 프로세스와 상이하지만, 벌크 층 증착 프로세스는 모두 동일하고 급속 플러시 퍼지를 사용하지 않는다. 아르곤이 퍼지 가스로 사용된다. 핵생성 층을 위한 ALD 사이클이 5 회 반복되고, 벌크 층 증착 사이클은 1200 회 반복되었다. 표에 도시된 바와 같이, 급속 플러시 퍼지를 사용하여 증착된 텅스텐은 낮은 저항률, 증가된 응력, 증가된 두께, 모두 증착을 위한 감소된 시간 프레임을 발생시킨다. Ar1 및 Ar2는 각각 제 1 축적기 또는 제 2 축적기로부터 흐르는 가스를 지칭한다.
Figure pct00004
표 5는 핵생성 층 프로세스는 동일하게 유지되지만 벌크 층 프로세스가 도시된 바와 같이 변화된 증착된 텅스텐의 재료 특성들의 비교를 입증한다. 0.9 초 급속 플러시 벌크 ALD 프로세스는 프로세싱 챔버 내로 수소를 흐르게 한 후 급속 플러시 퍼지를 사용하며, 1.1 초 급속 플러시 퍼지는 프로세싱 챔버 내로 텅스텐 전구체를 흐르게 한 후 뿐만 아니라 수소를 흐르게 한 후 급속 플러시 퍼지를 사용한다. Ar1 및 Ar2는 각각 제 1 축적기 및 제 2 축적기를 지칭한다. 벌크 프로세스의 ALD 사이클 각각은 사이클 당 0.77 초, 0.9 초, 또는 1.1 초가 걸린다. 결과적인 응력, 플루오린 레벨, 및 막 각각의 보이드 백분율은 본 명세서에 기술된 바와 같은 급속 플러시 프로세스를 사용하는 것은 증착된 막의 이들 특성들 각각을 감소시킨다는 것을 입증하며, 이들 모두는 바람직하다.
Figure pct00005
장치
임의의 적법한 챔버가 개시된 실시 예들을 구현하기 위해 사용될 수도 있다. 예시적인 증착 장치들은 다양한 시스템들, 예를 들면, 캘리포니아, 프레몬트 소재의 Lam Research Corp.로부터 입수 가능한 ALTUS® 및 ALTUS® Max, 또는 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들 중 임의의 것을 포함한다. 일부 실시 예들에서, ALD (atomic Layer Deposition) 가 단일 증착 챔버 내에 포지셔닝된 (positioned) 2 개, 5 개, 또는 보다 많은 증착 스테이션들 중 하나인 제 1 스테이션에서 수행될 수도 있다. 따라서, 예를 들면, 수소 (H2) 및 WF6 (tungsten hexafluoride) 또는 다른 금속 함유 전구체가 기판 표면에서 국부적 대기를 생성하는 개별 가스 공급 시스템을 사용하여 제 1 스테이션에서, 반도체 기판의 표면에 교번하는 펄스들로 도입될 수도 있다. 또 다른 스테이션이 텅스텐 벌크 층 증착을 위해 사용될 수도 있다. 2 개 이상의 스테이션들이 병렬 프로세싱에서 텅스텐을 증착하도록 사용될 수도 있다. 대안적으로 웨이퍼가 2 개 이상의 스테이션들에서 순차적으로 수행되는 동작들을 갖도록 인덱싱될 (indexed) 수도 있다.
장치는 도 5에 개략적으로 도시된 바와 같이 다양한 가스 분배 라인들에 라인 충전들을 제공하는, 가스 매니폴드 시스템을 포함할 수도 있다. 매니폴드 (504) 는 축적기 (미도시) 를 포함할 수도 있는 금속 함유 전구체 가스의 소스 (501) 로부터 입력들을 갖는다. 매니폴드 (511) 는 축적기 (미도시) 를 포함할 수도 있는 수소 (H2) 또는 다른 환원 가스 (미도시) 의 소스로부터 입력 (509) 을 갖는다. 상기 기술된 바와 같이, 캐리어 가스에서부터 매니폴드 (511) 로의 입력이 있을 수도 또는 없을 수도 있다. 매니폴드 (521) 는 제 1 축적기 (519) 및 제 2 축적기 (520) 로부터의 입력을 갖는다. 제 1 축적기 (519) 및 제 2 축적기 (520) 는 불활성 퍼지 가스 (518) 의 소스로부터의 입력들을 갖는다. 매니폴드들 (504, 511 및 521) 은 금속 함유 전구체 가스, 공반응물질 가스, 및 퍼지 가스를 밸브가 있는 분배 라인들 (505, 513 및 525) 각각을 통해 증착 챔버에 제공한다. 다양한 밸브들이 라인 충전을 제공하기 위해, 즉 분배 라인들을 가압하기 위해 개방될 수도 또는 폐쇄될 수도 있다. 예를 들면, 분배 라인 (505) 을 가압하기 위해, 밸브 (506) 가 진공으로 폐쇄 되고 밸브 (508) 도 폐쇄된다. 시간의 적합한 증가 후, 밸브 (508) 가 개방되고 공 플로우 (co-flow) 가스가 챔버로 전달된다. 가스의 전달을 위한 적합한 시간 후, 밸브 (508) 가 폐쇄 된다. 챔버가 이후 진공으로 밸브 (506) 를 개방함으로써 진공으로 퍼지될 수 있다.
유사한 프로세스들이 환원 가스를 전달하기 위해 사용될 수 있다. 예를 들면, 환원 가스를 도입하기 위해, 분배 라인 (513) 이 진공으로 밸브 (517) 를 폐쇄하고 밸브 (515) 를 폐쇄함으로써 차지된다. 밸브 (515) 의 개방은 챔버로 환원 가스의 전달을 허용한다.
유사하게, 퍼지 가스를 도입하기 위해, 분배 라인 (525) 이 밸브 (527) 를 폐쇄하고 진공으로 밸브 (523) 를 폐쇄함으로써 차지된다. 밸브 (527) 의 개방은 아르곤 또는 다른 불활성 퍼지 가스를 챔버로 전달하게 허용한다. 밸브들 (528 및 530) 이 불활성 퍼지 가스 (518) 의 소스로부터 축적기들 (519 및 520) 로 퍼지 가스를 도입하기 위해 개방되거나 폐쇄될 수도 있다. 라인 충전들을 위해 허용된 시간의 양은 가스의 초기 전달의 양 및 타이밍을 변경한다. 밸브들 (530 및 531) 이 각각 축적기들 (519 및 520) 로부터 퍼지 가스를 도입하기 위해 개방될 수도 있거나 폐쇄될 수도 있다. 밸브 (530) 및/또는 밸브 (531) 의 개방은 다양한 실시 예들과 관련하여 상기 기술된 바와 같이 퍼지 가스의 전달의 양과 타이밍을 변화시킨다.
도 5는 또한 밸브들 (506, 517 및 523) 각각이 시스템을 퍼지하기 위해 개방될 수 있는 진공 펌프들을 도시한다. 다양한 분배 라인들을 통한 가스의 공급이 플로우 레이트들, 플로우의 지속기간, 및 프로세스들의 시퀀스로 프로그래밍된, 마이크로프로세서, 디지털 신호 프로세서 등에 의해 제어되는 질량 유량 제어기와 같은, 제어기에 의해 제어된다.
상기 기술된 프로세스들은 증착 동안 반도체 기판에 시약의 펄스들을 공급하는 밸브들 및 MFC들 (질량 유량 제어기들) 의 정밀한 타이밍을 필요로 할 수도 있다는 것을 주의한다. 이를 가능하게 하는 일 방식에서, 밸브 및 MFC 커맨드들이 증착 시퀀스의 전부 또는 일부에 대해 모든 시간 임계 커맨드들에 대한 인스트럭션들을 포함하는 정보의 이산 패킷들로 임베딩된 (embedded) 디지털 입력-출력 제어기들 (IOC) 에 전달된다. Lam Research의 ALTUS 시스템들은 적어도 하나의 IOC 시퀀스를 제공한다. IOC들은 장치 내의 다양한 지점들; 예를 들어, 프로세스 모듈 내 또는 프로세스 모듈로부터 어느 정도 이격된 독립형 전력 랙 상에 물리적으로 위치될 수 있다. 모듈 각각에 복수의 IOC들 (예를 들어, 모듈 당 3 개) 이 있을 수도 있다. 시퀀스에 포함된 실제 인스트럭션들에 대해, 밸브들을 제어하고 (모든 캐리어 및 반응 물질 가스들에 대해) MFC들에 대한 플로우를 설정하기 위한 모든 커맨드들이 단일 IOC 시퀀스에 포함될 수도 있다. 이는 모든 디바이스들의 타이밍이 절대적인 관점으로부터 그리고 또한 서로 상대적으로 엄격하게 제어된다는 것을 보장한다. 통상적으로 임의의 주어진 시간에 실행하는 복수의 IOC 시퀀스들이 있다. 이는 말하자면, ALD로 하여금 이들 스테이션들에서 ALD 핵생성 층을 증착하기 위해 필요한 모든 하드웨어 컴포넌트들에 대해 제어된 모든 타이밍으로 스테이션 1 및 스테이션 2에서 실행되게 한다. 제 2 시퀀스가 동일한 모듈의 다른 증착 스테이션들에서 벌크 금속을 증착하도록 동시에 실행될 수도 있다. 스테이션 3 및 스테이션 4로 시약들의 전달을 제어하는 디바이스들의 상대적인 타이밍은 디바이스들의 그룹에서 중요하지만, 스테이션 1 및 스테이션 2에서 ALD 프로세스의 상대적인 타이밍이 스테이션 3 및 스테이션 4의 상대적인 타이밍으로부터 오프셋될 수 있다. IOC는 패킷화된 시퀀스로 정보를 변환하고, 밸브들을 제어하는 MFC 또는 공압 솔레노이드 뱅크들에 직접 디지털 또는 아날로그 커맨드 신호들을 전달한다.
금속 함유 전구체 가스의 펄스는 다음과 같이 생성될 수도 있다. 처음에, 시스템은 MFC 또는 다른 플로우-제어 디바이스가 안정화되는 동안 시간의 기간 동안 진공 펌프로 WF6를 방향 전환한다. 이는 일 예에서 약 0.5 내지 5 초의 기간 동안 수행될 수도 있다. 다음에, 시스템은 진공으로의 밸브 (506) 및 증착 챔버로의 밸브 (508) 모두를 폐쇄함으로써 텅스텐 가스 전달 매니폴드를 가압한다. 이는 예를 들어, 증착 챔버로의 밸브가 개방될 때 시약의 최초 버스트 (burst) 를 생성하기 위해 약 0.1 내지 5 초의 기간 동안 수행될 수도 있다. 이는 일 예에서 약 0.1 내지 10 초 동안 밸브 (508) 를 개방함으로써 달성된다.
그 후, 텅스텐 함유 가스는 적합한 퍼지 가스를 사용하여 증착 챔버로부터 퍼지된다. 상기와 유사하게, 시스템은 밸브 (523) 및 밸브 (527) 를 폐쇄함으로써 퍼지 가스 전달 매니폴드를 가압할 수도 있다. 밸브 (530) 및 밸브 (531) 는 또한 축적기 (519 및 520) 로 하여금 가압되게 하도록 폐쇄된다. 이는 예를 들어, 증착 챔버로의 밸브가 개방될 때 증착 챔버로부터 시약을 신속하게 플러싱하도록 (flush), 약 0.1 내지 5 초의 기간 동안 수행될 수도 있다. 밸브 (527) 가 증착 챔버로 개방될 때, 밸브 (530) 는 증착 챔버 내로 퍼지 가스의 질량 플로우를 상승시키기 위해 동시에 또는 그 직후 개방된다. 이어서, 밸브 (531) 는 증착 챔버로 퍼지 가스의 매스 플로우를 증가시키기 위해 밸브 (530) 가 개방된 후 약 0.1 초 내지 5 초에 개방된다. 다른 시약들의 펄스 플로우도 유사한 방식으로 수행될 수도 있다.
도 6은 실시 예들에 따른 증착 프로세스들을 수행하기 적합한 프로세스 시스템의 개략도이다. 시스템 (600) 은 이송 모듈 (603) 을 포함한다. 이송 모듈 (603) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 세정, 가압된 분위기를 제공한다. 다양한 실시 예들에 따라 ALD 및 CVD를 수행할 수 있는 멀티-스테이션 반응기 (609) 가 이송 모듈 (603) 상에 장착된다. 멀티-스테이션 반응기 (609) 는 개시된 실시 예들에 따른 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (611, 613, 615, 및 617) 을 포함할 수도 있다. 예를 들어, 멀티-스테이션 반응기 (609) 는 스테이션 (611) 이 금속 할라이드 또는 금속 옥시 할라이드를 사용하여 핵생성 층 증착을 수행하고, 그리고 스테이션 (613) 이 다양한 실시 예들에 따른 ALD 벌크 증착 동작을 수행하도록 구성될 수도 있다.
스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 확산 플레이트 (dispersion plate) 를 포함할 수도 있다. 기판 지지부 (702) 및 샤워헤드 (703) 를 포함하는, 증착 스테이션 (700) 의 일 예가 도 7에 도시된다. 히터가 페데스탈 부분 (701) 에 제공될 수도 있다.
도 6을 다시 참조하면, 플라즈마 또는 화학적 (비플라즈마) 사전-세정들, 다른 증착 동작들, 또는 에칭 동작들을 수행할 수 있는 하나 이상의 단일 스테이션 모듈들 또는 멀티-스테이션 모듈들 (607) 이 또한 이송 모듈 (603) 상에 장착될 수도 있다. 모듈은 또한 예를 들어, 증착 프로세스를 위해 기판을 준비하도록 다양한 처리들에 사용될 수도 있다. 시스템 (600) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는, 하나 이상의 웨이퍼 소스 모듈들 (601) 을 포함한다. 대기 이송 챔버 (619) 의 대기 로봇 (미도시) 이 소스 모듈들 (601) 로부터 로드록들 (621) 로 웨이퍼들을 먼저 제거할 수도 있다. 이송 모듈 (603) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 가 로드록들 (621) 로부터 이송 모듈 (603) 상에 장착된 모듈들로 그리고 이들 사이에서 웨이퍼들을 이동시킨다.
다양한 실시 예들에서, 시스템 제어기 (629) 가 증착 동안 프로세스 조건들을 제어하도록 채용된다. 제어기 (629) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서가 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기 (629) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (629) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF (Radio Frequency) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 일부 실시 예들에서 제어기 (629) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 제어기 (629) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드코딩될 수도 있고, 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 “프로그래밍”에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들, 주문형 집적 회로 (application-specific integrated circuits), 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능 프로그램 언어로 코딩될 수도 있다.
프로세스 시퀀스의 환원제 펄스들, 수소 플로우, 금속 함유 전구체 펄스들, 불활성 퍼지 가스 플로우, 그리고 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도, 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 레시피의 형태로 사용자들에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (629) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (600) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 개시된 실시 예들에 따른 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 히터 제어 코드를 포함한다.
일부 구현 예들에서, 제어기 (629) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, “제어기”로서 지칭될 수도 있다. 제어기 (629) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 일부 시스템들에서 RF (무선 주파수) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASIC들 (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (629) 는, 일부 구현 예들에서, 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (629) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 “클라우드” 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 동작하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
제어기 (629) 는 다양한 프로그램들을 포함할 수도 있다. 기판 포지셔닝 프로그램은 기판을 페데스탈 또는 척 상으로 로딩하도록 그리고 기판과 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성, 플로우 레이트들, 펄스 시간들을 제어하기 위한, 그리고 선택 가능하게 챔버 내 압력을 안정화하기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조절함으로써 챔버의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 마노미터들 (manometers) 과 같은 압력 센서들, 및 페데스탈 또는 척에 위치된 써모커플들 (thermocouples) 을 포함한다. 적법하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴의 개시된 실시 예들의 구현 예를 기술한다. 본 명세서에 기술된 장치 및 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들, 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계 각각이 다수의 가능한 툴들과 함께 제공된, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 퍼니스 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계 중 일부 또는 전부를 포함한다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 한정되지 않을 것이다.

Claims (20)

100 토르 (torr) 미만의 챔버 압력을 갖는 챔버에 반도체 기판을 제공하는 단계로서, 상기 반도체 기판은 측벽들 및 상기 측벽들의 복수의 개구부들로서 상기 개구부들을 통해 유체로 액세스 가능한 복수의 내부 영역들을 갖는 복수의 피처들로 이어지는 상기 복수의 개구부들을 포함하는 부분적으로 제조된 3-D (three-dimensional) NAND 구조체를 포함하는, 상기 반도체 기판 제공 단계;
복수의 ALD 사이클들에 의해 상기 반도체 기판 상에 재료를 증착하는 단계로서, 사이클 각각은
환원제;
제 1 퍼지 가스;
텅스텐 전구체; 및
제 2 퍼지 가스를 순차적으로 상기 챔버 내로 흘리는 단계를 포함하는, 상기 증착하는 단계를 포함하고,
상기 제 1 퍼지 가스 및 상기 제 2 퍼지 가스를 흘리는 단계는 제 1 충전 압력으로 제 1 축적기 (accumulator) 로부터 퍼지 가스를 흘리는 단계에, 이어서 상기 제 1 축적기로부터 상기 퍼지 가스를 흘리는 단계의 5 초 이내에 제 2 충전 압력으로 제 2 축적기로부터 퍼지 가스를 흘리는 단계를 포함하고, 상기 제 1 충전 압력 및 상기 제 2 충전 압력은 400 토르 내지 1000 토르인, 방법.
챔버 압력을 갖는 챔버에 반도체 기판을 제공하는 단계;
복수의 ALD 사이클들에 의해 상기 반도체 기판 상에 재료를 증착하는 단계로서, 사이클 각각은
반응물질; 및
퍼지 가스를 순차적으로 상기 챔버 내로 흘리는 단계를 포함하는, 상기 재료 증착 단계를 포함하고,
상기 퍼지 가스를 흘리는 단계는 제 1 충전 압력으로 제 1 축적기로부터 퍼지 가스를 흘리는 단계, 이어서 제 2 충전 압력으로 제 2 축적기로부터 퍼지 가스를 흘리는 단계를 포함하는, 방법.
제 2 항에 있어서,
상기 제 1 충전 압력 및 상기 제 2 충전 압력은 상기 챔버 압력보다 적어도 2 배 보다 큰, 방법.
제 2 항에 있어서,
상기 퍼지 가스 또는 상기 제 2 퍼지 가스를 흐르게 하기 전 상기 챔버 압력은 약 100 토르 미만인, 방법.
제 2 항에 있어서,
상기 제 1 충전 압력 및 상기 제 2 충전 압력은 약 400 토르 내지 약 1000 토르인, 방법.
제 2 항에 있어서,
상기 퍼지 가스는 헬륨, 질소, 아르곤, 또는 제논인, 방법.
제 2 항에 있어서,
상기 반응물질은 환원제를 포함하는, 방법.
제 7 항에 있어서,
상기 환원제는 B2H6, SiH4, 또는 H2인, 방법.
제 2 항에 있어서,
상기 반응물질은 금속 전구체를 포함하는, 방법.
제 9 항에 있어서,
상기 금속 전구체는 금속 할라이드인, 방법.
제 9 항에 있어서,
상기 금속 전구체는 금속 옥시할라이드인, 방법.
제 9 항에 있어서,
상기 금속 전구체는 텅스텐 전구체인, 방법.
제 12 항에 있어서,
상기 텅스텐 전구체는 WF6 (tungsten hexafluoride), WCl6 (tungsten hexachloride), WCl5 (tungsten pentachloride), WCl4 (tungsten tetrachloride), WCl2 (tungsten dichloride), WOCl4 (tungsten oxytetrachloride) 또는 WO2Cl2 (tungsten dichloride dioxide) 인, 방법.
제 9 항에 있어서,
상기 금속 전구체는 몰리브덴 전구체인, 방법.
제 14 항에 있어서,
상기 몰리브덴 전구체는 MoCl5 (molybdenum pentachloride), MoF6 (molybdenum hexafluoride), MoO2Cl2 (molybdenum dichloride dioxide), MoOCl4 (molybdenum oxytetrachloride), 및 MoOF4 (molybdenum oxytetrafluoride) 중 하나인, 방법.
제 2 항 내지 제 15 항 중 어느 한 항에 있어서,
상기 퍼지 가스를 흘리는 단계는 초크된 (choked) 플로우인, 방법.
제 2 항 내지 제 15 항 중 어느 한 항에 있어서,
상기 제 1 축적기로부터 퍼지 가스를 흘리는 단계는 적어도 부분적으로 초크된 플로우인, 방법.
제 17 항에 있어서,
상기 제 2 축적기로부터 퍼지 가스를 흘리는 단계는 상기 제 1 축적기로부터 퍼지 가스를 흘리는 단계의 상기 초크된 플로우 부분 동안 발생하는, 방법.
제 2 항 내지 제 15 항 중 어느 한 항에 있어서,
상기 제 2 축적기로부터 상기 퍼지 가스를 흘리는 단계는 상기 제 1 축적기로부터 퍼지 가스를 흘리게 하는 단계 후 5 초 미만에 발생하는, 방법.
제 2 항 내지 제 15항 중 어느 한 항에 있어서,
상기 반도체 기판은 측벽들 및 상기 측벽들의 복수의 개구부들로서 상기 개구부들을 통해 유체로 액세스 가능한 복수의 내부 영역들을 갖는 복수의 피처들로 이어지는 상기 복수의 개구부들을 포함하는 부분적으로 제조된 3-D (three-dimension) NAND 구조체를 포함하는, 방법.
KR1020217037765A 2019-04-19 2020-04-15 원자층 증착 동안 급속 플러시 퍼징 KR20210143943A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962836275P 2019-04-19 2019-04-19
US62/836,275 2019-04-19
PCT/US2020/028360 WO2020214732A1 (en) 2019-04-19 2020-04-15 Rapid flush purging during atomic layer deposition

Publications (1)

Publication Number Publication Date
KR20210143943A true KR20210143943A (ko) 2021-11-29

Family

ID=72836962

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217037765A KR20210143943A (ko) 2019-04-19 2020-04-15 원자층 증착 동안 급속 플러시 퍼징

Country Status (6)

Country Link
US (1) US20220186370A1 (ko)
JP (1) JP2022529056A (ko)
KR (1) KR20210143943A (ko)
CN (1) CN113728415A (ko)
SG (1) SG11202111547QA (ko)
WO (1) WO2020214732A1 (ko)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100538096B1 (ko) * 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US8278224B1 (en) * 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US10367080B2 (en) * 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
KR20200140391A (ko) * 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법

Also Published As

Publication number Publication date
WO2020214732A1 (en) 2020-10-22
CN113728415A (zh) 2021-11-30
JP2022529056A (ja) 2022-06-16
US20220186370A1 (en) 2022-06-16
SG11202111547QA (en) 2021-11-29

Similar Documents

Publication Publication Date Title
US11549175B2 (en) Method of depositing tungsten and other metals in 3D NAND structures
KR20230098530A (ko) 금속 충진 프로세스 동안 라인 벤딩을 방지하기 위한 방법
CN108597983B (zh) 利用催化剂控制在氧化硅上选择性沉积氮化硅
JP7092456B2 (ja) 連続cvdプロセスによる低フッ素タングステンの堆積
US20210313183A1 (en) Multi-layer feature fill
US11972952B2 (en) Atomic layer deposition on 3D NAND structures
JP2022546404A (ja) 金属の堆積
TW201825706A (zh) 間隙特徵部中原子層沉積輪廓調整用添加物
KR20220047333A (ko) 텅스텐 증착
KR20200014939A (ko) 텅스텐 나이트라이드 배리어 층 증착
KR20210143943A (ko) 원자층 증착 동안 급속 플러시 퍼징
KR20200127261A (ko) 탄소 막들의 원자 층 증착
US12002679B2 (en) High step coverage tungsten deposition
US20220181158A1 (en) High step coverage tungsten deposition
WO2023038905A1 (en) Process gas ramp during semiconductor processing
WO2024102866A1 (en) Pulse ald sequence for low fluorine wn deposition
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充
KR20230104071A (ko) 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들

Legal Events

Date Code Title Description
A201 Request for examination