JPH1116904A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JPH1116904A
JPH1116904A JP9169858A JP16985897A JPH1116904A JP H1116904 A JPH1116904 A JP H1116904A JP 9169858 A JP9169858 A JP 9169858A JP 16985897 A JP16985897 A JP 16985897A JP H1116904 A JPH1116904 A JP H1116904A
Authority
JP
Japan
Prior art keywords
bond
insulating film
interlayer insulating
film
sih
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9169858A
Other languages
English (en)
Inventor
Masazumi Matsuura
正純 松浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP9169858A priority Critical patent/JPH1116904A/ja
Priority to US08/987,099 priority patent/US6124641A/en
Priority to TW086119854A priority patent/TW351847B/zh
Priority to DE19804375A priority patent/DE19804375B4/de
Priority to DE19861329A priority patent/DE19861329B4/de
Priority to CNB981066267A priority patent/CN1160766C/zh
Priority to KR1019980004738A priority patent/KR100309662B1/ko
Publication of JPH1116904A publication Critical patent/JPH1116904A/ja
Priority to US09/526,175 priority patent/US6333278B1/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 従来の、シランと過酸化水素を混合ガスとし
て用いCVD法で形成したシリコン酸化膜では、上下配
線を接続する接続孔(ビア)において、ポイズンドビア
と称される配線不良が生じる。 【解決手段】 半導体装置の層間絶縁膜を、シリコン原
子を主要素とし、前記シリコン原子が酸素結合及び炭素
結合を有するとともに、少なくとも一部の前記シリコン
原子が水素結合を有する材料を用いて形成する。また、
層間絶縁膜の形成方法として、ガス分子構造としてシリ
コン原子が水素結合及び炭素結合を有する反応性ガスと
過酸化水素とによる混合ガスを用いて化学気相成長法に
より層間絶縁膜を形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、半導体装置とそ
の製造方法に関し、特に、層間絶縁膜の構造およびその
形成方法に関するものである。
【0002】
【従来の技術】半導体装置の層間絶縁膜の形成のため
に、シリコン化合物、例えばシランガス(SiH4)と、過
酸化水素(H2O2)とを用いてCVD(Chemical
vapor deposition)法により形成し
たシリコン酸化膜は、0.25μm以下の極微細な配線
間を埋め込むことが可能であり、さらに流動性に優れ、
それにより自己平坦化作用を示すことから、従来から使
用されていたSOG(Spin on glass)法
等に代わる次世代層間絶縁膜の平坦化手法として注目さ
れている。例えば、″NOVEL SELF-PLANARIZING CVD OXI
DE FOR INTERLAYER DIELECTRIC APPLICATIONS″(Techn
icaldigest of IEDM ´94)参照。
【0003】この方式によるシリコン酸化膜の形成は、
以下の化学式に従い行われる。まず、シランガス(SiH4)
が過酸化水素(H2O2)と酸化反応することによりシラノー
ル(Si(OH)4)が形成される(化学式(1‐1)〜(1‐
3))。形成されたシラノールは加水分解あるいは熱エ
ネルギーにより脱水重合反応をおこしシリコン酸化物
(SiO2)を生成する(化学式(2))。このような反応
がある基板上で行われた場合シリコン酸化膜を形成する
こととなる。 SiH4 + 2H2O2 → Si(OH)4 + 2H2 (1‐1) SiH4 + 3H2O2 → Si(OH)4 + 2H2O + H2 (1‐2) SiH4 + 4H2O2 → Si(OH)4 + 4H2O (1‐3) nSi(OH)4 → nSiO2 + 2nH2O (2)
【0004】図7は、上記方式を用いた従来の層間絶縁
膜の形成フローを示す模式図である。図7を参照して、
層間絶縁膜形成フローの説明を行う。先ず、図7(a)
において、1はシリコン基板とその上に形成された素子
及び絶縁層を含む半導体装置基板を示す。この基板1の
上に、アルミ配線2が形成されている。層間絶縁膜の形
成は、上記のようにアルミ配線2が施された基板1の上
に、まず、第1のプラズマ酸化膜3を形成する。次に、
第1のプラズマ酸化膜3を覆うように、前述したシラン
ガス(SiH4)および過酸化水素(H2O2)を用いたCVD法に
よるシリコン酸化膜4aを形成する。最後に、第2のプ
ラズマ酸化膜5を全体を覆うように形成し、平坦な層間
絶縁膜が形成される。
【0005】ところで、シランガス(SiH4)および過酸化
水素(H2O2)を用いたCVD法によるシリコン酸化膜は、
膜形成過程で生成されるシラノールが優れた流動性を持
つことにより、極微細な配線間の埋め込みを可能にし、
さらには、優れた自己平坦化特性を実現する。
【0006】さて、このようなシラノールから生成され
たシリコン酸化物の比誘電率は4.0〜5.0である。
近年のデバイスの微細化にともない、層間絶縁膜の容量
に起因した配線遅延の問題が深刻になってきている。こ
のため、今後の層間絶縁膜においては、その容量を減ら
すことが大命題となっている。特に、0.3μm以下と
微細になった配線間の容量を減少させることが重要であ
り、そのため、比誘電率が低く、かつ埋め込み性、平坦
化特性に優れた層間絶縁膜が求められている。
【0007】このような要求を満たす従来法として膜中
にメチル基を含む有機SOG(Spinon glass)がある。こ
の材料は、図8に示すような分子構造を有しており、シ
リコン原子の1つの結合手をメチル基で終端することに
よりSi-Oネットワークを分断し、その結果、膜密度を下
げることで低比誘電率化を実現している。例えば、“A
New Methylsilsesquioxane Spin-on-Polymer″(Procee
dings of The 48thSyposium on Semiconductors and In
tegrated circuits Technology)、及び ″New Reflowab
le Organic Spin-on Glass for Advanced Gap-filling
and Planarization″(Proceedings of VMIC Conference
l994)参照。
【0008】この材料においては、低誘電率化を行うた
めに多量のメチル基を混入する必要があり、これによっ
て引き起こされるポイズンドビアと呼ばれる配線の信頼
性不良が問題となっている。図9は、ポイズンドビア不
良の発生メカニズムを説明するための図である。図9に
おいて、1は素子及び絶縁膜が形成された基板、2は下
層のアルミ配線、3は第1のプラズマ酸化膜、4aは有
機SOG、5は第2のプラズマ酸化膜、6は窒化チタン
膜/チタン膜、7はタングステン膜、8は酸素プラズマ
による変性層、9はビア側壁から離脱してきた水分、1
0は空隙(ポイズンドビア)を示す。
【0009】
【発明が解決しようとする課題】ポイズンドビアは、上
下配線を接続する接続孔(ビア)10で起こる不良で、
ビア側壁に露出した有機SOG4aが、ビアを開口した
後のレジスト除去に使用される酸素プラズマに曝され変
成される、つまり、Si-CH3基が酸素プラズマによりSi-O
H基に変化し、外気からの水分の侵入を容易にすることに
より発生する。外気から侵入した水分は、例えばビア内
をCVD法によるタングステン7で埋め込む際に側壁か
ら放出され、ビア10内でのタングステン7の成長を阻
害する。この結果、ビア10における抵抗上昇あるいは
断線が生じ、配線の信頼性を著しく劣化させる。
【0010】本発明では、以上のような従来法における
問題を解決することを目的としている。詳しくは、メチ
ルシランなどの有機シランおよび過酸化水素(H2O2)を用
いたCVD法によるシリコン酸化膜において、ポイズン
ドビア不良を防ぎつつ、優れた埋め込み性能を実現する
とともに、形成された絶縁膜の比誘電率を低下させるこ
とを目的としている。
【0011】
【課題を解決するための手段】この発明の半導体装置
は、その層間絶縁膜が、シリコン原子を主要素とし、前
記シリコン原子が酸素結合及び炭素結合を有するととも
に、少なくとも一部の前記シリコン原子が水素結合を有
する材料により形成されたことを特徴とするものであ
る。
【0012】また、この発明の半導体装置は、前記炭素
結合がメチル基、エチル基又はビニル基のうちのいずれ
かとの結合であることを特徴とするものである。
【0013】次に、この発明の半導体装置の製造方法
は、ガス分子構造としてシリコン原子が水素結合及び炭
素結合を有する反応性ガスと過酸化水素とによる混合ガ
スを用いて化学気相成長法により層間絶縁膜を形成する
とともに、前記形成された層間絶縁膜が、シリコン原子
を主要素とし、前記シリコン原子が酸素結合及び炭素結
合を有するとともに、少なくとも一部の前記シリコン原
子が水素結合を有するように形成することを特徴とする
ものである。
【0014】また、この発明の半導体装置の製造方法
は、前記反応性ガスとして、有機シランを用いることを
特徴とするものである。また、この発明の半導体装置の
製造方法は、前記有機シランとして、メチルシラン、エ
チルシラン又はビニルシランのうちのいずれか又はその
混合物を主成分として用いることを特徴とするものであ
る。
【0015】
【発明の実施の形態】以下、図面を参照してこの発明の
実施の形態について説明する。なお、図中の同一の符号
は、同一又は相当部分を示す。 実施の形態1.図1は、この発明の実施の形態1による
半導体装置の製造方法、特にその層間絶縁膜を形成する
方法を示すフロー図であり、各工程での断面図を示して
いる。図1を参照して、その製造方法、特に層間絶縁膜
の形成のプロセスについて説明する。先ず、図1(a)
において、1は、シリコン基板とその上に形成された素
子及び絶縁層を含む半導体装置基板を示す。この基板1
の上に、アルミ配線2が形成されている。層間絶縁膜の
形成は、まず、アルミ配線2を有する基板1の上に、第
1のプラズマ酸化膜3を形成する。
【0016】この酸化膜は、プラズマCVD法で形成さ
れる。一般的な形成条件は、形成温度が300℃、圧力
が700mTorr(9.13Pa)、高周波パワーが50
0Wで、原料ガスにシラン(SiH4)と亜酸化窒素(N2O)
を用いている。形成された酸化膜の膜厚は1000Åで
ある。もちろんこの場合、原料ガスとしてTEOS(Te
traethoxysilane)と酸素を用い、プラズマCVD法に
より、一般には形成温度が400℃、圧力が5Torr(6
65Pa)、高周波パワーが500Wで形成されたプラズ
マ酸化膜を用いてもよい。
【0017】次に、図1(b)に示すように、第1のプ
ラズマ酸化膜3上に、メチルシラン(SiH3CH3)および過
酸化水素(H2O2)を用いたCVD法によるシリコン酸化膜
4(以下適宜、HMO膜と略す。)を形成する。次に、
図1(c)に示すように、CVD法によるシリコン酸化
膜4の上に、第2のプラズマ酸化膜5を形成する。この
第2のプラズマ酸化膜5の形成条件は、第1のプラズマ
酸化膜3と同一条件であってもよい。また、異なる条件
で形成してもよい。なお、図1(c)では示してはいな
いが、半導体装置の製造プロセスとしては、第2のプラ
ズマ酸化膜5の上層に第2のアルミ配線を形成する。さ
らにまた、下層配線と上層配線を接続する接続孔も形成
する。その他必要なプロセスを経て半導体装置を製造す
る。
【0018】以上説明したこの実施の形態の特徴は、C
VD法によるシリコン酸化膜4(HMO膜)の分子構造
およびその形成方法にある。ここで使用したメチルシラ
ンは、モノメチルシラン(SiH3CH3)である。代表的な
HMO膜の形成条件は以下のようである。
【0019】また、成膜可能な条件範囲は以下のようで
ある。 ・形成温度 −20〜20(℃) ・形成圧力 500〜2000(mTorr) (66.5〜226Pa) ・ガス流量 SiH3CH3 40〜200(SCCM) H2O2 0.4〜0.9g/分
【0020】この条件下で成膜は以下の化学反応式によ
ると考えられる。 SiH3CH3 + H2O2 → SiH2(OH)CH3 + H2O (3‐1) SiH3CH3 + 2H2O2 → SiH(OH)2CH3 + 2H2O (3‐2) SiH3CH3 + 3H2O2 → Si(OH)3CH3 + 3H2O (3‐3) nSiH(OH)2CH3 → nSiOH(CH3) + nH2O (4)
【0021】以上のような化学反応においては、まず、
モノメチルシラン(SiH3CH3)と過酸化水素(H2O2)との反
応によりSi-OH結合を含む中間体(SiH2(OH)CH3, SiH(O
H)2CH3およびSi(OH) 3CH3)が生じる(化学式(3‐1),(3‐
2)及び(3‐3))。その後、中間体中のSi‐OH基同士の脱
水縮合によりSi‐Oネットワークを成長させるが、この
過程に寄与する中間体はおもに化学式(3‐2)で生成さ
れたものであり、反応は化学式(4)のようになる。化
学式(3‐1)で形成された中間体は、Si‐Oネットワー
クを終端する際に反応に寄与する。また、確率的には少
ないが化学式(3‐3)で形成された中間体も成膜に寄与
する。
【0022】図2は、このような条件により形成したシ
リコン酸化膜の分子構造を模式的に示す図である。この
層間絶縁膜は、シリコン原子を主要素とし、これらシリ
コン原子が酸素結合及び炭素結合を有するとともに、少
なくとも一部のシリコン原子が水素結合を有するように
形成されている。そして、この場合、炭素結合はメチル
基との結合となっている。従来法である有機SOGで
は、図8に示したように、Si‐O結合およびSi‐CH3結合
により構成されている。これと対比して、本発明による
HMO膜は、Si‐O結合及びSi‐CH3結合ならびにSi‐H
結合により構成されている。この場合、従来法では存在
しないSi‐H結合が分子構造内に存在することから、有
機SOGの場合におけるSi‐CH3結合の一部がSi‐H結合
に置換されていることになり、Si‐CH3結合の含有量が
低濃度でも、同様な密度低下を引き起こすことが可能で
ある。よって、より低濃度のSi‐CH3基の混入において
も同程度の低誘電率化が可能となる。
【0023】本発明によるHMO膜の脱ガス特性を従来
法の有機SOGの脱ガス特性と対比して説明する。図3
(a)はHMO膜あるいは有機SOGからの水分の脱離量
の測定に用いた試料構造を示す。この試料は、図示のよ
うに、半導体基板1の上に第1のプラズマ酸化膜3、本
発明のシリコン酸化膜あるいは従来のシリコン酸化膜
4、第2のプラズマ酸化膜を積層し、接続孔(ビア)6
を開孔したものである。このような試料により昇温脱離
ガス分析法を用いてビア6の側壁に露出したHMO膜あ
るいは有機SOGからの水分の脱離量を測定した。図3
(a)に示す試料構造では、実際にビア開孔後のレジスト
除去時の酸素プラズマにビア側壁が曝されることにな
る。図3(b)は、本発明によるHMO膜の脱ガス特性と
従来法の有機SOGの脱ガス特性とを示す図である。こ
の図より、明らかに本発明によるHMO膜の水分脱離量
が少ないことがわかる。このような結果は、本発明によ
るHMO膜のSi‐CH3結合濃度が有機SOGに比較して低
いためと考えられる。
【0024】以上説明したように、本発明によるHMO
膜は、従来法である有機SOGと同程度の低比誘電率を
実現し、かつ、従来法で問題になっていたポイズンドビ
ア不良を解決し、より高信頼性の層間絶縁膜構造を提供
することを可能にすることがわかる。なお、上記の実施
の形態では、HMO膜は第1のプラズマ酸化膜3上に形
成したが、直接アルミ配線2上に形成することも可能で
ある。
【0025】実施の形態2.実施の形態1ではメチルシ
ランとしてモノメチルシラン(SiH3CH3)を使用したが、
この実施の形態2では、ジメチルシラン(SiH2(CH3)2)
を同時に混合して用いる。この場合の化学反応式は、(3
‐1),(3‐2)及び(4)の他に以下の化学式(5-1)及び
(5‐2)が加わる。 SiH2(CH3)2 + H2O2 → SiH(OH)(CH3)2 + H2O (5‐1) SiH2(CH3)2 + 2H2O2 → Si(OH)2(CH3)2 + 2H2O (5‐2) 化学式(5−1)により生成された中間体は、化学式(3
‐1)と同様にSi‐Oネットワークを終端する反応にのみ
寄与する。また、確率的には少ないが、化学式(5‐2)
の反応も成膜に寄与する。
【0026】図4は、この実施の形態により形成された
シリコン酸化膜の分子構造を示す図である。図3の構造
に比べ、Si‐Oネットワークの終端部で、メチル基がや
や増えるほかは、図3の構造と基本的には同じとなる。
すなわち、この実施の形態においても、HMO膜は、Si
‐O結合及びSi‐CH3結合ならびにSi‐H結合により構成
されている。この場合も、従来法では存在しないSi‐H
結合が分子構造内に存在することから、有機SOGの場
合におけるSi‐CH3結合の一部がSi‐H結合に置換されて
いることになり、Si‐CH3結合の含有量が低濃度でも、
同様な密度低下を引き起こすことが可能である。よっ
て、より低濃度のSi‐CH3基の混入においても同程度の
低誘電率化が可能となる。
【0027】実施の形態3.先の実施の形態1では反応
性ガスとして、メチルシランを使用したが、この実施の
形態3では、エチルシラン(モノエチルシラン(SiH3(C
2H5))、あるいはモノエチルシランとジエチルシラン(Si
H2(C2H5)2)を混合して用いる。エチルシランを単独で
用いる場合、化学式(3‐1),(3‐2),(3‐3)及び
(4)と同様な反応によりシリコン酸化膜を形成するこ
とが可能である。この場合の化学反応式は以下のように
なる。 SiH3C2H5 + H2O2 → SiH2(OH)C2H5 + H2O (6‐1) SiH3C2H5 + 2H2O2 → SiH(OH)2C2H5 + 2H2O (6‐2) SiH3C2H5 + 3H2O2 → Si(OH)3C2H5 + 3H2O (6‐3) nSiH(OH)2C2H5 → nSiOH(C2H5) + nH2O (7)
【0028】また、ジエチルシランを混合して用いる場
合、上記の化学反応(6‐1),(6‐2),(6‐3)及び
(7)に、以下の化学式(8‐1)及び(8‐2)が加わ
る。 SiH2(C2H5)2 + H2O2 → SiH(OH)(C2H5)2 + H2O (8‐1) SiH2(C2H5)2 + 2H2O2 → Si(OH)2(C2H5)2 +2H2O (8‐2)
【0029】図5はこの実施の形態によるシリコン酸化
膜の分子構造を示す図であり、図5(a)はモノエチルシ
ランのガスを用いた場合、図5(b)はモノエチルシラン
とジエチルシランとの混合ガスを用いた場合である。図
2,図3と図5(a),図5(b)とをそれぞれ対比す
ると、メチル基がエチル基に置き換わっているほかは、
同じ構造となっている。すなわち、この実施の形態にお
いては、HMO膜は、Si‐O結合及びSi‐C2H5結合なら
びにSi‐H結合により構成されている。この場合も、従
来法では存在しないSi‐H結合が分子構造内に存在する
ことから、有機SOGの場合におけるSi‐C2H5結合の一
部がSi‐H結合に置換されていることになり、Si‐C2H5
結合の含有量が低濃度でも、同様な密度低下を引き起こ
すことが可能である。よって、より低濃度のSi‐C2H5
の混入においても同程度の低誘電率化が可能となる。
【0030】実施の形態4.先の実施の形態1では反応
性ガスとして、メチルシランを使用したが、この実施の
形態4では、ビニルシラン(SiH3(CH=CH2))を用い
る。ビニルシランを用いる場合、化学式(3‐1),(3‐
2),(3‐3)及び(4)と同様な反応によりシリコン酸化
膜を形成することが可能である。この場合の化学反応式
は以下のようになる。 SiH3CH=CH2 + H2O2 → SiH2(OH)CH=CH2 + H2O (9‐1) SiH3CH=CH2 + 2H2O2 → SiH(OH)2CH=CH2 + 2H2O (9‐2) SiH3CH=CH2 + 3H2O2 → Si(OH)3CH=CH2 + 3H2O (9‐3) nSiH(OH)2CH=CH2 → nSiOH(CH=CH2) + nH2O (10)
【0031】図6は、この実施の形態によるシリコン酸
化膜の分子構造を示す図である。図2と図6とを対比す
ると、メチル基がビニル基に置き換わっているほかは、
同じ構造となっている。すなわち、この実施の形態にお
いては、HMO膜は、Si‐O結合及びSi‐CH=CH2結合
ならびにSi‐H結合により構成されている。この場合
も、従来法では存在しないSi‐H結合が分子構造内に存
在することから、有機SOGの場合におけるSi‐CH=CH2
結合の一部がSi‐H結合に置換されていることになり、S
i‐CH=CH2結合の含有量が低濃度でも、同様な密度低下
を引き起こすことが可能である。よって、より低濃度の
Si‐CH=CH2基の混入においても同程度の低誘電率化が可
能となる。
【0032】なお、以上の各実施の形態においては、反
応性ガスとして、それぞれメチルシラン、エチルシラン
又はビニルシランを用いた。これらの反応性ガスにより
形成されるシリコン酸化膜を比較すると、膜の構造上あ
るいは化学構造上では、膜密度はメチルシランが最も大
きく、次にエチルシラン、ビニルシランの順となる。ま
た、比誘電率の大きさもこの順となる。また、モノメチ
ルシランあるいはモノエチルシランの単独系で形成した
シリコン酸化膜と、モノメチルシランとジメチルシラ
ン、あるいはモノエチルシランとジエチルシランの混合
系で形成した膜とを比較すると、混合系を使用した場合
は、単独系より多くの有機基をドープすることになり、
ポイズンドビアの抑制効果は低下するが、比誘電率は減
少する。従って、これらを勘案して、用途に応じて適当
な反応ガスを選択して用いるとよい。なおまた、上記の
各反応性ガスをさらに混合して用いることも可能であ
る。
【0033】
【発明の効果】以上説明したように、この発明の半導体
装置は、その層間絶縁膜として、シリコン原子を主要素
とし、前記シリコン原子が酸素結合及び炭素結合を有す
るとともに、少なくとも一部の前記シリコン原子が水素
結合を有する材料を用いて形成する。また、その炭素結
合としては、メチル基、エチル基又はビニル基のうちの
いずれかが用いられる。これにより、従来の層間絶縁膜
では存在しないSi‐H結合が分子構造内に存在すること
から、従来のSi-C結合の一部がSi‐H結合に置換されて
いることになり、Si‐C結合の含有量が低濃度でも、密
度低下を引き起こすことができ、低誘電率化が可能とな
る。
【0034】また、この発明の半導体装置の製造方法で
は、その層間絶縁膜の形成方法として、ガス分子構造と
してシリコン原子が水素結合及び炭素結合を有する反応
性ガスと過酸化水素とによる混合ガスを用いて化学気相
成長法により層間絶縁膜を形成するとともに、前記形成
された層間絶縁膜が、シリコン原子を主要素とし、前記
シリコン原子が酸素結合及び炭素結合を有するととも
に、少なくとも一部の前記シリコン原子が水素結合を有
するように形成する。また、反応性ガスとして、有機シ
ランを用いる。さらに具体的には、有機シランとして、
メチルシラン、エチルシラン又はビニルシランのうちの
いずれか又はその混合物を主成分として用いる。このよ
うな製造方法により、前述したような密度が低く、比誘
電率が低い層間絶縁膜が得られ、そのような層間絶縁膜
を備えた半導体装置が得られる。
【図面の簡単な説明】
【図1】 この発明の実施の形態における半導体装置の
製造方法、特に層間絶縁膜の形成方法を示す断面図であ
る。
【図2】 この発明の実施の形態1により、原料ガスに
メチルシランを用いた場合のシリコン酸化膜の分子構造
を示す模式図である。
【図3】 この発明によるシリコン酸化膜および従来法
による有機SOGの水分脱離量を測定するために昇温脱
離ガス分析に使用する試料の構造を示す図、及び水分脱
離量の測定結果を示す図である。
【図4】 この発明の実施の形態2により、原料ガスに
モノメチルシランとジメチルシランの混合ガスを用いた
場合のシリコン酸化膜の分子構造を示す模式図である。
【図5】 この発明の実施の形態3により、原料ガスに
モノエチルシランを単独で、あるいはモノエチルシラン
とジエチルシランの混合ガスを用いた場合のシリコン酸
化膜の分子構造を示す模式図である。
【図6】 この発明の実施の形態4により、原料ガスに
ビニルシランを用いた場合のシリコン酸化膜の分子構造
を示す模式図である。
【図7】 従来法における層間絶縁膜の形成フローの模
式図である。
【図8】 従来の有機SOGの分子構造の模式図であ
る。
【図9】 従来のポイズンドビア不良のメカニズムを説
明する模式図である。
【符号の説明】
1 半導体装置基板、2 アルミ配線、3 第1のプラ
ズマ酸化膜、4 有機シランガスおよび過酸化水素を用
いたCVD法によるシリコン酸化膜、5 第2のプラズ
マ酸化膜。

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 半導体装置の層間絶縁膜が、シリコン原
    子を主要素とし、前記シリコン原子が酸素結合及び炭素
    結合を有するとともに、少なくとも一部の前記シリコン
    原子が水素結合を有する材料により形成されたことを特
    徴とする半導体装置。
  2. 【請求項2】 前記炭素結合がメチル基、エチル基又は
    ビニル基のうちのいずれかとの結合であることを特徴と
    する請求項1に記載の半導体装置。
  3. 【請求項3】 ガス分子構造としてシリコン原子が水素
    結合及び炭素結合を有する反応性ガスと過酸化水素とに
    よる混合ガスを用いて化学気相成長法により層間絶縁膜
    を形成するとともに、前記形成された層間絶縁膜が、シ
    リコン原子を主要素とし、前記シリコン原子が酸素結合
    及び炭素結合を有するとともに、少なくとも一部の前記
    シリコン原子が水素結合を有するように形成することを
    特徴とする半導体装置の製造方法。
  4. 【請求項4】 前記反応性ガスとして、有機シランを用
    いることを特徴とする請求項3に記載の半導体装置の製
    造方法。
  5. 【請求項5】 前記有機シランとして、メチルシラン、
    エチルシラン又はビニルシランのうちのいずれか又はそ
    の混合物を主成分として用いることを特徴とする請求項
    4に記載の半導体装置の製造方法。
JP9169858A 1997-06-26 1997-06-26 半導体装置及びその製造方法 Pending JPH1116904A (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP9169858A JPH1116904A (ja) 1997-06-26 1997-06-26 半導体装置及びその製造方法
US08/987,099 US6124641A (en) 1997-06-26 1997-12-08 Semiconductor device organic insulator film
TW086119854A TW351847B (en) 1997-06-26 1997-12-27 Method and apparatus for making semiconductor device
DE19804375A DE19804375B4 (de) 1997-06-26 1998-02-04 Verfahren zur Herstellung eines Zwischenschichtisolierfilmes
DE19861329A DE19861329B4 (de) 1997-06-26 1998-02-04 Struktur eines Zwischenschichtisolierfilmes in einer Halbleitereinrichtung
CNB981066267A CN1160766C (zh) 1997-06-26 1998-02-17 半导体器件及其制造方法
KR1019980004738A KR100309662B1 (ko) 1997-06-26 1998-02-17 반도체장치및그제조방법
US09/526,175 US6333278B1 (en) 1997-06-26 2000-03-15 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9169858A JPH1116904A (ja) 1997-06-26 1997-06-26 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
JPH1116904A true JPH1116904A (ja) 1999-01-22

Family

ID=15894252

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9169858A Pending JPH1116904A (ja) 1997-06-26 1997-06-26 半導体装置及びその製造方法

Country Status (5)

Country Link
US (2) US6124641A (ja)
JP (1) JPH1116904A (ja)
KR (1) KR100309662B1 (ja)
CN (1) CN1160766C (ja)
TW (1) TW351847B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001168193A (ja) * 1999-10-22 2001-06-22 Lsi Logic Corp バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層
JP2011071555A (ja) * 1999-06-22 2011-04-07 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
US7923383B2 (en) 1998-05-21 2011-04-12 Tokyo Electron Limited Method and apparatus for treating a semi-conductor substrate

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
GB9810917D0 (en) * 1998-05-21 1998-07-22 Trikon Technologies Ltd Method and apparatus for treating a semi-conductor substrate
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
AU2001278749A1 (en) * 2000-08-18 2002-03-04 Tokyo Electron Limited Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US6448186B1 (en) * 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
KR100451044B1 (ko) * 2001-06-07 2004-10-02 주식회사 엘지화학 유기실리케이트 중합체의 제조방법, 및 이를 이용한절연막의 제조방법
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
CN101431842B (zh) * 2003-03-27 2012-01-25 精工爱普生株式会社 电光装置和电子设备
JP4138672B2 (ja) * 2003-03-27 2008-08-27 セイコーエプソン株式会社 電気光学装置の製造方法
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
KR101305994B1 (ko) * 2013-01-28 2013-09-12 (주)티씨씨특수합금 산화 방지용 밀폐형 주형에서의 불활성가스 취입 장치
CN106118636A (zh) * 2016-06-27 2016-11-16 高大元 一种荧光氧化硅纳米颗粒的制备方法
JP6829930B2 (ja) 2016-08-09 2021-02-17 エーケー スティール プロパティ−ズ、インク. タンディッシュ漏斗

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0519079B1 (en) * 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JPH07288251A (ja) 1994-04-19 1995-10-31 Sony Corp 半導体装置の製造方法
JPH0835878A (ja) 1994-07-22 1996-02-06 Sumitomo Metal Ind Ltd 原料投入装置
JPH0839194A (ja) 1994-07-29 1996-02-13 Nissan Motor Co Ltd ガス硬化鋳型造型機
US5656555A (en) * 1995-02-17 1997-08-12 Texas Instruments Incorporated Modified hydrogen silsesquioxane spin-on glass
KR0149237B1 (ko) * 1995-03-14 1998-12-01 기따오까 다까시 반도체 디바이스와 그 제조 방법
KR100345663B1 (ko) * 1995-04-11 2002-10-30 주식회사 하이닉스반도체 반도체소자의층간절연막평탄화방법
JPH0964037A (ja) 1995-08-23 1997-03-07 Mitsubishi Electric Corp 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923383B2 (en) 1998-05-21 2011-04-12 Tokyo Electron Limited Method and apparatus for treating a semi-conductor substrate
JP2011071555A (ja) * 1999-06-22 2011-04-07 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
JP2001168193A (ja) * 1999-10-22 2001-06-22 Lsi Logic Corp バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層

Also Published As

Publication number Publication date
CN1160766C (zh) 2004-08-04
CN1204143A (zh) 1999-01-06
KR19990006346A (ko) 1999-01-25
KR100309662B1 (ko) 2001-11-15
TW351847B (en) 1999-02-01
US6124641A (en) 2000-09-26
US6333278B1 (en) 2001-12-25

Similar Documents

Publication Publication Date Title
JPH1116904A (ja) 半導体装置及びその製造方法
JP4689026B2 (ja) 極限低誘電率膜のためのキャッピング層
JP3739081B2 (ja) 低誘電率の炭素含有酸化ケイ素の作製方法
US7915166B1 (en) Diffusion barrier and etch stop films
US6737746B2 (en) Semiconductor device containing copper diffusion preventive film of silicon carbide
US7888741B2 (en) Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US6958525B2 (en) Low dielectric constant film material, film and semiconductor device using such material
JP3432783B2 (ja) 集積回路構造物用の低誘電率の多数炭素−含有酸化ケイ素誘電体
EP0643421B1 (en) Semiconductor device and associated fabrication method
KR101327640B1 (ko) 유전체막 형성 방법 및 상기 방법을 실행하는 신규한전구체
JPH09116011A (ja) 半導体装置およびその製造方法
JP2000269207A (ja) 層間絶縁膜の形成方法及び半導体装置
JP2000208513A (ja) 銅部材を含む半導体装置およびその製造方法
KR100479796B1 (ko) 반도체 소자 및 이의 제조 방법
KR100390322B1 (ko) 반도체 장치의 제조방법 및 반도체 장치
JP3173426B2 (ja) シリカ絶縁膜の製造方法及び半導体装置の製造方法
WO2008056748A1 (en) Interlayer insulating film, wiring structure, electronic device and method for manufacturing the interlayer insulating film, the wiring structure and the electronic device
JPH10242143A (ja) 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
JP3789501B2 (ja) 半導体装置に用いられる絶縁膜構造の製造方法
JP2002134610A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060414

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060606