JP2001168193A - バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層 - Google Patents

バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層

Info

Publication number
JP2001168193A
JP2001168193A JP2000319053A JP2000319053A JP2001168193A JP 2001168193 A JP2001168193 A JP 2001168193A JP 2000319053 A JP2000319053 A JP 2000319053A JP 2000319053 A JP2000319053 A JP 2000319053A JP 2001168193 A JP2001168193 A JP 2001168193A
Authority
JP
Japan
Prior art keywords
layer
low
silicon oxide
dielectric material
oxide dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000319053A
Other languages
English (en)
Other versions
JP2001168193A5 (ja
JP4731670B2 (ja
Inventor
Wilbur G Catabay
キャタベイ,ウィルバー・ジー
Richard Schinella
シネラ,リチャード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LSI Corp
Original Assignee
LSI Logic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LSI Logic Corp filed Critical LSI Logic Corp
Publication of JP2001168193A publication Critical patent/JP2001168193A/ja
Publication of JP2001168193A5 publication Critical patent/JP2001168193A5/ja
Application granted granted Critical
Publication of JP4731670B2 publication Critical patent/JP4731670B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 一層稠密化しつゝある集積回路構造の静電容
量を低下させるため金属ライン間に低い誘電率(低k)
の酸化ケイ素誘電性材料の複合材層を形成する。 【解決手段】 低k酸化ケイ素誘電性材料の複合材層
は、近接して間隔を空けて離れた金属ライン14a,1
4b,14c間の高アスペクト比領域においてボイドフ
リー堆積性を呈する低k酸化ケイ素誘電性材料の第一の
層24を、低k酸化ケイ素誘電性材料の得られる堆積が
酸化物層上の金属ライン14a,14b,14cのトッ
プのレベルに達するまで堆積し、その後、第一の層24
よりも速い堆積速度を有する低k酸化ケイ素誘電性材料
の第二の層30を、第一の層24の上に、低k酸化ケイ
素誘電性層の好適な厚み全体まで堆積する。

Description

【発明の詳細な説明】
【0001】
【発明の分野】本発明は、集積回路構造に関する。より
詳細には、本発明は、集積回路構造の金属ライン上及び
間に複合材低k誘電性層を形成することに関する。
【0002】
【関連技術の説明】集積回路構造における規模の継続的
な削減において、金属の相互連結又はラインの幅と、こ
のような相互連結のいずれの特定のレベルについてのこ
のような金属ライン間の水平間隔との両方が、次第に小
さくなってきている。その結果、水平静電容量がそのよ
うな伝導性要素間で増大してきている。この静電容量
(capacitance)における増大は、異なる層上の金属ラ
イン間に存在する垂直静電容量と共に、速度の損失及び
増大したクロストークをもたらす。その結果、このよう
な静電容量、特に水平静電容量、の削減は、多くの注目
を受けてきている。この高静電容量の問題を解決するた
めに提案されている1つの対策は、従来の酸化ケイ素
(SiO2)誘電性材料(約4.0の誘電率(k)を有
する)をより低い誘電率を有する別の誘電性材料で置き
換えて、これにより、静電容量を低下させることであ
る。
【0003】"Pursuing the Perfect Low-K Dielectri
c"と題するL.Petersによる論文(Semiconductor Intern
ational, Volume 21, No.10, 1998年9月、64〜74
頁)において、多くのこのような別の誘電性材料が開示
され、検討されている。それら誘電性材料には、英国の
Gwent,Newportに所在するTrikon Technologiesによって
開発された化学的蒸着(CVD)プロセスを使用して形
成される約3.0の誘電率を有する低k誘電性材料の記
載が含まれている。Trikonプロセスは、メチルシラン
(CH3-SiH3)と過酸化水素(H22)とを反応さ
せてモノシリシックアシッドを形成すると言われてい
る。モノシリシックアシッドは、冷却水上でコンデンス
し、アモルファスメチルドープ酸化ケイ素に変換され
る。このアモルファスメチルドープ酸化ケイ素は、40
0℃でアニールされて湿分を除去する。この論文は、更
に、メチルシランを超えて、研究が、Trikonプロセスに
おいてジメチルシランを使用して2.75の最大kを示
すことを述べている。Petersの論文は、更に、高密度プ
ラズマCVD(HDP-CVD)において、メチルシラ
ン又はジメチルシラン及びO2から形成される誘電性材
料は、2.75もの低いkを与えることができること、
及び、トリメチルシラン(Dow Corningから入手でき
る)が低k(2.6)誘電性フィルムを堆積することに
使用され得ることを述べている。
【0004】この種の低k材料の使用は、従来の酸化ケ
イ素の誘電率よりも低い誘電率を有する誘電性材料によ
る、平行な、近接して間隔を空けて離れた金属ライン間
の高アスペクト比領域におけるボイドフリー充填の形成
をもたらし、これにより、同じ金属配線レベル上のその
ような隣接した金属ライン間の水平静電容量の実質的低
下をもたらすことが見出されている。
【0005】しかしながら、従来の酸化ケイ素絶縁をこ
のような低k誘電性材料に置換することは、それ自体問
題がないというものではない。Trikonプロセスによる低
k炭素ドープ誘電性材料の形成は、従来のドープされな
い酸化ケイ素誘電性材料の形成よりもかなり遅い。例え
ば、単一のウェハー上にTrikonプロセスにより低k誘電
性材料の層を形成するのにかかる時間内には、5枚もの
ウェハー上に同じ厚さの従来の誘電性材料を堆積するこ
とが可能となるであろう。
【0006】しかしながら、より重要なことは、そのよ
うな低k誘電性材料を通じてその下の伝導部(例えば、
金属ライン)、即ちアクティブデバイス上の接点、への
バイア、即ち接点開口、の連続的な形成は、バイア被毒
(via poisoning;この場合、続いてバイア内に堆積さ
れる充填材料(例えば、窒化チタンライナー及びタング
ステン充填材料)は、バイア表面に接着しない。)とし
て知られる現象に寄与することができる。明らかに、Tr
ikonプロセスにより形成される低k誘電性材料において
炭素の存在は、その材料を、続く構造の製造の間の損傷
に対してより影響されやすくする。例えば、接点開口、
即ちバイア、は、通常、レジストマスクを通じて誘電性
層内にエッチングされる。レジストマスクが次にアッシ
ングプロセスによって除去されるとき、損傷は低k材料
の新たに形成されたバイア表面に起こり得、このような
バイア被毒をもたらす。
【0007】Petersの論文で上述したように、高密度プ
ラズマ(HDP)は、また、ボイドフリー低k誘電性材
料を形成することにも使用されてきている。このプロセ
スでは、高密度プラズマは、メチルシラン又はジメチル
シラン及びO2と共に使用され、2.75と低いと言わ
れる誘電率を有する低k酸化ケイ素誘電性層を形成す
る。しかしながら、HDP低k誘電性材料の堆積速度
は、Trikonプロセスのものと同様であり、低k誘電性材
料の層を形成するためには経済的に魅力的でないものと
なっている。
【0008】他のプロセス(例えば、シラン、O2及び
アルゴンガスの混合物と共に、CH4及び/又はC48
及び/又は四弗化珪素(SiF4)を使用したプラズマ
エンハンスド化学的蒸着(PECVD))によって、低
k酸化ケイ素誘電性材料を堆積することも提案されてい
る。プラズマエンハンスド化学的蒸着は、"Silicon Pro
cessing for the VSLI Era"(Process Technology(198
6)、第1巻、171〜174頁)中に、Wolf及びTauber
によって、より詳しく記載されている。
【0009】PECVDによる低k酸化ケイ素誘電性材
料の形成は、Trikonプロセス又はHDP-CVDプロセ
スによる同じ厚さの低k酸化ケイ素誘電性層の形成より
も非常に速い(即ち、従来の酸化ケイ素の堆積速度に迫
る速度である)。その一方、PECVDにより堆積され
る低k酸化ケイ素誘電性材料は、高アスペクト比領域に
おける乏しい充填特性を有しており、このような構造中
の近接して間隔を空けて離れた金属ライン(closely sp
aced apart metal lines)間のスペースにおいて、PE
CVDにより堆積される誘電性材料中にボイドを形成す
ることとなる。
【0010】「バイア充填材料との改善された適合性を
有する、集積回路構造のための、低誘電率の酸化ケイ素
に基づく誘電性層、及びその製造方法」と題する共係属
出願(Docket No.A3-4318)は、本願と同じ日に、他の
者と共に我々の内の一人によって出願され、本願と同じ
譲受人に対して譲渡されている。Docket No.A3-4318の
実体的事項は、ここに、レファレンスによって挿入され
る。その出願の一態様において、高い炭素ドープレベル
を有する低k酸化ケイ素誘電性材料が、近接して間隔を
空けて離れた金属ライン間の高アスペクト領域に形成さ
れ、その後、より低い炭素含量を有する低k酸化ケイ素
誘電性材料を含む第二の層が第一の層及び金属ラインの
上に堆積される。しかしながら、両層は、Trikonプロセ
スによって形成されるので、堆積速度は、根本的に変わ
っていない。
【0011】「低誘電率の材料を有し且つ近接して間隔
を空けて離れた金属ライン上にシリコンオキシナイトラ
イドのキャップを有する集積回路構造」と題する共係属
出願(Docket No.99-060)も、本願と同じ日に、他の者
と共に我々の内の一人によって出願され、本願と同じ譲
受人に対して譲渡されている。Docket No.99-060の実体
的事項は、ここに、レファレンスによって挿入される。
その出願において、シリコンオキシナイトライド(Si
ON)の層が金属ラインのトップ表面上に形成され、ア
ンチ反射被覆(ARC)、金属ラインの形成のためのハ
ードマスク及びケミカルメカニカル研磨(CMP)のた
めの緩衝層として寄与する。高い炭素ドーピングレベル
を有する低k酸化ケイ素誘電性材料は、その後、シリコ
ンオキシナイトライドのレベルまで、近接して間隔を空
けて離れた金属ライン間の高アスペクト領域に形成され
る。CMPは、その後、エッチストップ(etch stop)
としてのSiON層を使用して、低k炭素ドープ酸化ケ
イ素誘電性層の上面を平坦化(planarize)するため
に、即ち、SiON層のトップと共に、ボイドフリー低
k酸化ケイ素誘電性層のレベルをもたらすために、適用
される。酸化ケイ素誘電性材料の従来の(非-低k)層
は、その後、プラズマエンハンスド化学的蒸着(PEC
VD)によって、低k層及びSiON層上に堆積され
る。バイアが、その後、第二の誘電性層及びSiONを
通って金属ラインのトップまで貫通する。バイアは決し
て金属ライン間の低k層と接触しないので、バイアによ
る低k層の露出によるバイア被毒は起こらない。
【0012】しかしながら、続いて低k誘電性材料中に
形成されるバイア被毒を緩和しつつ且つ堆積装置内でス
ループット(throughput)の減少が少ない、近接して間
隔を空けて離れた金属ライン間の高アスペクト比領域の
ためのボイドフリー充填特性を有する低k誘電性材料の
複合材層を形成することができ、そのような複合材層を
形成するためのすべての工程が同じ減圧プロセッシング
装置において行われ得るようなプロセスを使用する、低
k誘電性層を有する構造及びその製法を提供することが
非常に好ましいであろう。即ち、堆積工程は、例えば、
同様な減圧装置内の多数の区画(station)又は多数の
チャンバーで行うことができ、堆積材料の汚染を低減し
並びに設備投資及び要求される空間を含めたプロセス経
済を改善する。
【0013】
【発明の概要】本発明によれば、低k酸化ケイ素誘電性
材料の複合材層が、近接して間隔を空けて離れた金属ラ
インを有する半導体基板上の集積回路構造の酸化物層上
に形成される。この低k酸化ケイ素誘電性材料の複合材
層は、近接して間隔を空けて離れた金属ライン間の高ア
スペクト比領域においてボイドフリー堆積性、標準k酸
化ケイ素に匹敵する他の領域における堆積速度、及び低
減されたバイア被毒特性を呈する。該低k酸化ケイ素誘
電性材料の複合材層は、酸化物層上に及び近接して間隔
を空けて離れた金属ライン間の高アスペクト比領域に、
ボイドフリー堆積性を呈する低k酸化ケイ素誘電性材料
の第一の層を、低k酸化ケイ素誘電性材料の得られる堆
積が前記酸化物層上の金属ラインのトップのレベルに達
するまで堆積することによって形成される。その後、前
記第一の層よりも速い堆積速度を有する低k酸化ケイ素
誘電性材料の第二の層は、前記第一の層の上に、該低k
酸化ケイ素誘電性層の好適な厚み全体まで堆積される。
好適な態様では、低k酸化ケイ素誘電性材料の得られる
複合材層を形成する工程は、すべて単一の減圧プロセッ
シング装置において、前記半導体基板を該減圧装置から
移動することなく行われる。
【0014】
【発明の実施の形態】最も幅広い形態では、本発明は、
集積回路構造の酸化物層上に形成された低k酸化ケイ素
誘電性材料の複合材層を含む。この低k酸化ケイ素誘電
性材料の複合材層は、近接して間隔を空けて離れた金属
ライン間の高アスペクト比領域においてボイドフリー堆
積性、標準k酸化ケイ素に比する他の領域における堆積
速度、及び低減されたバイア被毒特性を呈する。該低k
酸化ケイ素誘電性材料の複合材層は、近接して間隔を空
けて離れた金属ライン間の高アスペクト比領域において
ボイドフリー堆積性を呈する低k酸化ケイ素誘電性材料
の第一の層を、酸化物層及び金属ラインの上に、低k酸
化ケイ素誘電性材料の得られる堆積が前記酸化物層上の
金属ラインのトップのレベルに達するまで堆積すること
によって形成される。その後、前記第一の層よりも速い
堆積速度を有する低k酸化ケイ素誘電性材料の第二の層
は、前記第一の層の上に、該低k酸化ケイ素誘電性層の
好適な厚み全体(desired overall thickness)まで堆
積される。
【0015】本明細書で使用する「低k」との用語は、
3.5以下の誘電性材料の誘電率(dielectric constan
t)を定義することを意図する。好ましくは、「低k」材
料の誘電率は、3.0以下である。
【0016】金属ライン間の高アスペクト領域中に形成
される低k誘電性材料の第一の層においてボイド(void
s)が存在しないことを記載するために本明細書で使用
する「ボイドフリー」との用語は、走査型電子顕微鏡(S
EM)を使用して断面中に認識できるボイドが存在しな
い材料を定義することを意図する。
【0017】さて、図1〜4を参照して、本発明の好適
なプロセスを説明する。図1は、集積回路構造2上に形
成された絶縁層10(例えば、酸化物層(例えば、酸化
ケイ素))と共に半導体基板(図示せず)上及び内に形
成された、予め形成された集積回路構造2を示す。絶縁
層10は、集積回路構造2の一部を形成する金属相互連
結(金属ライン)の下地層の上に形成されてもよいし、
また、絶縁層10は、半導体基板内に形成されたデバイ
ス(例えば、MOSトランジスター)上に直接に形成さ
れてもよい。絶縁層10上に形成された、いくつかの平
行な及び近接して間隔を空けて離れた金属ライン14a
〜14cが、示されている。金属ライン14a〜14c
は、通常、金属及び金属化合物の伝導層のスタック(例
えば、チタンの底層、窒化チタンの層、アルミニウム
(又はアルミニウム/銅)の主層及び窒化チタンのキャ
ッピング層を含むスタック)を含む。各層は、特定の目
的に寄与し、そのスタックは、集積回路構造のある部分
と別の部分との電気的接続のために集合的に機能する。
実施例として、金属ライン14aは、図1に示されてお
り、これら金属ラインは、伝導性材料(例えば、タング
ステン)で満たされたバイア(即ち接点開口)18によ
って下部の集積回路構造2に接続されている。
【0018】図2には、金属ライン14a〜14c及び
絶縁層10が示されており、これらは、プラズマエンハ
ンスド化学的蒸着(PECVD)によって堆積された従
来の(標準k)酸化ケイ素の薄層などの絶縁の薄いコン
フォーマルバリアー層20で覆われている。バリアー層
20は、下部の酸化物層18及び金属ライン14a〜1
4cからバリアー層20上に適用されることになる第一
の低k誘電性層を分離するために寄与する。基層は、約
50ナノメーター(nm)の最小厚さ(好適な冶金分離
を提供するのに十分な厚さ)から約500ナノメーター
(nm)の最大厚さまでの厚さの範囲を有する。バリア
ー層20は、より厚くしてもよいが、バリアー層20
は、低誘電性材料を含まないので、酸化物層18及び金
属ライン14a〜14cを第一の低k誘電性層から分離
するのに必要な最小厚みよりも大きな厚みの使用が、構
造の全体の静電容量についての不利な効果を有すると認
められるであろう。
【0019】酸化物層18及び金属ライン14a〜14
c上にバリアー層20を堆積した後、低k酸化ケイ素誘
電性材料の第一の層24は、近接して間隔を空けて離れ
た金属ライン14a〜14c間の高アスペクト比領域に
おいてそれぞれボイドフリー低k誘電性材料24a及び
24bを形成するために、構造上に堆積される。これら
領域を満たすために使用される低k誘電性材料及びプロ
セスは、少なくとも2.5の高アスペクト比を有する開
口中に3以下の誘電率を有するボイドフリー誘電性材料
を形成できなければならない。
【0020】このようなボイドフリー低k酸化ケイ素誘
電性材料は、過酸化水素と炭素置換シラン(例えば、Do
bson 米国特許第5,874,367号(この米国特許の実体的事
項はリファレンスによりここに挿入される)に記載され
ていようなメチルシラン)との反応によって堆積されて
もよい。ボイドフリー低k酸化ケイ素誘電性材料は、ま
た、マイルドな酸化剤(例えば、過酸化水素)と炭素置
換シラン材料(Aronowitzらによる 1999年3月22日に
出願され、本願の譲受人に譲渡された出願番号第09/27
4,457号(この出願の実体的事項もリファレンスにより
ここに挿入される)に開示されたもの)との反応によっ
て堆積されてもよい。
【0021】一方、ボイドフリー低k誘電性材料は、高
密度プラズマ(HDP−CVD)プロセスを使用するこ
とによって、形成されてもよい。HDP−CVDプロセ
スでは、酸素(O2)は、シラン及びアルゴン及び炭素
置換シランの混合物あるいはシラン及びアルゴン及びフ
ッ素化シランの混合物のいずれか一方と反応する。高密
度プラズマ化学的蒸着(HDP−CVD)は、低周波数
パワー(例えば、400kHz)で行われるプラズマ堆
積プロセス及び高周波数(例えば、13.56MHz)
で行われるスパッタエッチングプロセスの上位に位置
し、ボイドフリー堆積を与える。
【0022】近接して間隔を空けて離れた金属ライン間
の高アスペクト比領域にボイドフリー低k酸化ケイ素誘
電性材料を形成できる全ての他の堆積プロセスは、上述
したプロセスのために置きかえることができる。
【0023】使用されるプロセスにかかわらず、本発明
によれば、ボイドフリー低k酸化ケイ素誘電性材料は、
低k酸化ケイ素誘電性材料の層24が金属ライン14a
〜14cの高さに達するまで堆積される。この点で、堆
積プロセスは、不自由である。この終了点は、経験的に
決定されてもよい。十分な低k誘電性材料を、金属ライ
ン14a〜14cのトップに達するために堆積できない
と、誘電性材料中にボイドが存在しないか、或いは、隣
接する金属ライン間に水平に発達された静電容量のいず
れか一方について、負の衝撃が存在することになるだろ
う。一方、金属ライン14a〜14cの高さを超えて堆
積されたボイドフリー低k酸化ケイ素誘電性材料の過剰
量によっては、バイア被毒について不利な効果がもたら
され並びに構造を形成するためのスループット時間全体
を小さくすることとなり得るであろう。しかしながら、
図3に示されるように、24c〜24eで、金属ライン
14a〜14cのトップ上に、ボイドフリー低k誘電性
材料のやや過剰な堆積があるが、避け難いものでもよ
い。一般に、堆積されたボイドフリー低k誘電性層の高
さは、金属ラインの高さの約±50nmであるべきであ
る。
【0024】さて、図4にもどって、低k誘電性材料の
第二の層30は、複合材誘電性層の好適な厚みまで全構
造上に堆積される。この低k誘電性材料の第二の層は、
少なくとも第一の層の堆積速度よりも速い速度で、好適
には、従来の(非−低k)誘電性材料の堆積速度に匹敵
する堆積速度で、堆積されることができる低k誘電性材
料を含む。例えば、低k炭素及び/又はフッ素ドープ酸
化ケイ素誘電性層は、低k酸化ケイ素誘電性層を非−低
k誘電性材料に匹敵する堆積速度で低k酸化ケイ素誘電
性層を堆積するPECVDプロセス中で、CH4及び/
又はC48及び/又は四弗化ケイ素(SiF4)を添加
剤又はドーパントとして、シラン、O2及びアルゴンガ
スの混合物に添加することにより形成されてもよい。
【0025】第二の誘電性層30の総厚さは、複合材層
中の低k誘電性材料(低k誘電性層24及び低k誘電性
層30)の好適な総厚さに依存する。多くの半導体の誘
電性用途は、約1.8マイクロメートル(μm)の厚い
誘電性フィルムが初期に形成され、その後、CMPによ
り約700nm(7kオングストローム)まで研磨され
て、金属ラインの次の層のために所望の平滑な表面が提
供されることを要求する。こうして、金属ライン14a
〜14cの高さ(及び、それゆえ、誘電性材料の第一の
低k誘電性層24)が、560nm(5.6kオングス
トローム)であり、層24及び層30を含む複合材低k
誘電性層の好適な総高さは、1800nm(18kオン
グストローム)であり、第二の低k誘電性層30の高さ
又は厚みは、約1240nm(12.4kオングストロ
ーム)となる。通常、複合材低k誘電性層の総厚みは、
約1600nm(16kオングストローム)〜約300
0nm(30kオングストローム)の範囲であり、金属
ラインの高さは、約500nm(5kオングストロー
ム)〜約1200nm(12kオングストローム)の範
囲であり、第二の低k誘電性層30の厚みは、約100
0nm(10kオングストローム)〜約2400nm
(24kオングストローム)の範囲である。
【0026】第二の低k酸化ケイ素誘電性層30を形成
した後、バイアは、第二の層30を貫通して下の金属ラ
インへと達する(例えば、図4には、層30を貫通して
金属ライン14bへと達する充填されたバイア34が示
されている)。第一の低k誘電性層24の高さが金属ラ
イン14a〜14cの高さをやや上回るとき、第二の低
k誘電性層30を貫通するバイア(例えばバイア34)
は、第一の低k誘電性層24(金属ライン14a〜14
cより上である)の部分(例えば、24c〜24e)を
貫通することは、注目されるであろう。金属ライン14
a〜14cより上であるこの低k誘電性層24の部分が
薄い(即ち、100nm(1000オングストローム)
未満である)場合、バイアの形成による第一の低k誘電
性層24のこの部分の露出に起因するすべての不利なバ
イア被毒効果が最小であるべきである。
【0027】図1〜4で説明され示された好適な態様に
おいて、得られた低k酸化ケイ素誘電性材料の複合材層
を形成する工程は、多数の区画又は多数の減圧チャンバ
ーを有する単一の減圧プロセッシング装置において、全
て行われてもよい。これにより、複合材低k誘電性層を
形成するプロセス全体が、ウェハーを該減圧装置から移
動することなく行われる。これにより、スループットを
増大させることができるので、プロセス経済における利
点がもたらされ、単一の減圧装置を使用するのみなの
で、設備投資及び床スペースの節約が与えられる。ま
た、単一の減圧装置内で全ての工程を行うことによっ
て、大気に露出することによる汚染が低減され、フィル
ム特性及び粒子汚染についてこのような大気による汚染
の効果の打撃を最小化する。
【0028】図5は、プロセスの工程が単一の減圧装置
内で行われるときに使用してもよい、典型的な、市販さ
れた、多チャンバー減圧装置(例えば、Applied Materi
als,Inc. から入手できるEndura 多チャンバー減圧装
置)を示す。減圧プロセス装置(その中心部は、通常、
40で示される)は、減圧ポンプ110によってポート
100を通じて減圧下に維持される。半導体基板(既
に、酸化物層上に金属ラインを有する)は、第一のロー
ドロック50を通じて減圧装置内に導入される。中央減
圧チャンバー60内のロボットアーム54は、中央チャ
ンバー60を通じてロードロック50から半導体基板
を、スリットバルブ64を通じて第一減圧プロセスチャ
ンバー62へと移動する。第一減圧プロセスチャンバー
62は、例えば、PECVDにより基板上の金属ライン
及び酸化物層上にベース誘電性層20を堆積するため
に、使用されることができるだろう。バリアー層20の
堆積の後、スリットバルブ64を開けて、ロボットアー
ム54が被覆された基板を中央減圧チャンバー60へと
もどす。第二減圧プロセスチャンバー72上のスリット
バルブ74は、その後、開かれ、基板は、ロボットアー
ム54により第二プロセスチャンバー内に配置される。
このチャンバーでは、ボイドフリー低k誘電性材料が堆
積され、近接して間隔を空けて離れた金属ライン間のス
ペースを満たす。
【0029】この特定の堆積工程は、より多くのプロセ
ス時間を要するので、次の減圧プロセスチャンバー82
は、また、金属ライン間にボイドフリー低k材料を堆積
することに使用されてもよい。即ち、チャンバー72及
び82は、同時に、好ましくは、ずれているが重複して
いる時間で、二つの異なる基板を製造するために、平行
なプロセスチャンバーとして使用することができるだろ
う。ロボットアーム54は、その後、チャンバー72か
らスリットバルブ74を通じて、或いは、チャンバー8
2からスリットバルブ84を通じて、基板を移動させ、
スリットバルブ94を通じて減圧プロセスチャンバー9
2へと基板を挿入する。低k誘電性材料の第二の層は、
その後、例えば、PECVDによって、基板上の金属ラ
イン上に及び金属ライン間の低k誘電性材料のボイドフ
リーな第一の層上に、複合材層の好適な厚みが達成され
るまで、堆積される。この基板は、その後、チャンバー
92から移動されるが、その後、更なるプロセス(例え
ば、複合材層を通じたバイアの形成、及び複合材層の上
に形成される更なる層又は金属相互連結のレベルの形
成、のための準備におけるCMP工程を含んでもよい)
のための減圧装置から移動されてもよい。
【0030】こうして、バリアー層20、ボイドフリー
の第一の低k誘電性層24及び第二の低k誘電性層30
の形成は、全て同じ減圧装置の多チャンバー内で行われ
ることができ、その結果、プロセス経済性及びプロセス
の質の両方において改善がみられる。
【0031】単一の減圧チャンバー内において複合材低
k誘電性層を形成する堆積工程が全て行われることが好
ましいと考えられる一方で、いくつかの用途では、金属
ラインのトップ上のボイドフリー層のすべての部分を除
去して、その結果、続いて金属ラインに下りて形成され
るバイアが、第一の(ボイドフリー)低k誘電性層を全
く貫通しないようにすることが好ましいことも認識され
るべきである。図6及び7にもどって、これら図には、
この態様が示されている。図6において、平坦化工程
(例えば、CMP工程)の後の図3の構造が示されてい
る。この平坦化工程は、ボイドフリー部分24a’及び
24b’を残して、ボイドフリーの第一の低k誘電性層
24’の一部分24c〜24eを、上部金属ライン14
a〜14cから除去する。もちろん、これは、ボイドフ
リーの第一の低k誘電性層24’を形成する工程の後、
減圧堆積装置から基板を取り出すことを要求する。しか
しながら、図7に示すように、続く第二の低k誘電性層
30’の堆積、及び満たされたバイア34’として示さ
れているようなそれを通じるバイアの形成は、ボイドフ
リーの第一の誘電性層24’のいずれの部分をも通過し
ないバイアをもたらす。層24’(バイアの側壁の一部
として)の露出に起因するバイア被毒が、こうして、こ
の態様においては全く生じることがない。もちろん、こ
の態様は、平坦化工程を要求するにもかかわらず、ボイ
ドフリーの低k誘電性層24’を堆積するとき、第一の
工程において堆積されるボイドフリー低k誘電性材料の
形成のための堆積速度に比べて低k誘電性材料の第二の
層のより急速な堆積の第一の態様の利点をまだ享受す
る。
【0032】以下の記載は、本発明を更に説明すること
に寄与するだろう。
【0033】
【実施例】酸化物層上に形成された金属ラインと共に酸
化物層を有する半導体基板(560nm(5.6kオン
グストローム)の金属ライン厚さ(高さ)及び約270
nmの金属ライン間の平均水平間隔)が提供されること
ができる。この基板は、第一プラズマCVD5リットル
減圧堆積チャンバー内に配置されたとき、〜350mT
orrの圧力及び350℃の温度で維持される。150
sccmのシランガス、3500sccmのN2O、及
び1500sccmのN2ガスを、チャンバー内に流
し、プラズマをチャンバー内に約100ワットのパワー
レベルで発生させることができる。堆積は、約500オ
ングストロームの厚さの酸化ケイ素のコンフォーマルベ
ース層が金属ライン及び酸化物層の上に形成されるま
で、約11秒間続けてもよい。
【0034】その後、被覆された基板は、同じ減圧装置
内で(基板を周囲大気に露出することなく)第二の5リ
ットル減圧堆積チャンバーに移すことができる。このチ
ャンバーでは、70sccmのメチルシラン、19sc
cmのシラン、及び0.75グラム/分の過酸化水素
が、このチャンバーに流される。このチャンバーは、9
00mTorr及び〜5℃の温度で維持される。この堆
積は、〜40秒間行われ、近接して間隔を空けて離れた
金属ライン間の高アスペクト比領域がボイドフリー低k
炭素ドープ酸化ケイ素誘電性材料で満たされる。
【0035】その後、基板は、減圧装置内で(再び、被
覆された基板を周囲に露出することなく)第三の減圧チ
ャンバーに移される。このチャンバーでは、更なる低k
酸化ケイ素誘電性材料が、PECVDによって、150
sccmのテトラメチルシラン及び500sccmのO
2を、1000mTorrの圧力及び〜17℃の温度で
維持された5リットル減圧堆積チャンバーに流すことに
よって、前に堆積されたボイドフリーの低k炭素ドープ
された酸化ケイ素誘電性材料の上に堆積される。プラズ
マをチャンバー内に発生させ、堆積の間、〜1000ワ
ットのパワーで維持する。この堆積は、60秒間行うこ
とができ、約1400nm(14kオングストローム)
の第二の低k誘電性層が提供される。
【0036】被覆された基板は、その後、減圧チャンバ
ーから取り出され、CMPにより平坦化されることがで
き、その後、バイアは、複合材低k層を通って金属材料
に下りて形成されてもよい。基板は、その後、バイア充
填の完了のために、即ち、バイア被毒の量を確かめるた
めに、試験されてもよい。実質的には、バイアの全てが
充填されていることが判明し、低k酸化ケイ素誘電性材
料の第二の層を通じてバイアの形成が、即ち、PECV
Dにより堆積された層が、バイア被毒に寄与しないこと
を示している。基板を区分した後、SEMを使用した第
一の低k酸化ケイ素誘電性層の試験を行うことにより、
近接して間隔を空けて離れた金属ライン間の高アスペク
ト比領域中に堆積されたボイドフリー低k酸化ケイ素誘
電性材料の形成が確認されるべきである。
【0037】こうして、本発明は、低k酸化ケイ素誘電
性材料の複合材層を提供する。本発明では、近接して間
隔を空けて離れた金属ライン間の高アスペクト比領域に
堆積される複合材低k酸化ケイ素誘電性材料の部分がボ
イドフリーである。一方、第一の部分の上に堆積された
複合材低k酸化ケイ素誘電性材料の第二の部分が、非常
に速い堆積速度で堆積されることができるが、複合材層
を通じて形成されたバイアの被毒に寄与することが今だ
に明らかでない。
【図面の簡単な説明】
【図1】 酸化物層上に形成された金属ラインと共に集
積回路構造上に形成された該酸化物層を有する集積回路
構造の断片的な垂直断面図である。
【図2】 酸化物層及び金属ラインの上に堆積された誘
電性材料の基礎層を有した後の図1の構造の断片的な垂
直断面図である。
【図3】 金属ラインの高さにまで低k誘電性材料の第
一の層を堆積した後の図2の構造の断片的な垂直断面図
である。
【図4】 低k誘電性材料の第一の層上に低k誘電性材
料の第二の層を堆積した後の図3の構造の断片的な垂直
断面図であり、この図は更に、金属ラインの内の1つの
トップにまで下がった第二誘電性層を貫通するバイアを
示している。
【図5】 本発明の実施に有用な多チャンバー減圧装置
の上部断面図である。
【図6】 本発明の第二の態様に従った、酸化物層及び
金属ラインの上に堆積された低k誘電性材料の第一の層
のケミカルメカニカル研磨(CMP)の後の図3の構造
の断片的な垂直断面図である。
【図7】 低k誘電性材料の研磨された第一の層上に低
k誘電性材料の第二の層を堆積した後の図6の構造の断
片的な垂直断面図であり、この図は更に、金属ラインの
内の1つのトップにまで下がった第二誘電性層を貫通す
るバイアを示している。
【図8】 本発明のプロセスを示すフローシートであ
る。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 シネラ,リチャード アメリカ合衆国カリフォルニア州95070, サラトガ,ヴィスタ・アロヨ・コート 12206

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】 近接して間隔を空けて離れた金属ライン
    を有する半導体基板上の集積回路構造の酸化物層上に低
    k酸化ケイ素誘電性材料の複合材層を形成するための方
    法であって、 該低k酸化ケイ素誘電性材料の複合材層は、近接して間
    隔を空けて離れた金属ライン間の高アスペクト比領域に
    おいてボイドフリー堆積性、及び標準k酸化ケイ素に匹
    敵する他の領域における堆積速度を呈し、バイア被毒特
    性を呈することがなく、 下記工程: a)前記酸化物層及び前記金属ラインの上に、前記近接
    して間隔を空けて離れた金属ライン間の高アスペクト比
    領域においてボイドフリー堆積性を呈する低k酸化ケイ
    素誘電性材料の第一の層を形成する工程、及び b)前記第一の層よりも高い堆積速度で、前記第一の層
    の上に、低k酸化ケイ素誘電性材料の第二の層を形成す
    る工程、を含む方法。
  2. 【請求項2】 低k酸化ケイ素誘電性材料の複合材層を
    形成する前記工程が、すべて単一の減圧プロセッシング
    装置において、前記半導体基板を該減圧装置から移動す
    ることなく行われる請求項1に記載の方法。
  3. 【請求項3】 前記第二の層が複合材低k酸化ケイ素誘
    電性層の好適な厚み全体まで堆積される請求項2に記載
    の方法。
  4. 【請求項4】 高アスペクト比領域においてボイドフリ
    ー堆積性を呈する低k酸化ケイ素誘電性材料の前記第一
    の層が、炭素置換シランとマイルドな酸化剤との反応に
    よって形成される請求項2に記載の方法。
  5. 【請求項5】 前記マイルドな酸化剤が、過酸化水素を
    含む請求項4に記載の方法。
  6. 【請求項6】 前記炭素置換シランが、モノメチルシラ
    ン、ジメチルシラン及びトリメチルシランからなる群よ
    り選ばれる請求項4に記載の方法。
  7. 【請求項7】 前記炭素置換シランが、炭素原子に結合
    した一級水素のみを有し且つ式:SiHx((C)y(C
    3z(4-x)(ここで、xは1〜3の範囲であり、y
    は分岐アルキル基のための1〜4の整数及び環状アルキ
    ル基のための3〜5の整数であり、zは分岐アルキル基
    のための2y+1及び環状アルキル基のための2y−1
    である)を有する炭素置換シランを含む請求項4に記載
    の方法。
  8. 【請求項8】 高アスペクト比領域においてボイドフリ
    ー堆積性を呈する低k酸化ケイ素誘電性材料の前記第一
    の層が、酸素と、炭素置換シラン、フッ素置換シラン又
    はこれらの混合物のいずれかとの高密度プラズマにおけ
    る反応によって形成される請求項2に記載の方法。
  9. 【請求項9】 低k酸化ケイ素誘電性材料の前記第二の
    層が、前記第一の層の上に、PECVDプロセスにおけ
    るシラン、O2、及びCH4、C48及びSiF 4からな
    る群より選ばれる1以上の反応物の反応によって形成さ
    れ、これにより、低k酸化ケイ素誘電性材料の第二の層
    が第一の層より高い堆積速度で堆積される請求項2に記
    載の方法。
  10. 【請求項10】 半導体基板上の集積回路構造の酸化物
    層上に低k炭素ドープ酸化ケイ素誘電性材料の複合材層
    を形成するための方法であって、 該低k炭素ドープ酸化ケイ素誘電性材料の複合材層は、
    近接して間隔を空けて離れた金属ライン間の高アスペク
    ト比領域においてボイドフリー堆積性、及び非炭素ドー
    プ酸化ケイ素に匹敵する堆積速度を呈し、バイア被毒特
    性を呈することがなく、 下記工程: a)前記近接して間隔を空けて離れた金属ライン間の高
    アスペクト比領域においてボイドフリー堆積性を呈する
    低k酸化ケイ素誘電性材料を形成するために、炭素置換
    シラン反応物と過酸化水素とを、低k炭素ドープ酸化ケ
    イ素誘電性材料の反応生成物の得られる堆積が該酸化物
    層上の該金属ラインのトップのレベルに達するまで反応
    させることにより、前記酸化物層及び前記金属ラインの
    上に、低k炭素ドープ酸化ケイ素誘電性材料の第一の層
    を形成する工程、及び b)炭素ドープ低k酸化ケイ素誘電性材料の第二の層
    を、前記第一の層の上に、該低k炭素ドープ酸化ケイ素
    誘電性層の好適な厚み全体まで、プラズマエンハンスド
    化学蒸着(PECVD)によって形成し、これにより、
    前記第一の層よりも高い堆積速度で、低k酸化ケイ素誘
    電性材料の前記第二の層が堆積される工程、を含む方
    法。
  11. 【請求項11】 低k酸化ケイ素誘電性材料の複合材層
    を形成する前記工程が、すべて単一の減圧プロセッシン
    グ装置において、前記半導体基板を該減圧装置から移動
    することなく行われる請求項10に記載の方法。
  12. 【請求項12】 低k酸化ケイ素誘電性材料の前記第二
    の層が、前記第一の層の上に、PECVDプロセスにお
    いて、シラン、O2、及びCH4、C48及びSiF4
    らなる群より選ばれる1以上の反応物の反応によって形
    成される請求項11に記載の方法。
  13. 【請求項13】 前記近接して間隔を空けて離れた金属
    ライン間の高アスペクト比領域においてボイドフリー堆
    積性を呈する低k酸化ケイ素誘電性材料の第一の層を形
    成する前記工程の後に、前記第一の層が、低k酸化ケイ
    素誘電性材料の第二の層を形成する前記工程に先だっ
    て、平坦化される請求項1に記載の方法。
  14. 【請求項14】 低k酸化ケイ素誘電性材料の前記第一
    の層を平坦化する前記工程が、更に、ケミカルメカニカ
    ル研磨(CMP)工程を含む請求項13に記載の方法。
  15. 【請求項15】 集積回路構造の酸化物層上の低k酸化
    ケイ素誘電性材料の複合材層であって、 該低k酸化ケイ素誘電性材料の複合材層は、高アスペク
    ト比領域においてボイドフリー堆積性、及び標準k酸化
    ケイ素に匹敵する他の領域における堆積速度を呈し、バ
    イア被毒特性を呈することがなく、 下記: a)低k酸化ケイ素誘電性材料が前記酸化物層上の金属
    ラインのトップのレベルに達するまで堆積される、高ア
    スペクト比領域においてボイドフリー堆積性を呈する低
    k酸化ケイ素誘電性材料の第一の層;及び b)前記第一の層の堆積速度よりも速い堆積速度で、前
    記第一の層の上に、該低k炭素ドープ酸化ケイ素誘電性
    材料の複合材層の好適な厚み全体まで堆積される、低k
    酸化ケイ素誘電性材料の第二の層;を含む複合材層。
  16. 【請求項16】 低k酸化ケイ素誘電性材料の複合材層
    を含む前記第一及び第二の層が、すべて単一の減圧プロ
    セッシング装置において、前記半導体基板を該減圧装置
    から移動することなく形成される請求項15に記載の低
    k酸化ケイ素誘電性材料の複合材層。
  17. 【請求項17】 半導体基板の集積回路構造の酸化物層
    上の低k酸化ケイ素誘電性材料の複合材層であって、 該低k酸化ケイ素誘電性材料の複合材層は、高アスペク
    ト比領域においてボイドフリー堆積性、及び非炭素ドー
    プ酸化ケイ素に匹敵する堆積速度を呈し、バイア被毒特
    性を呈することがなく、 下記: a)炭素置換シラン反応物と過酸化水素との反応によっ
    て、低k炭素ドープ酸化ケイ素誘電性材料反応生成物の
    得られる堆積が前記酸化物層上の金属ラインのトップの
    レベルに達するまで形成される、低k炭素ドープ酸化ケ
    イ素誘電性材料の第一の層;及び b)前記第一の層の上に、プラズマエンハンスド化学蒸
    着(PECVD)によって、該低k炭素ドープ酸化ケイ
    素誘電性層の好適な厚み全体まで形成される、炭素ドー
    プ低k酸化ケイ素誘電性材料の第二の層;を含む複合材
    層。
JP2000319053A 1999-10-22 2000-10-19 バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層 Expired - Fee Related JP4731670B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/426,056 US6391795B1 (en) 1999-10-22 1999-10-22 Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US09/426056 1999-10-22

Publications (3)

Publication Number Publication Date
JP2001168193A true JP2001168193A (ja) 2001-06-22
JP2001168193A5 JP2001168193A5 (ja) 2007-11-22
JP4731670B2 JP4731670B2 (ja) 2011-07-27

Family

ID=23689100

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000319053A Expired - Fee Related JP4731670B2 (ja) 1999-10-22 2000-10-19 バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層

Country Status (3)

Country Link
US (2) US6391795B1 (ja)
EP (1) EP1094508B1 (ja)
JP (1) JP4731670B2 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1035569B1 (en) * 1997-11-27 2006-10-11 Tokyo Electron Limited Method for forming plasma films
US6268274B1 (en) * 1999-10-14 2001-07-31 Taiwan Semiconductor Manufacturing Company Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6572925B2 (en) * 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
DE10134099A1 (de) * 2001-07-13 2002-10-17 Infineon Technologies Ag Bedeckung von Leiterbahnen einer integrierten Halbleiterschaltung durch zwei Deckschichten
GB0118417D0 (en) * 2001-07-28 2001-09-19 Trikon Holdings Ltd A method of depositing a dielectric film
US6632735B2 (en) * 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20040033371A1 (en) * 2002-05-16 2004-02-19 Hacker Nigel P. Deposition of organosilsesquioxane films
US6974762B2 (en) * 2002-08-01 2005-12-13 Intel Corporation Adhesion of carbon doped oxides by silanization
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
DE10249649A1 (de) * 2002-10-24 2004-05-13 Infineon Technologies Ag Verfahren zur Herstellung einer flachen Grabenisolation
EP1435657A1 (en) * 2002-12-30 2004-07-07 STMicroelectronics S.r.l. Non-volatile memory cell and manufacturing process
US20040248400A1 (en) * 2003-06-09 2004-12-09 Kim Sun-Oo Composite low-k dielectric structure
CN100373530C (zh) * 2004-05-11 2008-03-05 中芯国际集成电路制造(上海)有限公司 多孔膜的处理方法
US7015150B2 (en) * 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
KR100519170B1 (ko) * 2004-07-13 2005-10-05 주식회사 하이닉스반도체 반도체 소자의 패시베이션막 형성방법 및 반도체 소자의패시베이션막 구조
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US9245792B2 (en) * 2008-07-25 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structures
US20100276764A1 (en) * 2009-05-04 2010-11-04 Yi-Jen Lo Semiconductor structure with selectively deposited tungsten film and method for making the same
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
EP2662884B1 (en) * 2012-05-09 2015-04-01 Nxp B.V. Group 13 nitride semiconductor device and method of its manufacture
US9230854B2 (en) 2013-04-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN110148624A (zh) * 2019-05-30 2019-08-20 上海华虹宏力半导体制造有限公司 半导体器件及其形成方法
US11373947B2 (en) * 2020-02-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures of semiconductor device

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04213829A (ja) * 1990-02-02 1992-08-04 Applied Materials Inc 半導体ウエハの段状表面にボイドを含まない酸化物層を形成する二段階法
JPH07288253A (ja) * 1994-04-18 1995-10-31 Kawasaki Steel Corp 絶縁膜の平坦化方法
JPH0869999A (ja) * 1994-08-17 1996-03-12 Texas Instr Inc <Ti> 平面化相互接続層を構成する方法と半導体装置
JPH09237785A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH1041382A (ja) * 1996-04-29 1998-02-13 Texas Instr Inc <Ti> 集積回路レベル間絶縁構造
JPH1056009A (ja) * 1996-06-03 1998-02-24 Nec Corp 半導体装置および半導体装置の製造方法
JPH1064899A (ja) * 1996-08-16 1998-03-06 Nec Corp プラズマcvd絶縁膜およびその形成方法
JPH10242143A (ja) * 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1197533A (ja) * 1997-09-22 1999-04-09 Hitachi Ltd 半導体集積回路及びその製造方法
JPH11204642A (ja) * 1998-01-19 1999-07-30 Nec Corp 半導体装置およびその製造方法
JPH11243147A (ja) * 1998-02-26 1999-09-07 Matsushita Electric Ind Co Ltd 配線構造体及びその形成方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3012861A (en) 1960-01-15 1961-12-12 Du Pont Production of silicon
US3178392A (en) 1962-04-09 1965-04-13 Rohm & Haas Heterocyclic and linear siliconmethylene and polysiloxane compounds containing siliconmethylene units and their preparation
US3652331A (en) 1968-03-22 1972-03-28 Shumpei Yamazaki Process for forming a film on the surface of a substrate by a gas phase
US3920865A (en) 1969-03-29 1975-11-18 Degussa Process of hydrophorizing highly dispersed metal or metalloid oxides
US3832202A (en) 1972-08-08 1974-08-27 Motorola Inc Liquid silica source for semiconductors liquid silica source for semiconductors
US4771328A (en) 1983-10-13 1988-09-13 International Business Machine Corporation Semiconductor device and process
JPS633437A (ja) 1986-06-23 1988-01-08 Sony Corp 半導体装置の製造方法
US4705725A (en) 1986-11-28 1987-11-10 E. I. Du Pont De Nemours And Company Substrates with sterically-protected, stable, covalently-bonded organo-silane films
JP2874297B2 (ja) 1989-12-18 1999-03-24 東ソー株式会社 逆相クロマトグラフィー用充填剤及びその製造方法
JPH0677402A (ja) 1992-07-02 1994-03-18 Natl Semiconductor Corp <Ns> 半導体デバイス用誘電体構造及びその製造方法
CA2137928C (en) 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
US5580429A (en) 1992-08-25 1996-12-03 Northeastern University Method for the deposition and modification of thin films using a combination of vacuum arcs and plasma immersion ion implantation
US5376595A (en) 1992-08-28 1994-12-27 Allied-Signal Inc. Silicon carboxide ceramics from spirosiloxanes
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5364800A (en) 1993-06-24 1994-11-15 Texas Instruments Incorporated Varying the thickness of the surface silicon layer in a silicon-on-insulator substrate
US5470801A (en) 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
JP3391410B2 (ja) 1993-09-17 2003-03-31 富士通株式会社 レジストマスクの除去方法
US5558718A (en) 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5559367A (en) 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5625232A (en) 1994-07-15 1997-04-29 Texas Instruments Incorporated Reliability of metal leads in high speed LSI semiconductors using dummy vias
JPH08172132A (ja) * 1994-09-15 1996-07-02 Texas Instr Inc <Ti> マルチレベル相互接続部の容量および性能を最適化する素子および方法
JPH08162528A (ja) * 1994-10-03 1996-06-21 Sony Corp 半導体装置の層間絶縁膜構造
US5821621A (en) * 1995-10-12 1998-10-13 Texas Instruments Incorporated Low capacitance interconnect structure for integrated circuits
KR100209365B1 (ko) 1995-11-01 1999-07-15 김영환 에스.오.아이 반도체 웨이퍼의 제조방법
US5882489A (en) 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
KR100205318B1 (ko) 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
JP3402972B2 (ja) * 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
US5953635A (en) * 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5818111A (en) * 1997-03-21 1998-10-06 Texas Instruments Incorporated Low capacitance interconnect structures in integrated circuits using a stack of low dielectric materials
US5858879A (en) 1997-06-06 1999-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching metal lines with enhanced profile control
US5915203A (en) * 1997-06-10 1999-06-22 Vlsi Technology, Inc. Method for producing deep submicron interconnect vias
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
DE19804375B4 (de) * 1997-06-26 2005-05-19 Mitsubishi Denki K.K. Verfahren zur Herstellung eines Zwischenschichtisolierfilmes
US6025263A (en) 1997-07-15 2000-02-15 Nanya Technology Corporation Underlayer process for high O3 /TEOS interlayer dielectric deposition
US5904154A (en) 1997-07-24 1999-05-18 Vanguard International Semiconductor Corporation Method for removing fluorinated photoresist layers from semiconductor substrates
US6207005B1 (en) 1997-07-29 2001-03-27 Silicon Genesis Corporation Cluster tool apparatus using plasma immersion ion implantation
US5874745A (en) 1997-08-05 1999-02-23 International Business Machines Corporation Thin film transistor with carbonaceous gate dielectric
US6348421B1 (en) * 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US6051073A (en) 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JP3189781B2 (ja) 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
US6066574A (en) 1998-11-06 2000-05-23 Advanced Micro Devices, Inc. Hot plate cure process for BCB low k interlevel dielectric
JP4454713B2 (ja) 1999-03-17 2010-04-21 株式会社半導体エネルギー研究所 半導体装置及びその作製方法
US6303047B1 (en) 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6232658B1 (en) 1999-06-30 2001-05-15 Lsi Logic Corporation Process to prevent stress cracking of dielectric films on semiconductor wafers
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6147012A (en) 1999-11-12 2000-11-14 Lsi Logic Corporation Process for forming low k silicon oxide dielectric material while suppressing pressure spiking and inhibiting increase in dielectric constant

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04213829A (ja) * 1990-02-02 1992-08-04 Applied Materials Inc 半導体ウエハの段状表面にボイドを含まない酸化物層を形成する二段階法
JPH07288253A (ja) * 1994-04-18 1995-10-31 Kawasaki Steel Corp 絶縁膜の平坦化方法
JPH0869999A (ja) * 1994-08-17 1996-03-12 Texas Instr Inc <Ti> 平面化相互接続層を構成する方法と半導体装置
JPH09237785A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH1041382A (ja) * 1996-04-29 1998-02-13 Texas Instr Inc <Ti> 集積回路レベル間絶縁構造
JPH1056009A (ja) * 1996-06-03 1998-02-24 Nec Corp 半導体装置および半導体装置の製造方法
JPH1064899A (ja) * 1996-08-16 1998-03-06 Nec Corp プラズマcvd絶縁膜およびその形成方法
JPH10242143A (ja) * 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置、半導体装置の製造方法及び半導体装置の絶縁膜形成方法
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1197533A (ja) * 1997-09-22 1999-04-09 Hitachi Ltd 半導体集積回路及びその製造方法
JPH11204642A (ja) * 1998-01-19 1999-07-30 Nec Corp 半導体装置およびその製造方法
JPH11243147A (ja) * 1998-02-26 1999-09-07 Matsushita Electric Ind Co Ltd 配線構造体及びその形成方法

Also Published As

Publication number Publication date
EP1094508A2 (en) 2001-04-25
US6800940B2 (en) 2004-10-05
US6391795B1 (en) 2002-05-21
JP4731670B2 (ja) 2011-07-27
EP1094508B1 (en) 2019-01-30
US20020123243A1 (en) 2002-09-05
EP1094508A3 (en) 2001-07-11

Similar Documents

Publication Publication Date Title
JP4731670B2 (ja) バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層
US6417092B1 (en) Low dielectric constant etch stop films
US6423630B1 (en) Process for forming low K dielectric material between metal lines
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
JP3083934B2 (ja) 表面感受性を低減したオゾン/teos酸化シリコン膜の堆積方法
US6211040B1 (en) Two-step, low argon, HDP CVD oxide deposition process
KR100407012B1 (ko) 반도체 장치 및 그 제조 방법
US7439174B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US6291334B1 (en) Etch stop layer for dual damascene process
US7309514B2 (en) Electron beam modification of CVD deposited films, forming low dielectric constant materials
US8669181B1 (en) Diffusion barrier and etch stop films
US20020048969A1 (en) Method of forming film, method of manufacturing semiconductor device, and film forming apparatus
CN110648961B (zh) 半导体结构及其形成方法
EP1037276B1 (en) Method for forming a porous silicon dioxide film
KR100292393B1 (ko) 반도체장치및그제조방법
US9177918B2 (en) Apparatus and methods for low k dielectric layers
JP3532830B2 (ja) 半導体装置及びその製造方法
US6492731B1 (en) Composite low dielectric constant film for integrated circuit structure
US6143673A (en) Method for forming gap filling silicon oxide intermetal dielectric (IMD) layer formed employing ozone-tEOS
US6562735B1 (en) Control of reaction rate in formation of low k carbon-containing silicon oxide dielectric material using organosilane, unsubstituted silane, and hydrogen peroxide reactants
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
JPH07161705A (ja) 半導体装置の多層配線層間絶縁膜の形成方法
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US6472307B1 (en) Methods for improved encapsulation of thick metal features in integrated circuit fabrication
US11728299B2 (en) Semiconductor device with tilted insulating layers and method for fabricating the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071003

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071003

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110308

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110325

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110420

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140428

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees