JPH04213829A - 半導体ウエハの段状表面にボイドを含まない酸化物層を形成する二段階法 - Google Patents

半導体ウエハの段状表面にボイドを含まない酸化物層を形成する二段階法

Info

Publication number
JPH04213829A
JPH04213829A JP3012169A JP1216991A JPH04213829A JP H04213829 A JPH04213829 A JP H04213829A JP 3012169 A JP3012169 A JP 3012169A JP 1216991 A JP1216991 A JP 1216991A JP H04213829 A JPH04213829 A JP H04213829A
Authority
JP
Japan
Prior art keywords
torr
cvd chamber
oxide layer
deposition
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP3012169A
Other languages
English (en)
Inventor
Peter Wai Man Lee
ピーター ワイ マン リー
David Nin-Kou Wang
ディヴィッド ニン コウ ワン
Makoto Nagashima
誠 永島
Kazuto Fukuma
福間 一人
Tatsuya Sato
辰哉 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH04213829A publication Critical patent/JPH04213829A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体ウエハの段状表
面に酸化物層を形成する方法に関する。更に詳しく言え
ば、本発明は半導体ウエハの段状表面に酸化物層を形成
する二段階法であって、該ウエハ表面の高い部分間の酸
化物で満たされる領域におけるボイドの形成を防止し得
る二段階法に関するものである。
【0002】
【従来の技術】半導体ウエハの段状表面での酸化物層の
形成は、絶縁層または平坦化層の何れかの形成もしくは
これら両者の形成にとって周知の方法である。例えば、
該段状表面が、該ウエハ表面上の他の以前に形成された
集積回路構造上に突出する導体線を形成した結果である
場合、該導体線上へのあるいは該導体線間への酸化物の
堆積層は該導体線の絶縁層および平坦化媒体として機能
する。
【0003】同様に、該段状表面が、例えば該ウエハ内
に形成された隣接する活性デバイス間に電気的絶縁性を
付与するために、該ウエハにスロットまたは溝を形成し
た結果である場合には、同様に平坦化材料としても機能
する酸化物絶縁材料で該スロットまたは溝を少なくとも
部分的にみたすことが望ましい。いずれの例においても
、ボイド形成という問題が、該酸化物の堆積中に、密に
隔置された突出する線間および/または高アスペクト比
の溝間において生ずる可能性がある。ボイドの形成は、
酸化物が該溝の底部分または突出する線間の空間近傍に
おいて、側壁の上部領域に沿った部分、特に上部角部分
におけるよりも低速で形成される場合に起こる。このボ
イド形成は該酸化物のネックインおよび該ネックイン領
域の下部の満たされていない、即ちボイド領域のトラッ
ピングを生ずる。後の平坦化ではオ−バ−レイ酸化物を
十分に除去して、有害な結果をもたらすこのボイド領域
を露呈させることができる。
【0004】該ウエハおよび該ウエハ内に既に形成され
た集積回路構造に損傷を与える温度よりも低い温度に加
熱した場合に流動する、不純物をドーピングしたガラス
を使用することは、少なくとも部分的にこのようなボイ
ドの形成の問題を解決し、また段状構造の平坦化にも有
益であることが知られている。しかしながら、不純物を
ドーピングした絶縁材料の使用が常に望ましいわけでは
ない。というのは、後の加熱の際に該ド−パントが該半
導体ウエハ内の隣接構造中に移動する恐れがあり、また
このような物質が吸湿性を有するからである。
【0005】本発明とは相互参照関係にある本出願人の
特許出願第143,800 号において、本発明者等は
、珪素源としてテトラエチルオルトシリケ−ト(TEO
S)を使用した、硼燐珪酸ガラス(BPSG)の二段階
化学蒸着法(CVD形成)の利用を教示した。そこで特
許請求した方法では、第一工程はプラズマの助けをかり
ずに実施して、ボイド形成を防止する条件下で大部分の
所定の不純物をドーピングした酸化物ガラスを形成し、
次いで残りの堆積を第二工程において、より吸湿性の低
い第二被覆層を与えるプラズマの存在下で実施している
【0006】しかしながら、半導体ウエハの段状表面上
に酸化物層を形成し、一方でボイドの形成を防止し得、
また不純物をドーピングしたまたはしてない酸化物ガラ
ス層のいずれを形成することもできる方法を提供できる
ことが望ましい。
【0007】
【発明の構成】従って、本発明の一つの目的は、半導体
ウエハの段状表面上に酸化物層を形成し、一方で該酸化
物層の形成中におけるボイドの形成を防止する二段階法
を提供することにある。本発明のもう一つの目的は、珪
素源としてテトラエチルオルトシリケ−ト(TEOS)
および酸素源の一部としてO3を使用し、半導体ウエハ
の段状表面上に酸化物層を形成する二段階法を提供する
ことにあり、該方法は第一工程において約250Tor
r 〜760Torr の圧力下で該ウエハの高い部分
間の領域に酸化物を形成し、第二工程においてより低い
圧力の下で該酸化物層の残りの部分を形成する各工程を
含む。
【0008】本発明の更に別の目的は、珪素源としてT
EOSおよび酸素源の一部としてO3を使用し、半導体
ウエハの段状表面上に酸化物層を形成する二段階法を提
供することにあり、該方法は第一工程において約250
Torr 〜約760Torr の圧力下で該ウエハの
高い部分間の領域に酸化物を形成し、第二工程において
約40Torr〜約250Torr の圧力の下で該酸
化物層の残りの部分を形成する各工程を含む。
【0009】本発明のさらに他の目的は、珪素源として
TEOSおよび酸素源の一部としてO3を使用し、半導
体ウエハの段状表面上に酸化物層を形成する二段階法を
提供することにあり、該方法は第一工程において約30
0Torr 〜約760Torr の圧力下で該ウエハ
の高い部分間の領域に酸化物を形成し、第二工程におい
て約40Torr〜約200Torr の圧力の下で該
酸化物層の残りの部分を形成する各工程を含む。
【0010】本発明の他の目的は、珪素源としてTEO
Sおよび酸素源の一部としてO3を使用し、半導体ウエ
ハの段状表面上に酸化物層を形成する二段階法を提供す
ることにあり、該方法は第一工程において約500To
rr 〜約760Torr の圧力下で該ウエハの高い
部分間の領域に酸化物を形成し、第二工程において約4
0Torr〜約100Torr の圧力の下で該酸化物
層の残りの部分を形成する各工程を含む。
【0011】本発明の他の目的は、珪素源としてTEO
Sおよび酸素源の一部としてO3を使用し、半導体ウエ
ハの段状表面上に酸化物層を形成する二段階法を提供す
ることにあり、該方法は第一工程において約500To
rr 〜約760Torr の圧力下で該ウエハの高い
部分間の領域に酸化物を形成し、第二工程において約4
0Torr〜約100Torr の圧力の下で該酸化物
層の残りの部分を形成する各工程を含む。
【0012】本発明の他の目的は、硼素および/または
燐のガス源の存在下または不在下で珪素源としてTEO
Sを使用し、半導体ウエハの段状表面上に酸化物層を形
成する二段階法を提供することにあり、該方法は第一工
程において該CVD チャンバー内でプラズマを利用し
、もしくは利用せずに、約500Torr 〜約760
Torr の圧力下で該ウエハの高い部分間の領域に酸
化物を形成し、第二工程においては同様に該CVD チ
ャンバー内でプラズマを利用し、もしくは利用せずに、
約40Torr〜約100Torr の圧力の下で該酸
化物層の残りの部分を形成する各工程を含む。
【0013】これらのおよび他の本発明の目的は以下の
記載および添付図面から明らかになるであろう。本発明
によれば、新規な二段階法で複合酸化物の絶縁層および
平坦化層が半導体ウエハの段状表面に形成される。この
本発明の方法により形成される複合酸化物層は識別でき
る程のボイドをもたないことを特徴とする。
【0014】本発明の二段階酸化物堆積法は、図2に示
すように、珪素源としてガス状テトラエチルオルトシリ
ケ−ト(TEOS)および一部分がO3からなるガス状
酸素源を使用した高圧CVD 蒸着によりボイドを含ま
ない酸化物層40を形成する第一の工程と、同様にO3
を含むガス状酸素源および珪素源としてガス状TEOS
を使用して、低圧堆積法を利用して、該段状ウエハ上に
高速で堆積される酸化物の追加の層50を形成する第二
の工程とを含む。
【0015】ここで、「半導体ウエハの段状表面」なる
用語は、半導体表面の部分がそれら部分間の表面の高さ
に比して高くなっているような表面を有する半導体ウエ
ハを意味する。従って、この用語は全表面に渡って高く
なっている構造、例えばウエハ表面上で盛り上がってい
る線、並びにウエハ表面上に形成された溝、特にアスペ
クト比の高い溝、即ち深さ対幅の比が1以上の溝(ここ
で、例えば該溝またはスロットの側壁は該溝の底部に対
して高くなっている)を持つウエハ表面等を含むことが
できる。従って、ここでは「該ウエハの高い部分」なる
表現は、ウエハ上に形成された盛り上がった線および狭
い溝の側壁両者を含む表面トポグラフィ−を記載してい
ることを意味する。
【0016】第一堆積工程では、該半導体ウエハは、例
えばアプライドマテリアルズ社(Applied Ma
terials, Inc.) から入手できる500
0シリ−ズシステム等の真空蒸着装置のCVD チャン
バー内の支持ベ−スまたはサセプタ上に載置される。該
ウエハおよびサセプタは約300 〜約600 °C 
、好ましくは約350 〜約450 °C の範囲内の
温度に加熱され、次いでこの堆積中ずっとこの温度範囲
に維持される。
【0017】本発明によれば、この第一堆積工程におけ
る該堆積中、該チャンバーは約250Torr 〜約7
60Torr 、好ましくは約300Torr 〜約7
60Torr および最も好ましくは約500Torr
 〜約760Torr の範囲内の高圧に維持される。 該第一堆積工程をこの範囲内の高圧下で行うことにより
、堆積速度は約100 〜約500 Å/分、典型的に
は約300 Å/分程度の低いものとなり、かつ150
%を越える回り込み率をもたらす。ここで、用語「回り
込み率」とは、例えば盛り上がった線の頂部または溝の
底部等の垂直面上の堆積率で割った垂直面(例えば、盛
り上がった線の側壁または溝の側壁)上の堆積率として
定義される。例えば、二本の盛り上がった線間の距離が
0.5 μであり、かつ該線の高さが1μである場合、
150%を越える回り込み率をもたらす堆積速度とは、
該盛り上がった線の各垂直面上での厚み0.25μの堆
積は、対向する盛り上がった線間の側壁上での該酸化物
の十分な堆積をもたらし、一方僅かに約0.16〜0.
17μの該酸化物が該ウエハの頂部および水平面上に堆
積するにすぎないことを意味する。
【0018】この第一堆積工程中のこのような低堆積率
と高回り込み率との組み合わせは、該ウエハの高い部分
間の低い領域中にボイドを含まない酸化物層の形成をも
たらすことがわかった。該ウエハを該CVD チャンバ
ー内に配置したのち、ガス状酸素源とガス状珪素源とし
てのテトラエチルオルトシリケ−ト(TEOS)とを含
むガス混合物を該CVD チャンバー内に導入する。こ
のガス混合物には、キャリヤ−ガス、例えばアルゴン、
窒素、またはヘリウムを存在させてもよい。
【0019】該ガス状酸素源は、O2、O3、H2O2
およびその混合物を含む、様々な酸素−含有ガスを含む
ことができる。好ましくは、このガス状酸素源は少なく
とも1vol%のO3を含み、残部がO2を含むような
酸素−含有ガスの混合物からなる。この酸素−含有ガス
中に少なくとも少量のO3を使用することは、該工程に
おいてプラズマが使用されない場合にとりわけ重要であ
る。このガス状酸素源はまたキャリヤ−ガス、例えばア
ルゴン、窒素、またはヘリウムを含むことができる。し
かしながら、このような混合物の少なくとも10vol
%は酸素−含有ガスで構成されていなければならない。 このガス状酸素源は、約500 〜約10,000sc
cmの範囲内の流量で該真空蒸着チャンバーに流される
【0020】既に述べたように、珪素源は本質的にボイ
ドを含まない酸化物層の形成を保証するために、テトラ
エチルオルトシリケ−トからなっている。このテトラエ
チルオルトシリケ−トは通常不活性キャリヤガス、例え
ばアルゴン、窒素、またはヘリウム等と混合されるか、
酸素含有キャリヤガスと混合される。このテトラエチル
オルトシリケ−トとキャリヤガスとの比率は、テトラエ
チルオルトシリケ−ト約0.1vol% 〜約20vo
l%とすべきである。堆積チャンバーへの該テトラエチ
ルオルトシリケ−ト/キャリヤガス混合物の流量は約1
00 〜約10,000sccmの範囲内とされる。T
EOS対O3の比は約1:100 〜約2:1 、典型
的には約1:2 であり得る。
【0021】最高の堆積速度を得るための、該堆積チャ
ンバーへのガス状反応物の最適の全流量は、この堆積工
程に使用する特定の真空装置の設計および幾何に応じて
幾分変化する。この流量が高すぎる場合には、該堆積速
度は低下する。というのは、該チャンバー中の該ガスの
滞留時間が反応を生ずるためには短かすぎるからである
。一方、低流量の使用は、集積回路構造が既に形成され
ているシリコンウエハ以外のいたる部分での該酸化物ま
たはガラスの反応および堆積を生ずる。通常、いずれか
の工程での該真空堆積チャンバー内への該ガスの全流量
は、従って約650 〜約20,000sccmの範囲
内にある。
【0022】本発明によれば、第一工程中の堆積時間は
、該第一堆積工程中に十分な量の酸化物が堆積するよう
に制御されて、該ウエハの高い部分間の領域を、少なく
とも該ウエハの高い部分の元の表面の位置にまで満たす
ようにする。所定の酸化物の残りの量は第二の、低圧下
での工程中に堆積され、この堆積は酸化物の所定の全厚
さとなるまで行われる。
【0023】該第二の堆積工程は、温度、ガス成分、お
よび該真空堆積チャンバーへのガス流量については該第
一工程と同様の条件の下で実施できるが、この第二堆積
工程において、圧力は該第一工程の圧力よりも低い圧力
から、約40Torrまでの範囲内、好ましくは約40
Torr〜約200 Torrの範囲内および最も好ま
しくは約40Torr〜約100 Torrの範囲内の
レベルまで下げられる。
【0024】この第二の堆積を低圧の下で行うことによ
り、半導体ウエハ上への酸化物の堆積速度は速くなる。 例えば、本発明に従って、該ウエハへの酸化物の堆積を
約40Torr〜約100 Torrの範囲内の圧力の
下で行うと、約100A/分という該第一の高圧堆積工
程中の平均堆積速度とは対照的に、3000 A/分も
の高い速度で酸化物を形成し、かつ該ウエハ上に堆積さ
せ得る。
【0025】本発明に従って、低堆積速度で第一堆積工
程を実施することは、該ウエハ構造の高い部分間の該ウ
エハ上の低い領域を満たすのに利用される酸化物層中に
おけるボイドの形成を防止する上で重要であるが、この
ような堆積速度は現実に必要とされない限り極めて不経
済である。従って、該低い領域が酸化物で満たされた後
に該酸化物層の全厚みを増す目的で更に酸化物を堆積し
たい場合であって、特にボイド形成の問題が最早存在し
ない場合には、該酸化物の堆積速度を高めることが可能
であることが極めて望ましい。
【0026】かくして、例えば高い線間の領域の幅(あ
るいは溝またはスロットの幅)が、以前に議論したよう
に約0.5 μであり、かつ(該第一の高圧堆積工程中
の)垂直面上での堆積速度が略水平堆積速度の1.5 
倍である場合には、該盛り上がった線の側壁または溝の
側壁の各々の上での厚み約0.25μなる堆積は、ボイ
ドが形成される可能性のある該低い領域を十分に満たす
が、該ウエハ構造の水平表面上では約0.16〜0.1
7μ程度の堆積をもたらすにすぎない。水平面上に1μ
の酸化物層を形成したい場合には、該第一堆積工程で利
用した約100A/分の堆積速度で該構造上に更に0.
83〜0.84μ(8300〜8400Å)の酸化物を
堆積するために、更に83〜84分を要する。逆に、3
000 A/分の堆積速度では、3分未満で所定の追加
の酸化物が形成されるであろう。
【0027】以上、本発明の方法を半導体層の段状表面
への不純物をドーピングしてない酸化物の層の二段階堆
積について記載してきたが、この方法は同様に、半導体
ウエハの段状表面上に不純物をドーピングした酸化物、
即ち硼素−ド−プガラス(BDG) 、燐−ド−プガラ
ス(PSG) 、または硼素・燐−ド−プガラス(BP
SG)を堆積する場合にも利用できることに注意すべき
である。
【0028】本発明の方法を利用して、ド−プ酸化物ガ
ラスを堆積しようとする場合、硼素および燐のガス源は
それぞれ硼素または燐を含む任意のガスと、該ガラスの
堆積を妨害せず、しかも分解して、この方法におけるO
3を含む酸素源およびTEOS両者と反応し得るガスを
形成し得る他の物質とを含むことができる。好ましくは
、このような硼素および燐のガス源は有機硼素−含有お
よび燐−含有ガスであり、これらは分解温度にて分解し
、該ガスの他の成分は気化され、かつ該CVD チャン
バー内に特定の圧力を保ために使用される真空ポンプ系
により排除される。
【0029】このような硼素および燐のガス源の例は、
トリエチルフォスフィン(TEPine)、トリエチル
フォスファイト(TEPite)、トリメチルフォスフ
ェ−ト(TMP) 、トリメチルボレ−ト(TMB) 
、(トリメチルシリル)フォスフェ−ト、(トリメチル
シリル)ボレ−ト、およびこれらの混合物等を包含する
。しかしながら、硼素または燐のガス源は有機化合物で
ある必要はないことに注意すべきである。しかしながら
、使用する硼素および/または燐−含有化合物は、TE
OSの分解前に、O3を含む酸素と反応し得るほどに反
応性である必要はない。従って、この化合物がO3およ
びTEOSの分解生成物両者と反応性でなければならな
いという要件が必要とされるにすぎない。硼素および/
または燐−含有有機化合物、例えば上に列挙したものは
特定の反応条件下で極めてゆっくり分解して、O3およ
びTEOSの分解生成物両者と所定の反応を起こすこと
がわかった。
【0030】硼素および燐ド−パントが使用される場合
に、これらのガス源は適当な非反応性のキャリヤガス、
例えばアルゴン、ヘリウムまたは窒素と混合することが
できる。このようなキャリヤガス/ガス状ドーパント源
混合物中に存在する硼素および燐のガス源の量は該ドー
パント/キャリヤガス混合物基準で約2〜100 重量
% の範囲内であり得、その残りはキャリヤガスからな
っている。ここで、いくつかの揮発性の有機ドーパント
源に対しては、このようなキャリヤガスの使用は不要で
ある。
【0031】硼素含有酸化物を形成しようとする場合、
硼素のガス源(またはキャリヤガスとの混合物)を、約
10〜約3000sccmの流量で該CVD チャンバ
ー内に流すことができる。燐のガス源(またはキャリヤ
ガスとの混合物)も、約10〜約3000sccmの割
合で該チャンバー内に流すことができる。一例として、
各々約50sccmの硼素および燐ド−パントを、約1
000sccmのTEOS−含有ガス、約2000sc
cmのO3含有ガスと共に該CVD チャンバー内に流
して、硼素および燐をド−プした酸化物ガラス(BPS
G)を形成することができる。
【0032】また、堆積チャンバー内の、該ウエハが載
せられている該サセプタと、該ガスを該チャンバー内に
導入する面板または「シャワ−ヘッド」との間でプラズ
マを発生しつつ本発明の両CVD 工程を実施すること
も可能である。しかしながら、特に本発明の方法の第一
工程と組み合わせてプラズマが使用される場合、該ウエ
ハの高い部分間の幅は約0.35μを越えてはならない
。満たすべき高い線間の間隔または溝の幅が約0.35
μ未満である場合、この方法の第一工程ではプラズマを
使用しないことが好ましい。
【0033】本発明の方法の第一または第二工程にてプ
ラズマを使用する場合、このようなプラズマ−利用CV
D 堆積中のプラズマの出力レベルは約25〜約500
W、好ましくは約50〜約200Wの範囲内であり得る
【0034】
【実施例】本発明の実施を更に説明するために、約0.
5 μの間隔で高さ約1μのポリシリコン線の盛り上が
ったパタ−ンを予め形成したシリコンウエハを、圧力約
760Torr でかつ約390 °C に加熱したア
プライドマテリアルズ社の5000シリ−ズ真空装置の
CVD チャンバー内に設置した。約3000sccm
のTEOS(4モル% TEOS/残部のヘリウム)と
約4000sccmの酸素混合物(8 wt% O3/
92 wt% O2)とからなるガス混合物を該ウエハ
上に約5.67分流して、約300 A/分の速度で、
該パタ−ン化したシリコンウエハ上に厚み約0.17μ
の酸化物層を堆積した。この酸化物層の厚みは高いポリ
シリコン線間の低い領域を該酸化物で満たすのに十分で
あった。
【0035】次いで、該チャンバーの圧力を約60To
rrに下げ、かつこの堆積工程を更に2.77分行って
、該ポリシリコン線の頂部面上に全厚み約1μの酸化物
層を形成した。次に、このウエハを該真空チャンバーか
ら取り出して、H2SO4:H2O2:H2O溶液中に
10分間浸漬することにより湿式洗浄して、新たに形成
された酸化物表面から不純物を除去した。
【0036】このウエハを、次に分割し、該ポリシリコ
ン線間の塞がれた領域内の酸化物層中のボイドの存在に
つき、2000X の顕微鏡下で調べた。肉眼で認識し
得るボイドはみいだされなかった。
【0037】
【発明の効果】かくして、本発明は半導体ウエハ上に酸
化物の絶縁層および平坦化層を形成するための改良法を
提供し、該方法においては、本質的にボイドを含まない
酸化物層が、TEOSとO3を含有する酸素とのガス状
混合物を使用し、少なくとも250 Torr〜760
 Torrまでの高い圧力下で実施される第一のCVD
 工程にて、少なくとも段状半導体表面の高い部分間に
形成され、次いでさらなる酸化物層が第二の堆積工程中
に、低圧下でかつより高い堆積速度で該構造上に形成さ
れる。
【図面の簡単な説明】
【図1】図1は従来技術により段状表面に形成された酸
化物堆積層の構造の縦断面図であって、密に隔置された
高い線と高アスペクト比の溝と該高い線間の空洞および
該溝内に形成されたボイドを有する酸化物の平坦化層と
で形成された、段状表面を有する半導体ウエハを示す図
である。
【図2】図2は段状表面を有する半導体ウエハの縦断面
図であって、本発明の方法により形成され、高圧下での
CVD 堆積により形成されたボイドを含まない酸化物
層および低圧下でのCVD 堆積により形成された追加
の酸化物層を含む複合酸化物層を示す図である。
【図3】図3は本発明の方法を示すフロ−図である。
【符号の説明】
40  酸化物層 50  追加の酸化物層

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】  半導体ウエハの段状表面に、酸化珪素
    層を、該酸化物層内におけるボイドの形成を防止しつつ
    、形成する二段階法であって、 a)  CVD チャンバー内で、該チャンバー内に酸
    素源と珪素のガス源としてのテトラエチルオルトシリケ
    −トとを含むガス混合物を流し、一方で該CVD チャ
    ンバー内の圧力を約250 Torr〜約760 To
    rrの範囲に維持することにより、該半導体ウエハの段
    状表面に酸化珪素層を堆積する工程、および b)  CVD チャンバー内で、該チャンバー内に酸
    素源と珪素のガス源としてのテトラエチルオルトシリケ
    −トとを含むガス混合物を流し、一方で該CVD チャ
    ンバー内の圧力を、該第一堆積工程の圧力よりも低い圧
    力に維持する工程を含む、ことを特徴とする上記方法。
  2. 【請求項2】  該第二堆積工程中の該CVD チャン
    バー内の圧力を約40Torr〜約250Torr の
    範囲に維持することを特徴とする請求項1記載の方法。
  3. 【請求項3】  該第一堆積工程の該CVD チャンバ
    ー内の圧力を約300 Torr〜約760 Torr
    の範囲に維持することを特徴とする請求項2記載の方法
  4. 【請求項4】  該第二堆積工程中の該CVD チャン
    バー内の圧力を約40Torr〜約200Torr の
    範囲に維持することを特徴とする請求項3記載の方法。
  5. 【請求項5】  該第一堆積工程の該CVD チャンバ
    ー内の圧力を約500 Torr〜約760 Torr
    の範囲に維持することを特徴とする請求項4記載の方法
  6. 【請求項6】  該第二堆積工程中の該CVD チャン
    バー内の圧力を約40Torr〜約100Torr の
    範囲に維持することを特徴とする請求項5記載の方法。
  7. 【請求項7】  該堆積工程の少なくとも一方を、該C
    VD チャンバー内のプラズマの出力レベルを約25〜
    約500 Wに維持しつつ実施する請求項1記載の方法
  8. 【請求項8】  該第一および第二堆積工程両者を、該
    CVD チャンバー内のプラズマの出力レベルを約25
    〜約500 Wに維持しつつ実施する請求項1記載の方
    法。
  9. 【請求項9】  該第一および第二堆積工程の一方もし
    くは両者を、該CVD チャンバー内に硼素のガス源、
    燐のガス源あるいはこれらのガスの混合物からなる群か
    ら選ばれる一種以上の追加のガスを流しつつ実施する請
    求項1記載の方法。
  10. 【請求項10】  半導体ウエハの段状表面に、酸化珪
    素層を、該酸化物層内におけるボイドの形成を防止しつ
    つ、形成する二段階法であって、 a)  CVD チャンバー内で、該チャンバー内に酸
    素源と珪素のガス源としてのテトラエチルオルトシリケ
    −トとを含むガス混合物を流し、ここで該酸素源の少な
    くとも一部はO3を含み、一方で該CVD チャンバー
    内の圧力を約500 Torr〜約760 Torrの
    範囲に維持することにより、該半導体ウエハの段状表面
    に酸化珪素層を堆積する工程、および b)  CVD チャンバー内で、該チャンバー内に酸
    素源と珪素のガス源としてのテトラエチルオルトシリケ
    −トとを含むガス混合物を流し、ここで該酸素源の少な
    くとも一部はO3を含み、一方で該CVD チャンバー
    内の圧力を、約40Torr〜約100Torr の範
    囲に維持する工程を含む、ことを特徴とする上記方法。
  11. 【請求項11】  該第一工程を、該表面の高い部分間
    の該段状表面上の低い領域が少なくともこの堆積前の該
    段状表面の隣接領域の元の位置まで、酸化物で満たされ
    るまで行う請求項10記載の方法。
  12. 【請求項12】  該第二工程を、約1μの酸化物が、
    該ウエハの水平表面上に堆積されるまで行う請求項11
    記載の方法。
  13. 【請求項13】  更に、該第一および第二堆積工程中
    、ウエハ温度を約300 °C 〜約600 °C の
    範囲に維持する請求項10記載の方法。
  14. 【請求項14】  更に、該第一および第二堆積工程中
    、該真空チャンバー内の該酸素ガス源の流量を約500
    sccm 〜約10,000sccmの範囲に維持する
    請求項10記載の方法。
  15. 【請求項15】  更に、該第一および第二堆積工程中
    、該真空チャンバー内の該TEOSガスの流量を約10
    0sccm 〜約10,000sccmの範囲に維持す
    る請求項10記載の方法。
  16. 【請求項16】  更に、該第一および第二堆積工程中
    、TEOS対O3の比を約1:100 〜約2:1 の
    範囲に維持する請求項10記載の方法。
  17. 【請求項17】  該工程が、更に該酸素ガス源に少な
    くとも1 vol%のO3を加えることを含み、該酸素
    ガスの残部がO2、H2O2、ヘリウム、アルゴンおよ
    び窒素から選ばれる一種以上を含み、該ガスの少なくと
    も10 vol% が酸素−含有ガスである請求項10
    記載の方法。
  18. 【請求項18】  該第一および第二堆積工程の一方も
    しくは両者を、該CVD チャンバー内に硼素のガス源
    、燐のガス源あるいはこれらのガスの混合物からなる群
    から選ばれる一つ以上の追加のガスを流しつつ実施する
    請求項10記載の方法。
  19. 【請求項19】  更に、該第一および第二堆積工程中
    、該CVD チャンバー内における該各追加のガスの流
    量を約10sccm〜約3000sccmの範囲に維持
    する請求項18記載の方法。
  20. 【請求項20】  更に、該第一および第二堆積工程中
    、該CVD チャンバー内における該硼素、燐、酸素お
    よびTEOSのガス源の全流量を約650sccm 〜
    約20,000sccmの範囲に維持する請求項19記
    載の方法。
  21. 【請求項21】  少なくとも該第二工程を、該CVD
     チャンバー内のプラズマの出力レベルを約25〜約5
    00 Wに維持しつつ実施する請求項10記載の方法。
  22. 【請求項22】  少なくとも該第一工程を、該CVD
     チャンバー内のプラズマの出力レベルを約25〜約5
    00 Wに維持しつつ実施する請求項10記載の方法。
  23. 【請求項23】  該第一および第二堆積工程両者を、
    該CVD チャンバー内のプラズマの出力レベルを約2
    5〜約500 Wに維持しつつ実施する請求項10記載
    の方法。
  24. 【請求項24】  半導体ウエハの段状表面に、酸化珪
    素層を、該酸化物層内におけるボイドの形成を防止しつ
    つ、形成する二段階法であって、 a)  CVD チャンバー内で、該チャンバー内に1
    )  少なくとも1 vol%のO3と、残部のO2、
    H2O2、ヘリウム、アルゴンおよび窒素から選ばれる
    一種以上とを含み、その少なくとも10 vol% が
    酸素−含有ガスである酸素源と、 2)  珪素のガス源としてのテトラエチルオルトシリ
    ケ−ト、とを含むガス混合物を流し、一方で第一の堆積
    工程中、TEOS対O3の比を約1:100 〜約2:
    1 の範囲に維持することにより、該半導体ウエハの段
    状表面に酸化珪素の第一層を堆積する工程と、 b)  該CVD チャンバー内の圧力を約500 T
    orr〜約760 Torrの範囲に維持する工程と、 c)  CVD チャンバー内で、該チャンバー内に少
    なくとも1 vol%のO3を含む酸素源と珪素のガス
    源としてのテトラエチルオルトシリケ−トとを含むガス
    混合物を流し、一方で該CVD チャンバー内の圧力を
    、約40Torr〜約100Torr の範囲に維持し
    、かつTEOS対O3の比を約1:100 〜約2:1
     の範囲に維持して、該第一層上に第二の酸化物層を堆
    積する工程と、を含むことを特徴とする上記方法。
JP3012169A 1990-02-02 1991-02-01 半導体ウエハの段状表面にボイドを含まない酸化物層を形成する二段階法 Pending JPH04213829A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/474,177 US5314845A (en) 1989-09-28 1990-02-02 Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US474177 1990-02-02

Publications (1)

Publication Number Publication Date
JPH04213829A true JPH04213829A (ja) 1992-08-04

Family

ID=23882492

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3012169A Pending JPH04213829A (ja) 1990-02-02 1991-02-01 半導体ウエハの段状表面にボイドを含まない酸化物層を形成する二段階法

Country Status (6)

Country Link
US (1) US5314845A (ja)
EP (1) EP0440154B1 (ja)
JP (1) JPH04213829A (ja)
KR (1) KR910016049A (ja)
DE (1) DE69118727T2 (ja)
ES (1) ES2088783T3 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5403630A (en) * 1992-10-27 1995-04-04 Kabushiki Kaisha Toshiba Vapor-phase growth method for forming S2 O2 films
JP2001168193A (ja) * 1999-10-22 2001-06-22 Lsi Logic Corp バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層
US6852587B2 (en) 2000-05-26 2005-02-08 Fujitsu Limited Method for fabricating a semiconductor device
JP2008205502A (ja) * 2008-04-23 2008-09-04 Fujitsu Ltd 半導体装置の製造方法

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2859288B2 (ja) * 1989-03-20 1999-02-17 株式会社日立製作所 半導体集積回路装置及びその製造方法
JPH04152640A (ja) * 1990-10-17 1992-05-26 Semiconductor Energy Lab Co Ltd 絶縁ゲイト型半導体装置の作製方法
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
US5246887A (en) * 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
JPH05243402A (ja) * 1992-03-03 1993-09-21 Nec Corp 半導体装置の製造方法
DE69311184T2 (de) * 1992-03-27 1997-09-18 Matsushita Electric Ind Co Ltd Halbleitervorrichtung samt Herstellungsverfahren
JPH06330326A (ja) * 1993-03-26 1994-11-29 Shin Etsu Chem Co Ltd シリカ薄膜の製造方法
DE59405680D1 (de) * 1993-06-23 1998-05-20 Siemens Ag Verfahren zur Herstellung eines Isolationsgrabens in einem Substrat für Smart-Power-Technologien
KR0119961B1 (ko) * 1993-12-30 1997-10-27 김주용 반도체 소자의 캐패시터 제조방법
US5489553A (en) * 1995-05-25 1996-02-06 Industrial Technology Research Institute HF vapor surface treatment for the 03 teos gap filling deposition
US6489255B1 (en) * 1995-06-05 2002-12-03 International Business Machines Corporation Low temperature/low dopant oxide glass film
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0992717A (ja) * 1995-09-21 1997-04-04 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5814545A (en) * 1995-10-02 1998-09-29 Motorola, Inc. Semiconductor device having a phosphorus doped PECVD film and a method of manufacture
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
EP0926731A1 (en) * 1997-12-18 1999-06-30 STMicroelectronics S.r.l. Process for the final passivation of intergrated circuits
GB9801359D0 (en) * 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
JP2002505532A (ja) * 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド 高段差被覆性を伴うシリコン堆積方法
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6384466B1 (en) * 1998-08-27 2002-05-07 Micron Technology, Inc. Multi-layer dielectric and method of forming same
US6159870A (en) * 1998-12-11 2000-12-12 International Business Machines Corporation Borophosphosilicate glass incorporated with fluorine for low thermal budget gap fill
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
US6303496B1 (en) 1999-04-27 2001-10-16 Cypress Semiconductor Corporation Methods of filling constrained spaces with insulating materials and/or of forming contact holes and/or contacts in an integrated circuit
EP1050601A1 (en) * 1999-05-04 2000-11-08 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
DE69940114D1 (de) * 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6090725A (en) * 1999-08-30 2000-07-18 Mosel Vitelic Inc. Method for preventing bubble defects in BPSG film
US6888247B2 (en) * 1999-09-03 2005-05-03 United Microelectronics Corp. Interconnect structure with an enlarged air gaps disposed between conductive structures or surrounding a conductive structure within the same
US6756674B1 (en) 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6423628B1 (en) 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
KR100423913B1 (ko) * 2001-12-28 2004-03-22 삼성전자주식회사 루테늄 함유 박막 형성 방법
KR100389913B1 (ko) * 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6489254B1 (en) * 2000-08-29 2002-12-03 Atmel Corporation Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
KR100434489B1 (ko) 2001-03-22 2004-06-05 삼성전자주식회사 루테늄 산화막 씨딩층을 포함하는 루테늄막 증착 방법
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6566171B1 (en) * 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004214610A (ja) * 2002-12-20 2004-07-29 Renesas Technology Corp 半導体装置の製造方法
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
KR100689655B1 (ko) * 2005-12-06 2007-03-08 주식회사 아토 테트라에틸올쏘실리케이트를 이용한 플라즈마 씨브이디증착 방법
KR101104635B1 (ko) * 2009-09-25 2012-01-12 가부시키가이샤 사무코 에피택셜 실리콘 웨이퍼의 제조 방법
JP5052638B2 (ja) * 2010-03-17 2012-10-17 Sppテクノロジーズ株式会社 成膜方法
US8828878B2 (en) 2011-06-01 2014-09-09 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
JP2014082322A (ja) * 2012-10-16 2014-05-08 Tokyo Electron Ltd シリコン窒化物膜の成膜方法および成膜装置
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US10050035B2 (en) 2014-01-17 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making protective layer over polysilicon structure
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US9443730B2 (en) 2014-07-18 2016-09-13 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
CN112992672B (zh) * 2019-12-16 2022-10-14 山东有研半导体材料有限公司 一种硅基二氧化硅背封薄膜的制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS615526A (ja) * 1984-06-20 1986-01-11 Hitachi Ltd 処理装置
JPS62108530A (ja) * 1985-11-06 1987-05-19 Nec Corp シリコン酸化膜の形成方法
JPS63164344A (ja) * 1986-12-26 1988-07-07 Toshiba Corp 半導体装置
JPH01185945A (ja) * 1988-01-21 1989-07-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH01212442A (ja) * 1988-02-19 1989-08-25 Fujitsu Ltd 気相成長方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3584264A (en) * 1968-03-21 1971-06-08 Westinghouse Electric Corp Encapsulated microcircuit device
US4268711A (en) * 1979-04-26 1981-05-19 Optical Coating Laboratory, Inc. Method and apparatus for forming films from vapors using a contained plasma source
JPH0246812B2 (ja) * 1982-11-09 1990-10-17 Kogyo Gijutsuin Kaiten*ofukudohenkansochi
GB2137808A (en) * 1983-04-06 1984-10-10 Plessey Co Plc Integrated circuit processing method
JPS59188145A (ja) * 1983-04-08 1984-10-25 Oki Electric Ind Co Ltd 半導体装置
JPS60171741A (ja) * 1984-02-17 1985-09-05 Fujitsu Ltd 半導体装置の製造方法
DE3679596D1 (de) * 1985-05-22 1991-07-11 Siemens Ag Verfahren zum herstellen von mit bor und phosphor dotierten siliziumoxid-schichten fuer integrierte halbleiterschaltungen.
US4845054A (en) * 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
KR870000750A (ko) * 1985-06-14 1987-02-20 이마드 마하윌리 이산화실리콘 필름을 화학적으로 증기피복하는 방법
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4818335A (en) * 1988-05-13 1989-04-04 The United States Of America As Represented By The Director Of The National Security Agency Tapered wet etching of contacts using a trilayer silox structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS615526A (ja) * 1984-06-20 1986-01-11 Hitachi Ltd 処理装置
JPS62108530A (ja) * 1985-11-06 1987-05-19 Nec Corp シリコン酸化膜の形成方法
JPS63164344A (ja) * 1986-12-26 1988-07-07 Toshiba Corp 半導体装置
JPH01185945A (ja) * 1988-01-21 1989-07-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH01212442A (ja) * 1988-02-19 1989-08-25 Fujitsu Ltd 気相成長方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5403630A (en) * 1992-10-27 1995-04-04 Kabushiki Kaisha Toshiba Vapor-phase growth method for forming S2 O2 films
JP2001168193A (ja) * 1999-10-22 2001-06-22 Lsi Logic Corp バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層
JP4731670B2 (ja) * 1999-10-22 2011-07-27 エルエスアイ コーポレーション バイア被毒を緩和しつつ金属ライン間にボイドフリー低k誘電性材料を提供する集積回路構造のための低K誘電性複合材層
US6852587B2 (en) 2000-05-26 2005-02-08 Fujitsu Limited Method for fabricating a semiconductor device
JP2008205502A (ja) * 2008-04-23 2008-09-04 Fujitsu Ltd 半導体装置の製造方法
JP4695158B2 (ja) * 2008-04-23 2011-06-08 富士通セミコンダクター株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US5314845A (en) 1994-05-24
EP0440154B1 (en) 1996-04-17
ES2088783T3 (es) 1996-09-16
DE69118727T2 (de) 1996-12-12
DE69118727D1 (de) 1996-05-23
EP0440154A1 (en) 1991-08-07
KR910016049A (ko) 1991-09-30

Similar Documents

Publication Publication Date Title
JPH04213829A (ja) 半導体ウエハの段状表面にボイドを含まない酸化物層を形成する二段階法
US5354387A (en) Boron phosphorus silicate glass composite layer on semiconductor wafer
US9012302B2 (en) Intrench profile
US6815374B2 (en) Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
EP0421203B1 (en) An integrated circuit structure with a boron phosphorus silicate glass composite layer on semiconductor wafer and improved method for forming same
US20040018750A1 (en) Method for deposition of nitrogen doped silicon carbide films
US7273793B2 (en) Methods of filling gaps using high density plasma chemical vapor deposition
US5382550A (en) Method of depositing SiO2 on a semiconductor substrate
US7176039B1 (en) Dynamic modification of gap fill process characteristics
US5281557A (en) Soluble oxides for integrated circuit fabrication formed by the incomplete dissociation of the precursor gas
JP3195299B2 (ja) 低温リフロー誘電性フッ化bpsg
IE56660B1 (en) Semiconductor fabrication
US7491653B1 (en) Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
KR100342294B1 (ko) 저열수지 간극 충전을 위한 불소 혼입된 보로포스포실리케이트 유리
KR100339820B1 (ko) 성막방법 및 반도체장치의 제조방법
JPH0785472B2 (ja) 低温低圧熱cvd法
KR100200888B1 (ko) 층간절연막의 형성방법
KR20040107084A (ko) 높은 종횡비를 갖는 갭을 채우기 위한 고밀도 플라즈마증착방법

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19970203