KR100479796B1 - 반도체 소자 및 이의 제조 방법 - Google Patents

반도체 소자 및 이의 제조 방법 Download PDF

Info

Publication number
KR100479796B1
KR100479796B1 KR10-2003-7003543A KR20037003543A KR100479796B1 KR 100479796 B1 KR100479796 B1 KR 100479796B1 KR 20037003543 A KR20037003543 A KR 20037003543A KR 100479796 B1 KR100479796 B1 KR 100479796B1
Authority
KR
South Korea
Prior art keywords
insulating layer
atoms
amount
semiconductor device
layer
Prior art date
Application number
KR10-2003-7003543A
Other languages
English (en)
Other versions
KR20030038736A (ko
Inventor
아카호리타카시
정기시
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000274427A external-priority patent/JP2002083870A/ja
Priority claimed from JP2000274426A external-priority patent/JP4484345B2/ja
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20030038736A publication Critical patent/KR20030038736A/ko
Application granted granted Critical
Publication of KR100479796B1 publication Critical patent/KR100479796B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

에칭 방해물로서 방해층(19)를 가지고 제2 홈(14a) 및 컨텍트홀(13a)를 형성한다. 상기 제2 홈(14a) 및 컨텍트홀(13a) 내부에 구리를 매립시켜, 플러그층(22) 및 플러그층(22)을 통하여 하부 배선층(17)에 연결되는 상부 배선층(21)을 형성한다. 상기 방해층(19)는 필수 성분으로서 Si, C 및 N을 포함한다. 제1 캡층(18) 및 제2 캡층(23)도 역시 필수 성분으로서 Si, C 및 N을 포함한다.

Description

반도체 소자 및 이의 제조 방법{SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREFOR}
본 발명은 고도로 신뢰할 수 있는 반도체 소자 및 반도체 소자의 제조 방법에 관한 것이다.
고성능 고밀도 집적 회로(LSI)를 얻기 위하여 최근 구리가 배선 재료로서 사용되어 왔다. 구리는 알루미늄보다 저항성이 더 낮기 때문에 고속 회로를 제공한다. 그러나, 구리는 확산율이 높기 때문에 반도체와 직접 접촉하는 경우 구리는 반도체의 성질을 악화시킨다.
구리 배선은 알루미늄 배선과는 달리 확산율이 높기 때문에 에칭 과정에 의하여 형성될 수 없다. 그래서, 소위 이중 상감 기법(dual damascene sheme)이 에칭없이 구리 다층 배선을 달성하는 방법으로서 사용된다.
이하에서는 도 13A 내지 도 14D와 관련하여 이중 상감 기법을 사용하여 구리 다층 배선을 갖는 반도체 소자를 제조하는 단계들을 설명한다. 첫번째로, 질화 실리콘 등의 캡층(103)을 산화 실리콘 등의 제1 절연층(102) 상에 형성하는데, 이 제1 절연층(102)에는 하부 배선층(101)이 매립되어 있다. 하부 배선층(101)은 구리 등의 전도층(104) 및 이 전도층(104)을 둘러싸는 질화탄탈 등의 경계층(105)을 포함한다.
다음으로, 산화실리콘 등의 제2 절연층(106)을 캡층(103) 상에 형성한다. 캡층(103)은 구리가 하부 배선층(101)로부터 제2 절연층(106)으로 확산되는 것을 방지한다. 또한, 질화실리콘 등의 방해층(107)을 제2 절연층(106) 상에 형성하고, 산화실리콘 등의 제3 절연층(108)을 방해층(107) 상에 증착시킨다. 이것은 도 13A에 나타난 바와 같이 생성된 구조를 제공한다.
이어서, 도 13B에 나타난 바와 같이, 제1 포토레지스트 패턴(109)을 제3 절연층(108) 상에 형성하고, 에칭함으로써 바닥으로서 전도층(104)을 갖는 홀(110)을 형성한다. 이 때, 에칭을 제2 절연층(106), 제3 절연층(108), 방해층(107) 및 캡층(103)이 모두 에칭되는 조건하에서 수행한다. 에칭 후, 제1 포토레지스트 패턴(109)을 애슁(ashing) 등에 의하여 제거한다.
이어서, 도 13C에 나타난 바와 같이, 제2 포토레지스트 패턴(111)을 제3 절연층(108) 상에 형성하고, 선택적인 에칭을 수행한다. 여기서, 에칭을 제3 절연층(108)은 에칭되지만 방해층(107)은 에칭되지 않는 조건하에서 수행한다. 즉, 상기 방해층(107)은 에칭 방해물로서 역할을 한다.
에칭에 있어서, 홀(110)과 중복되고 바닥으로서 방해층(107)을 갖는 트랜치홀(112)를 상기 제3 절연층(108)에 형성한다. 결과적으로, 트랜치홀(112) 및 트랜치홀(112)을 하부 배선층(101)에 연결하는 컨텍트 홀(113)을 형성한다. 에칭 후, 제2 포토레지스트 패턴(111)을 애슁 등에 의하여 제거한다.
이어서, 질화탄탈 등의 경계층(114)을 CVD 등에 의하여 트랜치홀(112) 및 컨텍트홀(113)의 내부벽 상에 형성한다. 또한, 트랜치홀(112) 및 컨텍트홀(113)을 플래이팅(plating)함으로써 매립한 후에, 금속층 초과량을 CMP에 의하여 제거한다. 전술한 단계들에서, 플러그층(115) 및 플러그층(115)을 통하여 하부 배선층(101)에 연결되는 상부 배선층(116)을 도 14D에 나타난 바와 같이 형성한다. 전술한 단계들을 반복함으로써 2개 이상의 층을 갖는 다단 배선층을 형성할 수 있다.
방해층(107) 및 캡층(103)은 이중 상감 기법에 의하여 형성된 반도체 소자의 층간 절연 필름에 존재한다. 보통, 방해층(107) 및 캡층(103)은 동일한 물질의 절연 필름을 포함한다. 즉, 이들은 동일한 필름 증착 장치를 이용함으로써 형성된다.
캡층(103) 및 방해층(107)을 구성하는 절연 필름은 통상 실리콘(Si) 및 질소(N)을 주성분으로 하는 유형(이하 SiCN계 필름이라 함) 및 Si 및 탄소(C)를 주성분으로 하는 유형(이하 SiC 필름이라 함)으로 분류될 수 있다.
상기 절연 필름은 층간 절연 필름에 존재하기 때문에, 이들은 유전 상수가 낮을 것이 요구된다. 또한, 상기 절연 필름은 층간 절연 필름에 대하여 방해층으로서 에칭 선택성이 높을 것이 요구되며, 배선 재료에 대하여 캡층으로서 경계 특성이 높을 것(즉, 낮은 금속 확산율)이 요구된다.
상기 절연 필름이 SiC 필름으로 형성되는 경우에는, 상기 필름의 유전 상수는 약 5로서 비교적 낮고, 층간 절연 필름에 대한 에칭 선택성은 높은 반면, 이의 구리에 대한 경계 특성은 낮다. 반면에, 절연 필름이 SiCN계 필름으로 구성되는 경우에는, 구리에 대한 경계 특성은 높은 반면, 유전 상수는 약 7 내지 8로 비교적 높고, 에칭 선택성은 낮다. FSG(불소화 규산염 유리)가 층간 절연 필름에 대하여 사용되는 경우에 있어서, SiCN계 필름은 에칭시에 생성되는 불소 라디칼에 의하여 손상될 수 있다.
전술한 설명으로부터 종래의 방해층 및/또는 캡층을 구성하는 절연 필름은 낮은 유전 상수, 층간 절연 필름에 대한 높은 에칭 선택성 및 배선 재료에 대한 경계 특성을 모두 만족시키지 못했으며, 충분히 신뢰할 만한 반도체 소자를 제공하기 어려웠다는 것이 명백하다.
도 1은 제1 실시 태양에 따른 반도체 소자의 부분 횡단면도를 나타낸다.
도 2A 내지 2C는 도 1에 나타낸 반도체 소자에 대한 제조 단계를 도해한다.
도 3D 내지 3F는 도 1에 나타낸 반도체 소자에 대한 제조 단계를 도해한다.
도 4G 내지 4H는 도 1에 나타낸 반도체 소자에 대한 제조 단계를 도해한다.
도 5는 제1 실시 태양에 따른 SiCN계 필름의 조성을 나타낸다.
도 6은 도 5에 나타낸 SiCN계 필름의 에칭 속도 대 SiCN계 필름의 에칭 속도의 비를 나타낸다.
도 7은 SIMS를 사용함으로써 도 5에 나타낸 SiCN계 필름의 구리에 대한 경계 특성을 연구한 결과를 나타낸다.
도 8은 제2 실시 태양에 따른 SiCN계 필름의 CHn기의 수와 유전 상수간의 관계를 도해한다.
도 9는 SIMS를 사용함으로써 도 8에 나타낸 SiCN계 필름의 구리에 대한 경계 특성을 연구한 결과를 나타낸다.
도 10은 SIMS를 사용함으로써 SiCN계 필름의 경계 특성을 연구한 결과를 예시한다.
도 11A 내지 11C는 반도체 소자의 제조 방법의 변형예를 도해한다.
도 12D는 반도체 소자의 제조 방법의 변형예를 도해한다.
도 13A 내지 13C는 이중 상감 기법을 설명하는 도해이다.
도 14D는 이중 상감 기법을 설명하는 도해이다.
본 발명을 수행하기 위한 최선의 실시예
(제1 실시 태양)
본 발명의 하나의 실시 태양에 따른 반도체 소자는 첨부된 도면과 관련하여 이하에서 설명한다.
도 1은 이 실시 태양에 따른 반도체 소자의 구조를 나타내는 부분 횡단면도이다. 이 반도체 소자는 실리콘 기판상에 형성된 메모리와 트랜지스터와 같은 요소 및 상기 요소들을 덮고 있는 층간 절연 필름 상에 형성된 다단 배선층을 갖는다. 도 1은 상기 반도체 소자의 표면 근처의 2단 배선층을 나타낸다.
도 1에 나타낸 바와 같이, 반도체 소자(11)은 제1 절연층(12), 제2 절연층(13), 제3 절연층(14) 및 패시베이션 필름(15)을 갖는다.
제1 절연층(12)은 산화불화실리콘(SiOF)을 포함하며, 예컨대 0.8 ㎛의 두께로 형성된다. 제1 절연층(12)은 제1 홈(12a)를 갖는다. 구리의 하부 배선층(17)을 제1 경계 필름(16)을 통하여 제1 홈(12a) 내에 매립한다. 제1 경계 필름(16)은 제1 홈(12a) 외부로 구리의 확산을 방지하는 성능을 가지며, 제1 절연층(12)과 하부 배선층(17) 사이의 부착을 강화한다. 제1 경계 필름(16)은 Ta/TaN, W/WN 또는 Ti/TiN, 또는 이들의 합금과 같은 고융점 금속의 다중층을 포함한다.
제1 캡층(18)은 제1 절연층(12)의 표면상에 예컨대 50 nm의 두께로 형성된다. 제1 캡층(18)은 하부 배선층(17)의 구리가 제2 절연층(13)으로 확산되는 것을 방지한다. 제1 캡층(18)은 실리콘(Si), 탄소(C) 및 질소(N)을 주성분으로 하는 필름(이하 SiCN계 필름이라 함)을 포함한다.
제2 절연층(13)을 제1 캡층(18)상에 제공한다. SiOF를 포함하는 제2 절연층(13)은 예컨대 0.8 ㎛의 두께로 형성된다.
방해층(19)은 제2 절연층(13) 상에 예컨대 50 nm의 두께로 형성된다. 방해층(19)은 이후에 논의하는 반도체 소자(11)의 제조 과정에서 에칭 방해물로서 역할을 한다. 상기 방해층(19)는 제1 캡층(18)의 것과 거의 동일한 SiCN계 필름으로 형성된다.
제2 절연층(13), 제1 캡층(18) 및 방해층(19)을 통과하는 컨텍트홀(13a)을 제2 절연층(13)에 형성한다. 컨텍트홀(13a)은 제1 홈(12a)과 중복되는 방식으로 형성된다.
제3 절연층(14)을 방해층(19) 상에 제공한다. SiOF를 포함하는 제3 절연층(14)은 예컨대 0.8 ㎛의 두께로 형성된다. 제3 절연층(14)를 통과하고 바닥으로서 방해층(19)을 갖는 제2 홈(14a)은 제3 절연층(14)에 형성된다. 제2 홈(14a)은 컨텍트홀(13a)와 중복되는 방식으로 형성된다. 제1 홈(12a) 및 제2 홈(14a)은 컨텍트홀(13a)에 의하여 연결된다.
배선 재료로서의 구리를 제2 경계 필름(20)을 통하여 제2 홈(14a) 및 컨텍트홀(13a)에 매립한다. 제2 경계 필름(20)은 제1 경계 필름(16)과 거의 동일한 조성을 가지며, 구리의 확산을 방지한다.
제2 홈(14a)에 매립된 구리는 상부 배선층(21)을 형성한다. 컨텍트홀(13a)에 매립된 구리는 하부 배선층(17)을 상부 배선층(21)에 연결하는 플러그층(22)를 형성한다.
제2 캡층(23)은 상부 배선층(21)의 상부 표면을 덮는 방식으로 제2 절연층(13)상에 예컨대 50 nm의 두께로 형성된다. 제2 캡층(23)은 상부 배선층(21) 위로의 구리의 확산을 방지한다.
패시베이션 필름(15)은 제2 캡층(23)상에 예컨대 0.8 ㎛의 두께로 형성된다. 패시베이션 필름(15)은 산화불화실리콘 필름을 포함한다. 산화실리콘 필름, 산화질화실리콘 필름 등을 포함하는 보호 필름(24)은 패시베이션 필름(15) 상에 예컨대 50 nm의 두께로 형성된다.
전술한 바와 같이, 제1 실시 태양에 따른 SiCN계 필름은 제1 캡층(18), 제2 캡층(23) 및 방해층(19)을 형성하며, Si, C 및 N을 주성분으로 한다. 특히, 필름 내의 C 원자의 양 대 Si 원자의 양의 비(C/Si)는 0.2 내지 0.8의 범위이고, N 원자의 양 대 Si 원자의 양의 비(N/Si)는 0.15 내지 1.0의 범위이다.
전술한 조성을 갖는 SiCN계 필름은 유전 상수가 약 5 내지 5.5이다. 이것은 Si 및 N을 주성분으로 하는 필름(이하 SiN계 필름이라 함)의 유전 상수(약 7)보다 낮지만, Si 및 C를 주성분으로 하는 필름(SiC계 필름)의 유전 상수(약 5)와 거의 같다.
이하에서는 도면들과 관련하여 전술한 구조를 갖는 반도체 소자(11)의 제조 방법을 설명한다. 제1 실시 태양에 있어서, 반도체 소자(11)은 이중 상감 기법을 이용함으로써 제조된다. 도 2A 내지 도 4H는 반도체 소자의 제조 단계를 순서대로 도해한다.
첫번째로, 하부 배선층(17)이 형성된 제1 절연층(12)를 갖는 반도체 기판(10)을 준비한다. 이어서, 제1 캡층(18)을 하부 배선층(17)을 포함하는 제1 절연층(12)상에 예컨대 50 nm의 두께로 형성한다. SiCN계 필름을 포함하는 상기 제1 캡층(18)을 전자 사이클로트론 공명(ECR) 플라즈마를 이용하는 화학적 증착법(CVD)에 의하여 형성한다. 예컨대 SiH4/C2H4/N2/Ar[유속(sccm): 10/5/25/100 내지 10/25/5/100]의 혼합 가스를 필름 증착에 사용한다.
또한, SiOF의 제2 절연층(13)을 제1 캡층(18) 상에 예컨대 0.8 ㎛의 두께로 형성한다. 필름 증착은 예컨대 SiH4/SiF4/O2/Ar[유속(sccm): 50/50/200/100]의 혼합 가스를 사용하여 ECR 플라즈마 CVD에 의하여 수행한다. 이것은 도 2A에 나타난 것과 같이 생성된 구조를 산출한다.
이어서, 도 2B에 나타난 바와 같이 SiCN계 필름을 포함하는 방해층(19)은 제2 절연층(13) 상에 예컨대 50 nm의 두께로 형성된다. 필름 증착은 예컨대 SiH4/C2H4/N2/Ar[유속(sccm): 10/5/25/100 내지 10/25/5/100]의 혼합 가스를 사용하여 ECR 플라즈마 CVD에 의하여 수행한다.
이어서, 도 2C에 나타난 바와 같이, SiOF의 제3 절연층(14)을 방해층(19) 상에 예컨대 0.8 ㎛의 두께로 형성한다. 예컨대, 필름 증착은 SiH4/SiF4/O2/Ar[유속(sccm): 50/50/200/100]의 혼합 가스를 사용하여 ECR 플라즈마 CVD에 의하여 수행한다.
이어서, 제1 포토레지스트 패턴(30)을 사진 석판 기법을 사용함으로써 제3 절연층(14) 상에 형성한다. 이어서, 마스크로서 제1 포토레지스트 패턴(30)을 가지고 에칭을 수행한다. 이것은 도 3D에 나타난 바와 같이 제2 절연층(13), 제3 절연층(14) 등을 통과하고 바닥으로써 하부 배선층(17)을 갖는 홀(31)을 형성한다. 여기서, 에칭은 예컨대 O2 및 CF4의 혼합 가스 플라즈마를 이용하여 반응성 이온 에칭법(RIE)에 의하여 수행한다. 따라서, SiOF 필름[제2 절연층(13) 및 제3 절연층(14)] 및 SiCN계 필름[제1 캡층(18) 및 방해층(19)]은 동시에 에칭된다. 그 후 제1 포토레지스트 패턴(30)을 애슁에 의하여 제거한다.
이어서, 제2 포토레지스트 패턴(32)을 사진 석판 기법을 사용함으로써 제3 절연층(14) 상에 형성한다. 이어서, 마스크로서 제2 포토레지스트 패턴(32)을 가지고 에칭을 수행한다. 에칭을 SiOF 필름[제3 절연층(14)]은 에칭되지만 SiCN계 필름[방해층(19)]는 에칭되지 않는 조건하에서 수행한다. 에칭을 예컨대 C4F8 및 CO의 혼합 기체 플라스마를 사용하여 RIE에 의하여 수행한다. 따라서, 바닥이 방해층(19)인 제2 홈(14a)과 제2 홈(14a)에서 하부 배선층(17)으로 연장된 컨텍트홀(13a)이 도 3E에 나타난 바와 같이 형성된다.
이어서, 도 3F에 나타난 바와 같이, 제2 경계 필름(20)을 스퍼터링(sputtering) 등에 의하여 제2 홈(14a) 및 컨텍트홀(13a)의 내부 벽 전체에 형성한다. 제2 경계 필름(20)은 예컨대 TaN 및 Ta 증착 필름(Ta/TaN)이다.
이어서, 구리의 씨층(seed layer)을 스퍼터링 등에 의하여 상기 반도체 기판(10)의 전체 표면 상에 형성한 후, 구리 플래이팅을 수행한다. 결과로서, 제2 홈(14a) 및 컨텍트홀(13a)의 내부를 구리로 매립하였다. 이어서, 상기 반도체 기판(10)의 표면상의 초과량의 금속층을 화학기계적 연마법(CMP)에 의하여 제거한다. 결과로서, 도 4G에 나타난 바와 같은 상부 배선층(21) 및 플러그층(22)을 형성한다.
또한, SiCN계 필름을 포함하는 제2 캡층(23)을 상부 배선층(21)을 포함하는 제3 절연층(14) 상에 예컨대 50 nm의 두께로 형성한다. 제2 캡층(23)을 제1 캡층(18)과 동일한 방식으로 증착한다.
또한, 예컨대 SiOF의 패시베이션 필름(15)은 ECR 플라즈마 CVD에 의하여 제2 캡층(23) 상에 예컨대 0.8 ㎛의 두께로 형성된다.
마지막으로, 산화실리콘의 보호 필름(24)을 50 nm의 두께로 형성한다. 그 결과로서, 도 4H에 나타난 바와 같은 반도체 소자(11)를 얻는다. 여기서, 제2 캡층(23), 패시베이션 필름(15) 및 보호 필름(24)을 동일한 ECR 플라즈마 CVD 장치에 의하여 증착한다. 이것으로 제조 과정이 완료된다.
따라서, 본 발명의 목적은 고도로 신뢰할 수 있는 반도체 소자 및 이의 제조 방법을 제공하는 것이다.
본 발명의 또 하나의 목적은 유전 상수가 낮고, 층간 절연 필름에 대하여 에칭 선택성이 높으며, 배선 재료에 대하여 경계 특성이 있는 절연 필름을 포함하는 반도체 소자, 및 이 반도체 소자의 제조 방법을 제공하는 것이다.
상기 목적을 달성하기 위하여, 본 발명의 제1 양태는 하나의 표면상에 다른 표면에 이를 수 있는 홈(groove)과 홀(hole)을 갖는 제1 절연층; 상기 제1 절연층 상에 제공되고 상기 홈 또는 홀과 중복되는 개구를 가지며, 필수 성분으로서 Si, C 및 N을 포함하는 제2 절연층; 상기 홈 또는 홀 및 상기 개구 내부에 매립된 전도층을 포함하는 반도체 소자를 제공한다.
본 발명의 제2 양태는 제1 절연층을 형성하는 단계; 필수 성분으로서 Si, C 및 N을 포함하는 제2 절연층을 제1 절연층 상에 형성하는 단계; 상기 제2 절연층을 선택적으로 에칭하여 개구를 형성하는 단계; 상기 개구를 마스크로 사용하여 상기 제1 절연층을 에칭함으로써 배선 홈 또는 홀을 형성하는 단계; 및 전도층을 상기 개구나 상기 배선 홈 또는 홀 내에 매립하는 단계를 포함하는 반도체 소자의 제조 방법을 제공한다.
상기 제조 과정의 실시예에서는, SiCN계 필름을 SiH4/C2H4/N2/Ar [유속(sccm): 10/5/25/100 내지 10/25/5/100]의 혼합 기체를 사용하여 ECR 플라즈마 CVD에 의하여 형성한다. 도 5는 C2H4 가스와 N2 가스의 혼합비가 SiH 4의 일정한 유속으로 변경되는 동안 증착을 수행할 때 SiCN계 필름의 C 원자의 양 대 Si 원자의 양의 비(C/Si) 및 N 원자의 양 대 Si 원자의 양의 비를 나타낸다.
SiCN계 필름 중의 Si, C 및 N의 양을 러더포드 후방산란 분석법(Rutherford Backscattering Spectrometry; RPS)에 의하여 계산하였다.
도 5에 있어서, 케이스 I 및 VII는 각각 N2만을 사용한 케이스와 C2H4만을 사용한 케이스의 결과를 나타낸다. 케이스 II 내지 VI는 각각 C2H4 및 N2의 총 유속이 30 sccm일 때 각각의 유속이 5, 10, 15, 20 및 25로 변하는 케이스의 결과를 나타낸다.
도 5의 케이스 II 내지 VI의 결과로부터 SiCN계 필름 중의 C 함량 대 N 함량의 비는 C2H4의 유속 대 N2의 유속의 비에 따라 달라진다는 것이 명백하다. 즉, 진행 가스 중의 C2H4의 양이 더 많아질수록 형성되는 SiCN계 필름 중의 C 함량(C/Si)이 높아지게 된다. 반면, N2 양이 더 많아질수록 SiCN계 필름 중의 N 함량(N/Si)이 높아지게 된다. 물론, SiN 필름 및 SiC 필름은 각각 C2H4 및 N2 중의 하나만을 사용하는 케이스 I 및 II에서 형성된다.
C2H4 및 N2의 유속이 5/25 내지 25/5 사이로 변경되는 경우 N/Si는 0.2(케이스 VI) 내지 0.9(케이스 II)의 범위내에서 변화하고, C/Si는 0.25(케이스 II) 내지 0.8(케이스 VI)의 범위내에서 변화한다.
도 6은 도 5에 나타낸 구조를 갖는 필름에 대한 에칭 속도의 연구 결과를 나타낸다(케이스 I 내지 VII). 도 6은 SiN 필름(케이스 I)의 에칭 속도를 1로 세팅하였을 때 에칭 속도의 비를 나타낸다. 에칭시에 O2/CF4 플라즈마 가스를 사용하였다.
도 6으로부터 SiC 필름(케이스 VII)의 에칭 속도는 SIN 필름의 에칭 속도의 두배 이상이라는 것이 명백하다. SiCN계 필름은 SiC 필름과 SiN 필름의 중간의 에칭 속도를 나타내며, 에칭 속도가 높을수록 필름 중의 C 함량이 증가한다는 것이 명백하다.
SiOF 필름이 층간 절연 필름으로 사용되고 SiN 필름(케이스 I)이 에칭 방해물로 사용되는 경우, 에칭 선택성이 낮고 우수한 에칭 형태가 얻어지지 않는다. 그러나, C/Si가 0.2 이상이거나 적어도 0.25 이상인 SiCN계 필름(케이스 II 내지 VI)의 경우, 에칭 속도가 높고 SiOF 필름의 높은 에칭 선택성이 얻어져서 우수한 에칭 프로필이 얻어진다. 도 5로부터 N/Si가 1 이하이거나 적어도 0.9 이하인 경우 높은 경계 특성이 얻어진다는 것을 알 수 있다.
전술한 방식으로 형성된 SiCN계 필름(케이스 II 내지 VI)의 배선 재료에 대한 경계 특성, 특히 구리에 대한 경계 특성을 연구하였다. 구리는 통상 사용되는 배선 재료 중에서 확산율이 가장 높다. 또한, SiN 필름(케이스 I) 및 SiC 필름(케이스 VII)에서도 연구하였다.
특히, 경계 특성을 다음과 같이 연구하였다. 첫번째로, SiCN계 필름을 실리콘 기판 상에 증착시키고 구리층을 0.2 ㎛의 두께로 형성한다. 이어서, 실리콘 기판을 450℃에서 7 시간 두었다. 실리콘 기판을 열처리한 후, 실리콘 기판과 SiCN계 필름 사이의 계면 주변에서 구리의 SIMS(이차 이온 질량 분석법) 강도를 조사하였다. 통상, 구리의 실리콘 기판으로의 확산이 전술한 조건하에서 SIMS에 의하여 검출되지 않는다면, 상기 소자의 사용에 문제가 없는 것으로 간주한다. 도 7은 결과를 나타낸다.
도 7로부터 SiC 필름(케이스 VII)에서 구리는 계면 근처에서 검출되고 구리에 대한 SiC 필름의 경계 특성은 낮다. 그러나, SiN 필름(케이스 I) 및 SiCN계 필름(케이스 II 내지 VI)에서 구리는 검출되지 않고 구리에 대한 높은 경계 특성이 나타난다. 이것은 필름 중의 N 함량이 높을수록(C 함량이 낮을수록) 경계 특성이 더 높아진다는 것을 나타낸다. 또한, 필름 중의 N 대 Si의 비(N/Si)가 0.15 이상이거나 적어도 0.2 이상인 경우 높은 경계 특성이 얻어지므로 구리와 같은 배선 재료의 확산을 방지할 수 있다. 도 5와 관련하여, C/Si가 0.85 이상 또는 적어도 0.8 이상인 경우 높은 경계 특성이 얻어진다는 것을 알 수 있다.
도 6 및 도 7에 나타난 결과로부터 C/Si가 0.2 이상 또는 적어도 0.25 이상(N/Si가 1 이하 또는 적어도 0.9 이하)이고 N/Si가 0.15 이상 또는 적어도 0.2 이상(C/Si가 0.85 이상 또는 적어도 0.8 이상)인 SiCN계 필름은 유전 상수가 낮고 에칭 선택성이 우수하며 경계 특성이 높다. 따라서, C/Si가 0.2 내지 0.8이고 N/Si가 0.15 내지 1.0인 조성을 갖는 제1 실시 태양의 SiCN계 필름은 유전 상수가 낮고, 에칭 선택성이 우수하며, 경계 특성이 높으므로, 에칭 방해물 또는 캡 필름으로서 적절하다는 것이 명백하다.
(제2 실시 태양)
본 발명의 제2 실시 태양에 따른 반도체 소자(11)는 도 1에 나타낸 제1 실시 태양의 것과 동일한 구조를 가지며, SiCN계 필름을 포함하는 절연 필름을 갖는다. 제2 실시 태양의 SiCN계 필름은 용적당 1021 내지 1022개의 CHn기(n은 1 내지 3의 정수임), 즉 CH기, CH2기 및 CH3기를 포함한다.
제2 실시 태양의 SiCN계 필름을 필수 성분으로서 적어도 하나의 Si, CHn기 및 N를 비롯한 다양한 종류의 출발 물질을 사용함으로써 형성한다. 예컨대, 모노실란(SiH4), 에틸렌(C2H4) 및 질소(N2)를 출발 물질로서 사용할 수 있다. 또한, CHn기를 포함하는 유기 실리콘 물질[예컨대, 메틸화된 실란, 실라잔 물질 및 N-함유 물질(예, N2, NH3)]를 출발 물질로서 사용할 수 있다. 그리고, Si, CHn기 및 N을 포함하는 물질, 예컨대 메틸화된 실란을 단독으로 사용할 수 있다.
SiCN계 필름을 소정의 수(1021 내지 1022기/cm3)의 CHn기를 필름 중에 포함시키는 방식으로 형성한다. CHn기의 양은 출발 물질의 혼합비 등을 변경시킴으로서 조절될 수 있다.
도 8은 다양한 출발 물질로 형성된 SiCN계 필름 중의 단위 용적(기/cm3)당 CHn기의 수와 유전 상수간의 관계를 나타낸다. 도 8에서는, 하기 A 내지 F의 혼합 가스를 사용함으로써 형성된 SiCN계 필름을 조사한다. 여기서, CHn 결합의 양을 X선 광전자 분광기(XPS)에 의하여 필름 깊이의 방향으로 측정하였다.
A: 실란(SiH4)/C2H4/N2/Ar
B: 트리메틸실란(SiH(CH3)3)/N2/Ar
C: 트리메틸실란/NH3/Ar
D: 헥사메틸시클로트리실라즌((Si(CH3)2-NH)3)/Ar
E: 헥사메틸시클로트리실라즌((Si(CH3)2-NH)3)/N2/Ar
F: 헥사메틸시클로트리실라즌/NH3/Ar
도 8로부터 A 내지 F 케이스 중의 임의의 하나에서, CHn기의 양을 증가시킴으로써 유전 상수가 낮은 SiCN계 절연 필름을 얻을 수 있다. 예를 들어, SiH4, C2H 4 및 N2가 사용되는 경우(A), 형성된 SiCN계 필름 중의 CHn기의 양이 1020(기/cm 3)개에서 1022(기/cm3)개 이하로 증가함에 따라, 필름의 유전 상수는 약 6.8에서 5로 떨어진다. 헥사메틸시클로트리실라즌 및 NH3를 사용하는 경우(F), 상기 필름 중의 CHn기의 양이 1020(기/cm3)개에서 1021(기/cm3)개 이하로 증가함에 따라, 필름의 유전 상수는 약 6.8에서 4.2로 떨어진다.
1021(기/cm3)개 이상의 CHn기를 포함하는 SiCN계 필름은 유전 상수가 6 이하, 특히 5.5 이하라는 것이 명백하다. 이것은 1021 내지 1022(기/cm3)개의 CHn기를 포함하는 제2 실시 태양의 SiCN계 필름은 유전 상수가 6 이하라는 것을 나타낸다. 이것은 보통 방해 필름 등으로 사용되는 SiN 필름의 유전 상수(7 내지 8)보다 낮기 때문에 SiCN계 필름은 방해 필름 등으로 적절하게 사용될 수 있다.
상기 필름 중의 CHn기의 양은 동일하나 출발 물질이 상이한 경우 유전 상수가 달라진다. 예컨대, 출발 물질의 분자 크기가 클수록 유전 상수는 낮아지게 된다. 즉, 트리메틸실란(SiH(CH3)3)이 사용되는 경우는 모노실란(SiH4)이 사용되는 경우보다 더 낮은 유전 상수가 얻어지고, 헥사메틸시클로트리실라즌((Si(CH3)2-NH)3 )을 사용하는 경우 훨씬 더 낮은 유전 상수가 얻어진다.
이하에서는 SiCN계 필름의 구리에 대한 경계 특성을 설명한다.
도 9는 SiCN계 필름 중의 CHn기의 양과 구리의 확산율 사이의 관계를 나타낸다. 구리의 확산을 SIMS(이차 이온 질량 분광법)에 의하여 측정하였다. 측정에 있어서, 구리층 상에 순서대로 증착된 SiCN계 필름(0.05 ㎛) 및 산화실리콘 필름(0.1 ㎛)을 갖는 기판을 사용하였으며, SIMS에 의하여 측정을 한 후 상기 기판을 400℃에서 3 시간 가열하였다.
SIMS를 사용한 측정에 따라, 도 10에 나타낸 바와 같은 결과를 얻었다. 도 10은 Si, C 및 구리의 SIMS 강도 및 표면으로부터의 소정의 깊이에서의 각 원자의 양을 나타낸다. 도 9에 나타낸 구리의 확산 범위는 도 10에서의 구리의 SiCN계 필름(표면으로부터 0.1 ㎛ 내지 0.15 ㎛의 깊이)으로의 확산 범위를 나타낸다.
도 9로부터 단위 용적당 CHn기의 양이 약 1021(기/cm3)개인 경우 구리는 확산되기 어렵다는 것이 명백하다. CHn기의 양이 약 1021 내지 약 1022(기/cm 3)개인 경우, SiCN계 필름에서의 확산은 나타나지만 산화실리콘 필름에서의 확산은 억제된다는 것이 명백하다. 이것은 약 1021 내지 약 1022(기/cm3)개의 CHn기를 포함하는 제2 실시 태양의 SiCN계 필름은 구리에 대하여 우수한 경계 특성을 갖는다는 것을 나타낸다.
본 발명은 전술한 실시 태양에 한정되지는 않지만, 다양한 형태로 변형 또는 변경될 수 있다. 이하에서는 본 발명에 적합할 수 있는 상기 실시 태양들의 변형을 설명한다.
상기 실시 태양에 있어서, 층간 절연 필름의 에칭은 O2 및 CF4의 혼합 기체 및 C4F8 및 CO의 혼합 기체를 사용한다. 그러나, 이들은 제한적이지 않으며, H2 , Ar 및 N2의 혼합 기체와 같은 플라즈마 가스도 사용할 수 있다. 또한, CF4 및 C4 F8에 대하여 기타의 플루오르화탄소[CmFn(m 및 n은 0이상의 정수임]을 사용할 수 있다.
상기 실시 태양에 있어서, 제2 캡층(23), 패시베이션 필름(15) 및 보호 필름(24)을 ECR 플라즈마 CVD 장치를 이용함으로써 증착시킨다. 그러나, 이것은 제한적이지 않으며, 이들 층 및 필름들 중 적어도 하나를 또 다른 CVD 장치로 형성하거나 이들 모두를 별도의 CVD 장치로 형성할 수도 있다.
상기 실시 태양에 있어서, SiCN계 필름을 원료 가스 화합물로서 SiH4, C2H4 및 N2로 형성하였다. 그러나, 제2 실시 태양에서 설명한 바와 같이 Si, C 및 N을 포함하는 화합물인 한 임의의 원료 화합물을 사용할 수 있으며, SiCN계 필름을 단일 화합물에 의하여 또는 이들 화합물의 적절한 배합의 반응에 의하여 형성한다.
Si, C 및 N을 각각 포함하는 3가지의 원료 가스 화합물을 사용하는 경우, 예컨대 SiH4는 Si-함유 물질을 위한 것이고, C2H4, CH4, C2 H6, C2H2 등은 단지 C-함유 화합물을 위하여 적절히 배합되어야 하며, N2, NF3, N2O, N2O 4, NO, N3H8 등은 단지 N-함유 화합물을 위하여 적절히 배합되어야 한다.
2가지 종류의 가스, 즉 Si 및 C를 포함하는 원료 화합물 및 N을 포함하는 원료 화합물을 필름 증착시 혼합할 수 있다. 이 경우, 전술한 화합물들을 N-함유 화합물로서 사용하고, 알킬실란이나 알콕시실란과 같은 유기 실란을 Si 및 C를 함유하는 화합물로 사용하며, 이들을 적절히 배합하여야 한다. 알킬실란의 예로서는 메틸화된 실란, 예컨대 메틸실란(SiH3(CH3)), 디메틸실란(SiH2(CH3) 2), 트리메틸실란(SiH(CH3)3) 또는 테트라메틸실란(Si(CH3)4)이 있다. 알콕시실란의 예로서는 메톡시화된 실란, 예컨대 트리메톡시메틸실란(Si(CH3)(OCH3)3)이 있다. 이와 반대로, Si 및 N을 포함하는 원료 가스와 C를 포함하는 원료 가스를 혼합할 수도 있다. 이 경우에, C-함유 화합물은 전술한 것으로부터 선택되어야 하며, Si 및 N을 포함하는 화합물로서 예컨대 디실라잔(SiH3-NH-SiH3)을 사용할 수 있고, 이들을 적절히 배합하여야 한다.
또한, Si, C 및 N을 모두 포함하는 화합물을 원료 가스로서 사용할 수 있다. 이들 화합물로서 실라잔 결합(-Si-N-)을 갖는 유기 실라잔 화합물을 사용할 수 있다. 유기 실라잔 화합물을 사용하는 경우에, 이것을 예컨대 플라즈마 CVD에 의하여 열중합하여 필름을 형성할 수 있다. 사용가능한 유기 실라잔 화합물은 예컨대 트리에틸실라잔(SiEt3NH2), 트리프로필실라잔(SiPr3NH2), 트리페닐실라잔(SiPh 3NH2), 테트라메틸디실라잔(SiMe2H-NH-SiMe2H), 헥사메틸디실라잔(SiMe3-NH-SiMe3 ), 헥사에틸디실라잔(SiEt3-NH-SiEt3), 헥사페닐디실라잔(SiPh3-NH-SiPh3), 헵타메틸디실라잔 (SiMe3-NMe-SiMe3), 디프로필-테트라메틸디실라잔(SiPrMe2-NH-SiPrMe2 ), 디-n-부틸-테트라메틸디실라잔(SiBuMe2-NH-SiBuMe2), 디-n-옥틸-테트라메틸디실라잔(SiOcMe2 -NH-SiOcMe2), 트리에틸-트리메틸시클로트리실라잔((SiEtH-NMe)3), 헥사메틸시클로트리실라잔(SiMe2-NH)3), 헥사에틸시클로트리실라잔(SiEt2-NH)3), 헥사페닐시클로트리실라잔((SiPh2-NH)3), 옥타메틸시클로테트라실라잔((SiMe2-NH)4), 옥타에틸시클로테트라실라잔((SiEt2-NH)4), 테트라에틸-테트라메틸시클로테트라실라잔((SiHEt-NMe)4), 시아노프로필메틸시클로실라잔(SiMeNC(CH2)3-NH), 테트라페닐디메틸디실라잔(SiMePh2 -NH-SiMePh2), 디페닐-테트라메틸디실라잔((SiMe2Ph)2-NH), 트리비닐트리메틸시클로트리실라잔((CH2=CH-SiMe-NH)3), 테트라비닐-테트라메틸시클로테트라실라잔(CH2=CH-SiMe-NH)4, 및 디비닐테트라메틸디실라잔(CH2=CH-SiMe 2-NH-SiMe2-CH=CH2)이다. 상기 식에서, Me는 메틸기(CH3)를 나타내고, Et는 에틸기(C 2H5)를 나타내며, Pr은 프로필기(C3H7)을 나타내고, Oc는 n-옥틸기(n-C8H17 )을 나타내며, Ph는 페닐기(C6H5)를 나타낸다.
전술한 실시예에서 Si, C 및 N를 포함하는 원료 가스를 각 종류에 대하여 하나씩를 가지면 충분하지만 이들이 제한적인 것은 아니다. 예컨대, 유기 실란에 첨가된 C2H2, N2를 갖는 가스 또는 유기 실라잔에 첨가된 N2를 갖는 가스를 사용할 수도 있다.
상기 실시 태양에서, 방해층(19)을 층간 절연 필름에 제공하여 제2 홈(14a)의 바닥의 일부를 형성한다. 그러나, 방해층을 층간 절연 필름의 상부 표면상에 형성할 수도 있다. 도 11A 내지 도 12D는 이 경우의 제조 과정을 나타낸다.
이 경우에, 우선 방해층(41)(SiCN계 필름)을 배선층(17) 등을 갖고 제1 캡층(18)으로 덮여 있는 층간 절연 필름(40) 상에 형성한다. 이어서, 인쇄 석판 기법을 사용함으로써 도 11A에 나타난 바와 같이 제1 개구(41a)를 방해층(41) 내에 형성한다. 이어서, 마스크로서 제1 개구(41a)를 가지고 층간 절연 필름(40)을 에칭하여 도 11B에 나타난 바와 같이 층간 절연 필름(40)에 홀(40a)를 형성한다.
이어서, 인쇄 석판 기법을 사용함으로써 도 11C에 나타난 바와 같이 제2 개구(41b)를 방해층(41)에 형성한다. 이어서, 마스크로서 제2 개구(41b)를 가지고 층간 절연 필름(40)을 에칭한다. 이 때, 층간 절연 필름(40)이 완전히 에칭되기 전에 에칭을 멈춘다. 결과로서, 트랜치홀(42) 및 컨텍트홀(43)을 도 12D에 나타난 바와 같이 형성한다. 그 후, 구리 매립, 제2 캡층(23) 형성 등을 수행하여 실시 태양으로서의 반도체 소자(11)을 제공한다.
상기 실시 태양에서, 층간 절연 필름에 대하여 산화불화실리콘을 사용한다. 그러나, 본 발명은 또한 층간 절연 필름에 대하여 불화탄소 필름을 사용하는 경우에도 적절히 사용될 수 있다. 배선을 구성하는 전도층은 구리로 형성되지만, 구리에 한정되지 않고 알루미늄이나 이의 합금 등을 이용할 수도 있다.
상기 실시 태양에서, SiCN계 필름을 ECR 플라즈마 CVD에 의하여 증착한다. 필름 증착 방법은 상기 유형에 제한되는 것은 아니며, 플라즈마 CVD 유도 커플드 플라즈마(ICP) CVD, 헬리콘 웨이브 플라즈마 CVD, 병렬판 플라즈마 CVD 등 일 수도 있다.
본 발명은 고도로 신뢰할 수 있는 반도체 소자의 제조에 유용하다.
본 출원은 2000년 9월 11일에 제출된 일본 특허 출원 제H12-274426호 및 제H12-274427호의 명세서, 청구범위, 도면 및 요약서에 기초한다. 상기 일본 특허 출원은 그 전체가 본 명세서에 참고로 포함된다.

Claims (45)

  1. 스루홀(through hole)을 가지는 제1 절연층(13);
    상기 제1 절연층(13) 상에 제공되는 것으로서, 상기 스루홀과 중복되는 제1 개구를 가지고, Si, C 및 N을 포함하는 제2 절연층(19); 및
    상기 제2 절연층(19) 상에 제공되는 것으로서 상기 제1 개구보다 직경이 더 크고 상기 제1 개구와 연결되는 제1 홈 또는 홀을 가지는 제3 절연층(14); 및
    상기 스루홀, 상기 제1 개구 및 상기 제1 홈 또는 홀에 매립된 제1 전도층(21, 22)
    을 포함하는 반도체 소자.
  2. 제1항에 있어서, 상기 제2 절연층(19) 중의 C 원자의 양 대 Si 원자의 양의 비는 0.2 이상인 반도체 소자.
  3. 제1항에 있어서, 상기 제2 절연층(19) 중의 N 원자의 양 대 Si 원자의 양의 비는 0.15 이상인 반도체 소자.
  4. 제1항에 있어서, 상기 제2 절연층(19) 중의 C 원자의 양 대 Si 원자의 양의 비는 0.2 내지 0.8이고, 상기 제2 절연층(19) 중의 N 원자의 양 대 Si 원자의 양의 비는 0.15 내지 1.0인 반도체 소자.
  5. 제1항에 있어서, 상기 제2 절연층(19)은 H를 더 포함하고, 용적당 1021 내지 1022개의 CHn기(n은 1 내지 3의 정수임)를 포함하는 것인 반도체 소자.
  6. 제5항에 있어서, 상기 제2 절연층(19)은 유전 상수가 6 이하인 것인 반도체 소자.
  7. 제1항에 있어서, 상기 제1 전도층(21, 22)은 구리를 포함하는 것인 반도체 소자.
  8. 제1항에 있어서, 상기 제1 절연층(13) 및/또는 상기 제3 절연층(14)은 산화불화실리콘 또는 불화탄소를 포함하는 것인 반도체 소자.
  9. 제1항에 있어서, 상기 제3 절연층(14) 및 상기 제1 전도층(21, 22) 상에 제공되는 것으로서, Si, C 및 N을 포함하는 제4 절연층(23)을 추가로 포함하는 반도체 소자.
  10. 제9항에 있어서, 상기 제4 절연층(23) 중의 N 원자의 양 대 Si 원자의 양의 비는 0.15 이상인 반도체 소자.
  11. 제9항에 있어서, 상기 제4 절연층(23) 중의 C 원자의 양 대 Si 원자의 양의 비는 0.2 이상인 반도체 소자.
  12. 제9항에 있어서, 상기 제4 절연층(23) 중의 C 원자의 양 대 Si 원자의 양의 비는 0.2 내지 0.8이고, 상기 제4 절연층(23) 중의 N 원자의 양 대 Si 원자의 양의 비는 0.15 내지 1.0인 반도체 소자.
  13. 제9항에 있어서, 상기 제4 절연층(23)은 H를 더 포함하고, 용적당 1021 내지 1022개의 CHn기(n은 1 내지 3의 정수임)를 포함하는 것인 반도체 소자.
  14. 제13항에 있어서, 상기 제4 절연층(23)은 유전 상수가 6 이하인 것인 반도체 소자.
  15. 제1항에 있어서,
    상기 제1 절연층(13) 아래에 제공되는 것으로서, 상기 스루홀과 중복되는 제2 개구를 가지고, Si, C 및 N을 포함하는 제5 절연층(18);
    상기 제2 개구에 연결되고 상기 제2 개구보다 직경이 더 큰 제2 홈 또는 홀을 갖는 제6 절연층(12); 및
    상기 제2 홈 또는 홀에 매립되고, 상기 전도층(22)을 통하여 상기 제1 배선층(21)에 전자적으로 연결되는 제2 전도층(17)
    을 추가로 포함하는 반도체 소자.
  16. 제15항에 있어서, 상기 제5 절연층(23) 중의 C 원자의 양 대 Si 원자의 양의 비는 0.2 이상인 반도체 소자.
  17. 제15항에 있어서, 상기 제5 절연층(18) 중의 N 원자의 양 대 Si 원자의 양의 비는 0.15 이상인 반도체 소자.
  18. 제15항에 있어서, 상기 제5 절연층(18) 중의 C 원자의 양 대 Si 원자의 양의 비는 0.2 내지 0.8이고, 상기 제5 절연층(18) 중의 N 원자의 양 대 Si 원자의 양의 비는 0.15 내지 1.0인 반도체 소자.
  19. 제15항에 있어서, 상기 제5 절연층(18)은 H를 더 포함하고, 용적당 1021 내지 1022 CHn기(n은 1 내지 3의 정수임)를 포함하는 것인 반도체 소자.
  20. 제19항에 있어서, 상기 제5 절연층(18)은 유전 상수가 6 이하인 것인 반도체 소자.
  21. 제15항에 있어서, 상기 제2 전도층(17)은 구리를 포함하는 것인 반도체 소자.
  22. 제15항에 있어서, 상기 제6 절연층(12)는 산화불화실리콘 또는 불화탄소를 포함하는 것인 반도체 소자.
  23. 제1 절연층(13)을 형성하는 단계;
    Si, C 및 N을 포함하는 제2 절연층(19)를 상기 제1 절연층(13) 상에 형성하는 단계;
    제3 절연층(14)을 상기 제2 절연층(19) 상에 형성하는 단계;
    상기 제1 절연층(13), 상기 제2 절연층(19) 및 상기 제3 절연층(14)을 통과하는 스루홀을 형성하는 단계;
    상기 제2 절연층(19)를 방해물로 사용하여 상기 제3 절연층(14)를 선택적으로 에칭함으로써 상기 스루홀과 연결되고 상기 스루홀 보다 직경이 더 큰 제1 홈 또는 홀을 형성하는 단계; 및
    상기 스루홀 및 상기 제1 홈 또는 홀 내부에 제1 전도층(21, 22)을 매립하는 단계
    를 포함하는 반도체 소자의 제조 방법.
  24. 제23항에 있어서, 상기 제2 절연층(19) 중의 C 원자의 양 대 Si 원자의 양의 비가 0.2 이상이 되도록 상기 제2 절연층(19)를 형성하는 반도체 소자의 제조 방법.
  25. 제23항에 있어서, 상기 제2 절연층(19) 중의 N 원자의 양 대 Si 원자의 양의 비가 0.15 이상이 되도록 상기 제2 절연층(19)를 형성하는 반도체 소자의 제조 방법.
  26. 제23항에 있어서, 상기 제2 절연층(19) 중의 C 원자의 양 대 Si 원자의 양의 비가 0.2 내지 0.8이고, 상기 제2 절연층(19) 중의 N 원자의 양 대 Si 원자의 양의 비가 0.15 대 1.0이 되도록 상기 제2 절연층(19)를 형성하는 반도체 소자의 제조 방법.
  27. 제23항에 있어서, 상기 제2 절연층(19)이 용적당 1021 내지 1022개의 CHn기(n은 1 내지 3의 정수임)를 포함하도록 상기 제2 절연층(19)을 형성하는 반도체 소자의 제조 방법.
  28. 제23항에 있어서, 상기 제2 절연층(19)을 플라즈마 CVD에 의하여 출발 물질로 유기 실라잔을 사용하여 형성하는 반도체 소자의 제조 방법.
  29. 제23항에 있어서, 상기 제1 절연층(13) 및/또는 상기 제3 절연층(14)을 산화불화실리콘 또는 불화탄소로 형성하는 반도체 소자의 제조 방법.
  30. 제23항에 있어서, 상기 제1 전도층(21, 22)을 구리로 형성하는 반도체 소자의 제조 방법.
  31. 제23항에 있어서, Si, C 및 N을 포함하는 제4 절연층(23)을 상기 제1 절연층(13) 및 제1 전도층(21, 22) 상에 형성하는 단계를 추가로 포함하는 반도체 소자의 제조 방법.
  32. 제31항에 있어서, 상기 제4 절연층(23) 중의 C 원자의 양 대 Si 원자의 양의 비가 0.2 이상이 되도록 상기 제4 절연층(23)을 형성하는 반도체 소자의 제조 방법.
  33. 제31항에 있어서, 상기 제4 절연층(23) 중의 N 원자의 양 대 Si 원자의 양의 비가 0.15 이상이 되도록 상기 제4 절연층(23)을 형성하는 반도체 소자의 제조 방법.
  34. 제31항에 있어서, 상기 제4 절연층(23) 중의 C 원자의 양 대 Si 원자의 양의 비가 0.2 내지 0.8이고, 상기 제4 절연층(23) 중의 N 원자의 양 대 Si 원자의 양의 비가 0.15 내지 1.0이 되도록 상기 제4 절연층(23)을 형성하는 반도체 소자의 제조 방법.
  35. 제31항에 있어서, 상기 제4 절연층(23)이 용적당 1021 내지 1022개의 CHn기(n은 1 내지 3의 정수임)를 포함하도록 상기 제4 절연층(23)을 형성하는 반도체 소자의 제조 방법.
  36. 제31항에 있어서, 상기 제4 절연층(23)을 플라즈마 CVD에 의하여 출발 물질로서 유기 실라잔 화합물을 사용하여 형성하는 반도체 소자의 제조 방법.
  37. 제23항에 있어서,
    하나의 면에 제2 전도층(17)을 제공하는 제5 절연층(12)을 형성하는 단계;
    상기 제5 절연층(12) 및 상기 제2 전도층(17) 상에 제공되는 것으로서, Si, C 및 N을 포함하는 제6 절연층(18)을 형성하는 단계;
    상기 제1 절연층(13)을 상기 제6 절연층(18) 상에 형성하는 단계; 및
    상기 제6 절연층(18)을 통하여 상기 제2 전도층(17)에 이르는 상기 스루홀을 형성하는 단계
    를 추가로 포함하는 반도체 소자의 제조 방법.
  38. 제37항에 있어서, 상기 제6 절연층(18) 중의 C 원자의 양 대 Si 원자의 양의 비가 0.2 이상이 되도록 상기 제6 절연층(18)을 형성하는 반도체 소자의 제조 방법.
  39. 제37항에 있어서, 상기 제6 절연층(18) 중의 N 원자의 양 대 Si 원자의 양의 비가 0.15 이상이 되도록 상기 제6 절연층(18)을 형성하는 반도체 소자의 제조 방법.
  40. 제37항에 있어서, 상기 제6 절연층(18) 중의 C 원자의 양 대 Si 원자의 양의 비가 0.2 내지 0.8이고, 상기 제6 절연층(18) 중의 N 원자의 양 대 Si 원자의 양의 비가 0.15 내지 1.0이 되도록 상기 제6 절연층(18)을 형성하는 반도체 소자의 제조 방법.
  41. 제37항에 있어서, 상기 제6 절연층(18)이 용적당 1021 내지 1022개의 CHn기(n은 1 내지 3의 정수임)를 포함하도록 상기 제6 절연층(18)을 형성하는 반도체 소자의 제조 방법.
  42. 제37항에 있어서, 상기 제6 절연층(18)을 플라즈마 CVD에 의하여 출발 물질로서 유기 실라잔 화합물을 사용하여 형성하는 반도체 소자의 제조 방법.
  43. 제37항에 있어서, 상기 제5 절연층(12)를 산화불화실리콘 또는 불화탄소로 형성하는 반도체 소자의 제조 방법.
  44. 제37항에 있어서, 상기 제2 전도층(17)을 구리로 형성하는 반도체 소자의 제조 방법.
  45. 삭제
KR10-2003-7003543A 2000-09-11 2001-09-11 반도체 소자 및 이의 제조 방법 KR100479796B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2000-00274427 2000-09-11
JPJP-P-2000-00274426 2000-09-11
JP2000274427A JP2002083870A (ja) 2000-09-11 2000-09-11 半導体装置及びその製造方法
JP2000274426A JP4484345B2 (ja) 2000-09-11 2000-09-11 半導体装置及びその製造方法
PCT/JP2001/007880 WO2002023625A2 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor

Publications (2)

Publication Number Publication Date
KR20030038736A KR20030038736A (ko) 2003-05-16
KR100479796B1 true KR100479796B1 (ko) 2005-03-31

Family

ID=26599616

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-7003543A KR100479796B1 (ko) 2000-09-11 2001-09-11 반도체 소자 및 이의 제조 방법

Country Status (5)

Country Link
US (1) US6949829B2 (ko)
EP (2) EP1777739A3 (ko)
KR (1) KR100479796B1 (ko)
TW (1) TW530380B (ko)
WO (1) WO2002023625A2 (ko)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4257051B2 (ja) * 2001-08-10 2009-04-22 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US7449780B2 (en) * 2003-03-31 2008-11-11 Intel Corporation Apparatus to minimize thermal impedance using copper on die backside
US20050006770A1 (en) * 2003-07-08 2005-01-13 Valeriy Sukharev Copper-low-K dual damascene interconnect with improved reliability
JP3841092B2 (ja) 2003-08-26 2006-11-01 住友電気工業株式会社 発光装置
KR100593737B1 (ko) 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
US7915735B2 (en) 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
KR100731085B1 (ko) * 2005-09-28 2007-06-22 동부일렉트로닉스 주식회사 듀얼 다마신 공정을 이용한 구리 배선 형성 방법
WO2007043100A1 (ja) * 2005-09-30 2007-04-19 Spansion Llc 半導体装置およびその製造方法
JP5019741B2 (ja) * 2005-11-30 2012-09-05 東京エレクトロン株式会社 半導体装置の製造方法および基板処理システム
JP5100057B2 (ja) * 2006-08-18 2012-12-19 東京エレクトロン株式会社 半導体装置の製造方法
US8021975B2 (en) * 2007-07-24 2011-09-20 Tokyo Electron Limited Plasma processing method for forming a film and an electronic component manufactured by the method
US7902641B2 (en) * 2008-07-24 2011-03-08 Tokyo Electron Limited Semiconductor device and manufacturing method therefor
TWI423461B (zh) * 2008-09-18 2014-01-11 Atomic Energy Council 微晶矽薄膜鍍膜之生成方法及其生成裝置
TWI449802B (zh) * 2012-06-06 2014-08-21 Univ Nat Chiao Tung 掺碳氮化矽薄膜及其製造方法與裝置
US10157933B2 (en) * 2016-04-19 2018-12-18 Micron Technology, Inc. Integrated structures including material containing silicon, nitrogen, and at least one of carbon, oxygen, boron and phosphorus
US11329062B2 (en) 2018-10-17 2022-05-10 Micron Technology, Inc. Memory arrays and methods used in forming a memory array
US11177278B2 (en) 2019-11-06 2021-11-16 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11121144B2 (en) 2019-11-13 2021-09-14 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11177159B2 (en) 2019-11-13 2021-11-16 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11706918B2 (en) 2020-07-01 2023-07-18 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
US11889683B2 (en) 2020-07-01 2024-01-30 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223758A (ja) * 1996-12-06 1998-08-21 Sony Corp 半導体装置
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP4361625B2 (ja) * 1998-10-05 2009-11-11 東京エレクトロン株式会社 半導体装置及びその製造方法
US6312874B1 (en) * 1998-11-06 2001-11-06 Advanced Micro Devices, Inc. Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials
WO2000054329A1 (fr) * 1999-03-09 2000-09-14 Tokyo Electron Limited Dispositif semi-conducteur et procede de fabrication correspondant
US6054398A (en) * 1999-05-14 2000-04-25 Advanced Micro Devices, Inc. Semiconductor interconnect barrier for fluorinated dielectrics

Also Published As

Publication number Publication date
WO2002023625A2 (en) 2002-03-21
US6949829B2 (en) 2005-09-27
TW530380B (en) 2003-05-01
WO2002023625A8 (en) 2003-05-01
WO2002023625A3 (en) 2003-03-06
EP1777739A3 (en) 2008-09-17
KR20030038736A (ko) 2003-05-16
US20040041266A1 (en) 2004-03-04
EP1320884A2 (en) 2003-06-25
EP1777739A2 (en) 2007-04-25

Similar Documents

Publication Publication Date Title
KR100479796B1 (ko) 반도체 소자 및 이의 제조 방법
JP4484345B2 (ja) 半導体装置及びその製造方法
US6541842B2 (en) Metal barrier behavior by SiC:H deposition on porous materials
US7088003B2 (en) Structures and methods for integration of ultralow-k dielectrics with improved reliability
US6737746B2 (en) Semiconductor device containing copper diffusion preventive film of silicon carbide
US8481423B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics
US6124641A (en) Semiconductor device organic insulator film
KR100355586B1 (ko) 반도체장치 및 그 제조방법
US7122481B2 (en) Sealing porous dielectrics with silane coupling reagents
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US6383907B1 (en) Process for fabricating a semiconductor device
US7705431B1 (en) Method of improving adhesion between two dielectric films
WO2004070793A2 (en) Method to plasma deposit onto an organic polymer dielectric film
US20070278682A1 (en) Self-assembled mono-layer liner for cu/porous low-k interconnections
JP2010267971A (ja) 窒素含有前駆物質を用いる誘電体バリアの堆積
US20070222076A1 (en) Single or dual damascene structure reducing or eliminating the formation of micro-trenches arising from lithographic misalignment
US9087877B2 (en) Low-k interconnect structures with reduced RC delay
US20050124151A1 (en) Novel method to deposit carbon doped SiO2 films with improved film quality
JP2002083870A (ja) 半導体装置及びその製造方法
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
JP2001230254A (ja) 半導体装置およびその製造方法
Leung et al. Silicon-based dielectrics
JP2005252199A (ja) 半導体装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130304

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160219

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee