JP2010267971A - 窒素含有前駆物質を用いる誘電体バリアの堆積 - Google Patents

窒素含有前駆物質を用いる誘電体バリアの堆積 Download PDF

Info

Publication number
JP2010267971A
JP2010267971A JP2010111328A JP2010111328A JP2010267971A JP 2010267971 A JP2010267971 A JP 2010267971A JP 2010111328 A JP2010111328 A JP 2010111328A JP 2010111328 A JP2010111328 A JP 2010111328A JP 2010267971 A JP2010267971 A JP 2010267971A
Authority
JP
Japan
Prior art keywords
bis
dielectric film
barrier dielectric
silicon carbonitride
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010111328A
Other languages
English (en)
Other versions
JP5006428B2 (ja
Inventor
Anupama Mallikarjunan
マリカージュナン アヌパーマ
Raymond Nicholas Vrtis
ニコラス バーティス レイモンド
Laura M Matz
エム.マッツ ローラ
Mark Leonard O'neill
レオナルド オニール マーク
Andrew David Johnson
デイビッド ジョンソン アンドリュー
Manchao Xiao
シャオ マンチャオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2010267971A publication Critical patent/JP2010267971A/ja
Application granted granted Critical
Publication of JP5006428B2 publication Critical patent/JP5006428B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】現行のバリア誘電体膜に匹敵するかそれよりも低い誘電率を有する誘電体膜を得る方法を提供する。
【解決手段】集積回路基板の誘電体膜と金属相互接続との間に、炭窒化ケイ素バリア誘電体膜を形成する方法であって、誘電体膜を有する集積回路基板を提供すること、この基板をRR’(NR”R”’)Siを含むバリア誘電体膜の前駆物質と接触させること(R、R’、R”及びR”’はそれぞれ個々に、水素、直鎖若しくは分岐の飽和若しくは不飽和アルキル、又は芳香族から選択され;x+y+z=4;z=1〜3であるが、R及びR’の両方が同時に水素にはならない);及び集積回路基板上でC/Si比0.8超かつN/Si比0.2超の炭窒化ケイ素バリア誘電体膜を形成することを含む方法。
【選択図】なし

Description

本発明は、窒素含有前駆物質を用いる誘電体バリアの堆積に関する。
現行の低k(誘電率)バリア膜は、全ての所望の要件、特に低k、高密度、Cu拡散バリア特性及びO拡散バリア特性を満たすことはできない。
マイクロエレクトロニクス産業では、パターン密度の圧縮により著しい性能向上が可能になってきた。そしてこの圧縮は、ムーアの法則により予想された2年周期で起こり続けている。デバイスの操作性を維持又は改善するために、トランジスタと相互接続層の両方の変更がなされてきた。より具体的には、相互接続構造(一般にはバックエンド工程、BEOLといわれる)が着目され、寸法縮小により、許容範囲の線抵抗を維持するためにアルミニウムから銅へのメタライゼーションの移行が引き起こされた。銅線間の適切なキャパシタンスを維持するために、銅線を包む誘電体又は絶縁膜も、パターニングの変化に必要な集積化の変化を補う様に変更されてきた。絶縁膜のキャパシタンスを最小化するために、理想的には各誘電体の誘電率を持続的に減少させるべきである。層間誘電体(「ILD」)に関しては、この移行が継続的に、二酸化ケイ素から、フルオロケイ酸ガラス、高密度有機ケイ酸塩ガラス、そして最終的には多孔質有機ケイ酸塩ガラスへと行われた。それぞれのk値は、4.0、3.3〜3.7、2.7〜3.1、及び2.6未満である。
一般的には、ILD絶縁膜は、誘電体中の湿度及びOを保持することができる。銅は、信頼性に関わる問題を惹起する可能性のある急激な酸化を受けやすいことから、バリア誘電体は、銅線とILD膜の間の拡散バリアとして機能する誘電体積層体の一部を構成し、ILDから銅表面上への水とOの拡散、及びILD膜への銅の拡散を防ぐ。ILD膜の傾向とは反対に、誘電体が相互接続構造内で作用するという信頼性機能のために、バリア誘電体に関しては著しく低下することはなかった。しかし、バリア誘電体に比較してILD膜の誘電率が不均衡に低下してきたことを考えると、現在のバリアのキャパシタンスは、従来の技術ノードにおけるものと比較して、相互接続構造のキャパシタンス全体に対する重要性が増している。
太陽光発電や薄膜ディスプレイ装置等のような別の半導体の応用においても、より低いk値の誘電体バリア膜が求められている。それに加え、誘電特性を、密度、屈折率、膜組成、及び電気特性に合わせて調整できることが、拡張性にとっては重要である。
現世代のILD材料においては、堆積後に追加の紫外線硬化工程が必要とされる。バリア膜が低kのILD膜の下に存在するかもしれないとすると、現世代のバリア膜は張力応力を受ける傾向があり、これが更にBEOL相互接続の亀裂と変形の原因となる。現行の業界標準の前駆物質である3MS(トリメチルシラン)又は4MS(テトラメチルシラン)は、バリア特性を維持しながら全ての要件、特にk値を低下させる能力を満たすことはできない。本分野での重要な特許として、一般に特許文献1〜8が挙げられる。
米国特許出願公開第2008/0197513 米国特許出願公開第2008/0173985 米国特許出願公開第2008/0099918 米国特許第7,129,187 米国特許第6,500,772 米国特許第7,049,200 米国特許第7,259,050 米国特許第6,153,261
本発明の少なくとも1つの態様において、以下の特定のアミノシラン前駆物質を用いるプラズマ化学気相成長法(PECVD)は、適切なバリア特性を維持しながら、現行のバリア誘電体膜に匹敵するかそれよりも低い誘電率を有する誘電体膜を提供する。これらの特性には、高密度、密封性、及び温度安定性が含まれる。
本発明の方法は、集積回路基板の誘電体膜と金属相互接続との間に、炭窒化ケイ素バリア誘電体膜を形成する方法であって、下記の工程を含む方法である:
誘電体膜を有する集積回路基板を提供すること、
前記基板を、RR’(NR”R”’)Siを含むバリア誘電体膜の前駆物質と接触させること(R、R’、R”及びR”’はそれぞれ個々に、水素、直鎖若しくは分岐の飽和若しくは不飽和アルキル、又は芳香族から選択され;x+y+z=4;z=1〜3であるが、R及びR’の両方が同時に水素にはならない);及び
前記集積回路基板上でC/Si比0.8超かつN/Si比0.2超の炭窒化ケイ素バリア誘電体膜を形成すること。
前記形成は、追加の窒素含有反応体を用いずに行うことが好ましい。
バリア誘電体膜の前駆物質は、下記の群から選択されることが好ましい:
ビス(イソプロピルアミノ)ビニルメチルシラン;ビス(イソプロピルアミノ)ジビニルシラン;ビス(t‐ブチルアミノ)ビニルメチルシラン;ビス(t‐ブチルアミノ)ジビニルシラン;ビス(ジエチルアミノ)ビニルメチルシラン;ビス(ジエチルアミノ)ジビニルシラン;ビス(ジメチルアミノ)ビニルメチルシラン;ビス(ジメチルアミノ)ジビニルシラン;ビス(メチルエチルアミノ)ビニルメチルシラン;ビス(メチルエチルアミノ)ジビニルシラン;ビス(イソプロピルアミノ)アリルメチルシラン;ビス(イソプロピルアミノ)ジアリルシラン;ビス(t‐ブチルアミノ)アリルメチルシラン;ビス(t‐ブチルアミノ)ジアリルシラン;ビス(ジエチルアミノ)アリルメチルシラン;ビス(ジエチルアミノ)ジアリルシラン;ビス(ジメチルアミノ)アリルメチルシラン;ビス(ジメチルアミノ)ジアリルシラン;ビス(メチルエチルアミノ)アリルメチルシラン;ビス(メチルエチルアミノ)ジアリルシラン;ビス(イソプロピルアミノ)メチルシラン;ビス(イソプロピルアミノ)ジメチルシラン;ビス(t‐ブチルアミノ)メチルシラン;ビス(t‐ブチルアミノ)ジメチルシラン;ビス(ジエチルアミノ)メチルシラン;ビス(ジエチルアミノ)ジメチルシラン;ビス(ジメチルアミノ)メチルシラン;ビス(ジメチルアミノ)ジメチルシラン;ビス(メチルエチルアミノ)メチルシラン;ビス(メチルエチルアミノ)ジメチルシラン;及びそれらの混合物。
図1Aは、PECVDにより350°Cで堆積した膜についての、種々の前駆物質での屈折率(RI)(632nm)と誘電率(k)との関係を示す。 図1Bは、PECVDにより350°Cで堆積した膜についての、種々の前駆物質での密度と誘電率(k)との関係を示す。 図2Aは、3MS/NH(k=5.1膜)と、ジメチルビス(イソプロピルアミノ)シラン(DMBIPAS)(k=4.74膜)及びビス(イソプロピルアミノ)ビニルメチルシラン(BIPAVMS)(k=4.3膜)との、フーリエ赤外分光(FTIR)の比較を示す。 図2Bは、X線光電子分光法(XPS)により測定された以下のSiCN膜の元素成分(%)の比較を示す:ビス(三級ブチルアミノ)シラン(BTBAS);DMBIPAS;及びBIPAVMS。 図3は、ほぼ同一のk値であるが、2つの異なる条件下で堆積したBIPAVMS膜のリーク電流密度(A/cm)と印加電界(VM/cm)との関係を示す。
低誘電率等のバリア誘電特性が改善されたケイ素、炭素、窒素、及び水素含有前駆物質を含む、誘電体バリア膜を堆積する方法を提供する。この方法は、相互接続構造に使用されるダマシン若しくはデュアルダマシン集積化又は拡散バリアが必要な別の用途において使用されるバリア層にとって重要になる。本実施例において、現在用いられている既存の前駆物質を超えてバリア性能を改善する、具体的な構造特性が示される。
本発明の方法は、集積回路基板の誘電体膜と金属相互接続との間に、炭窒化ケイ素バリア誘電体膜を形成する方法であって、下記の工程を含む:
電体膜を有する集積回路基板を提供すること、
前記基板を、RR’(NR”R”’)Siを含むバリア誘電体膜の前駆物質と接触させること(R、R’、R”及びR”’はそれぞれ個々に、水素、直鎖若しくは分岐の飽和若しくは不飽和アルキル、又は芳香族から選択され;x+y+z=4;z=1〜3であるが、R及びR’の両方が同時に水素にはならない);及び
前記集積回路基板上でC/Si比0.8超かつN/Si比0.2超の炭窒化ケイ素バリア誘電体膜を形成すること。
前記形成は、追加の窒素含有反応体を用いずに行うことが好ましい。
バリア誘電体膜の前駆物質は、下記の群から選択されることが好ましい:
ビス(イソプロピルアミノ)ビニルメチルシラン;ビス(イソプロピルアミノ)ジビニルシラン;ビス(t‐ブチルアミノ)ビニルメチルシラン;ビス(t‐ブチルアミノ)ジビニルシラン;ビス(ジエチルアミノ)ビニルメチルシラン;ビス(ジエチルアミノ)ジビニルシラン;ビス(ジメチルアミノ)ビニルメチルシラン;ビス(ジメチルアミノ)ジビニルシラン;ビス(メチルエチルアミノ)ビニルメチルシラン;ビス(メチルエチルアミノ)ジビニルシラン;ビス(イソプロピルアミノ)アリルメチルシラン;ビス(イソプロピルアミノ)ジアリルシラン;ビス(t‐ブチルアミノ)アリルメチルシラン;ビス(t‐ブチルアミノ)ジアリルシラン;ビス(ジエチルアミノ)アリルメチルシラン;ビス(ジエチルアミノ)ジアリルシラン;ビス(ジメチルアミノ)アリルメチルシラン;ビス(ジメチルアミノ)ジアリルシラン;ビス(メチルエチルアミノ)アリルメチルシラン;ビス(メチルエチルアミノ)ジアリルシラン;ビス(イソプロピルアミノ)メチルシラン;ビス(イソプロピルアミノ)ジメチルシラン;ビス(t‐ブチルアミノ)メチルシラン;ビス(t‐ブチルアミノ)ジメチルシラン;ビス(ジエチルアミノ)メチルシラン;ビス(ジエチルアミノ)ジメチルシラン;ビス(ジメチルアミノ)メチルシラン;ビス(ジメチルアミノ)ジメチルシラン;ビス(メチルエチルアミノ)メチルシラン;ビス(メチルエチルアミノ)ジメチルシラン;及びそれらの混合物。
単一のプロセス工程が好ましいが、多くの場合は、堆積後に膜の後処理をすることも発明の範囲内である。このような後処理には、1つ以上の膜特性を改善するために、例えば温度処理、プラズマ処理、UV/可視光/IR放射、及び化学処理の少なくとも1つを行うことが含まれ得る。例えばこの後処理によって所望の密度及び/又は応力を維持しながら、低誘電率を提供し得る。
ガス状の反応体にエネルギーを与えてガスの反応を誘導し、そして基板上に膜を形成する。例えばプラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ及び遠隔プラズマ法によって、このようなエネルギーを与えることができる。二次的高周波(rf)高周波源を、基板表面でのプラズマ特性を変更するために使用できる。
各ガス状反応体の流量は、単一の200ミリメーター(mm)ウエハ当たり、好ましくは10〜5000標準立方センチメートル毎秒(sccm)、更に好ましくは200〜2000sccmの範囲である。液体の化学品の流量は、0.1〜10グラム(g)/分、好ましくは0.5〜3g/分の範囲である。膜中のケイ素、炭素、窒素、水素等の所望の分量と比率を与えるために、個々の流量が選択される。実際に必要な流量は、基板サイズとチャンバーの構造に左右され得る。これらは200mmウエハ又は単一ウエハチャンバーに限定されない。
堆積の間、真空チャンバー内の圧力は、好ましくは0.01〜760torr、更に好ましくは1〜20torrである。
膜の厚みは必要により変更可能であるが、0.002〜10μmの厚みで堆積されることが好ましい。パターンニングされていない表面上に堆積されたブランケット膜は、優れた均一性を有し、合理的なエッジ除外を行った場合、例えば基板の最外側の10mmは均一性の統計計算に含めないエッジ除外を行った場合、基板全体について1標準偏差あたり2%未満の厚みのばらつきである。
膜密度は、材料の誘電率の増加に応じて高めることができる。この材料の適用性を次世代に向けて拡大するために、誘電率を低くするように、前駆物質の堆積条件を調整することができる。特定範囲の堆積条件下でのこの種の前駆物質では、広範囲の誘電率と達成可能な密度が存在する。堆積条件を変えて膜特性を変更できることは、当業者にとって常識であろう。
本発明の膜は、1.5g/立方センチメータ(cc)以上、或いは1.8g/cc以上の密度を有することが好ましい。更に好ましくは、密度は1.6g/cc〜2.2g/cc、最も好ましくは1.7g/cc〜2.0g/ccである。
本発明の膜は、トリメチルシラン及びテトラメチルシランのような別の候補前駆物質から製造された既知の膜と比較して、改善された特性を有する。ある態様では、膜は6.0未満、好ましくは5.0未満、更に好ましくは4.0〜4.5の誘電率を有する。
本発明の膜は、好ましくは1.7〜2.2、更に好ましくは1.8〜2.0のRIを有する。
本発明の膜は、好ましくは0.8より大きく、更に好ましくは1.2より大きいC/Si比を有する。
本発明の膜は、好ましくは0.2より大きいN/Si比を有する。
又、炭窒化ケイ素バリア誘電体膜は、膜の深さ全体にわたって変化するケイ素、炭素及び窒素の組成傾斜を有し、前駆物質の流量、希釈剤の流量、出力、圧力等の製造条件を変えることで、このような傾斜が作り出される。傾斜膜の価値は、下地金属層上への堆積に関して最適化及び調整された特性が可能になることにある。傾斜層の上部は実際、改善されたエッチング選択特性のために調整することが可能である。下地誘電体層及び金属層のどちらかへの接着性、傾斜層内の改善された膜密度、バリア膜組成物全体の減少された誘電率を含む(ただし、上記に限定されない)改善された特性に関して膜を調整するために、傾斜層には別の変形が存在してもよい。これらは、傾斜層を必要とするかもしれない特性の例であるが、これらの特性に限定されない。当業者は、傾斜膜積層体を導き得る半導体デバイスにおける多数の集積化の要求を理解するであろう。
本発明の膜は、優れた耐化学性を有し、温度に対して安定である。
この膜は様々な用途に適している。この膜は特に、他の集積層への化学種の拡散を抑制するためのバリア膜としての用途に適する。ある態様において、膜の堆積は、半導体基板上に行い、特に、例えば集積回路中の絶縁層、キャッピング層、化学機械平坦化(CMP)若しくはエッチング停止層、バリア層(例えば、金属、水分又は絶縁層に望ましくないその他の材料の拡散に対するバリア層)、及び/又は接着層としての使用に適している。この膜は、コンフォーマルコーティングを形成することができる。これらの膜によって示された機械的特性は、これらの膜を、Alサブトラクティブ技術及びCuダマシン技術での使用に特に適合するようにする。
膜は、化学機械平坦化及び異方性エッチングに適合し、そしてケイ素、SiO、Si、有機ケイ酸塩ガラス(OSG)、フッ素化ケイ酸塩ガラス(FSG)、炭化ケイ素、反射防止膜、フォトレジスト、有機ポリマー、多孔性の有機及び無機材料、金属(例えば銅、タンタル、窒化タンタル、マンガン、ルテニウム、コバルト及びアルミニウム)、及び金属バリア層のような様々な材料、及び銅接着処理過程において接着可能である。
本発明は、特に膜の提供に適し、本明細書において発明品の大部分は膜として記載されているが、本発明はこれらに限定されない。本発明品は、化学堆積(CVD)又は原子層成長法(ALD)によって堆積され得る任意の形態、例えばコーティング、多層組立体、及び必ずしも平面又は薄層状でない他のタイプの物品、及び集積回路で必ずしも使用されない多数の物品の形態でも提供することができる。
本発明では、バリア誘電体膜に使用される3MS又は4MSの代替物としてのアミノシランは一般的に、誘電率を維持又は減少させながら、誘電体膜のバリア特性を改善できることがわかった。
本発明では、炭窒化ケイ素膜を形成するために、ある種のアミノシラン前駆物質を用いてプラズマ促進化学気相成長法が利用されることが好ましい。従来の標準的なバリア誘電体堆積法では、アルキルシラン(すなわちトリメチルシラン及びテトラメチルシラン)を酸化剤(二酸化炭素、酸素、又は亜酸化窒素)又は窒素含有反応体ガス(窒素及びアンモニア)と組み合わせて、酸化又は窒化シリコン炭化物膜を形成していた。しかし、所望の密度を維持しながら、この堆積での誘電率を低下させるには限界がある。
エッチング停止膜として機能するためには、ULK(超低k)膜とバリア誘電体膜との間で合理的な膜選択性が達成されることが必要である。一般的に比較的高い屈折率のバリア膜は、特に1.5超、好ましくは1.7超の比較的良い選択性を与える。比較的高屈折率のバリア膜を得るためには、上記の一般的な前駆物質構造が有効であることが、試験中に分かった。高屈折率と低誘電率が同時に達成されるとすると、特定の種類の前駆物質類は、改善された特性を与える。別の種類の前駆物質類は、k値が減少すると、屈折率が減少する。そのため、電気的要件が達成されても、エッチング選択性を損なう可能性がある。
バリア膜の第二の望ましい特性は、バリア拡散特性、特に水分拡散の防止であり、これは電気デバイスの信頼性に貢献し得る。膜密度は一般的に、拡散特性の強力な指標として使用される。本産業の現状のバリア膜では、密度は1.8〜2.0g/ccであり、前駆物質としてトリメチルシラン又はテトラメチルシランを使用する。しかし、従来技術における前駆物質の問題は、適当なバリア特性に必要とされる所望の密度を得るためには、誘電率を低下させることが難しいことである。
いくつかの集積化手法では、誘電体堆積に先行して銅が露出される。また、いくつかの集積化手法では、窒素含有バリアからのレジスト被毒に関する問題がある。このような場合、酸素含有バリア層が望ましい。例えば、20〜600オングストローム(Å)の薄いSiCOバリア層を使用できる。別の態様では、界面層は、良好な界面を形成するために使用される代替表面層であってよい。
現行の3MS又は4MSバリア誘電体膜に伴う別の潜在的問題は、紫外線(「UV」)照射又は電子ビームによる露光に伴う膜特性の変化、特に誘電率の増加又は膜応力の変化のような特性の変化にある。いくつかの集積化手法では、UVを使用でき、膜特性の変化は、信頼性又は集積化キャパシタンスのような別の電気的基準にかかわる潜在的な問題を惹き起こす可能性がある。以下の実施例では、特定の堆積ガス(例えば、水素)と共にアミノシランを使用すると、UV露光及び応力変化に伴うk値変化に対する膜感受性が減少する。
全ての堆積は、2000RF高周波発生器(Advanced Energy社製)を取り付けられた200mm用P5000 PECVD DXZ又はDXLチャンバー(Applied Materials社製)を用い、直接液体注入で行った。3MSを除く他の全ての前駆物質は液体前駆物質であり、前駆物質の沸点により様々な供給温度を有する。
次の実施例では特に明記しない限り、中等度の抵抗率(8〜12Ωcm)の単結晶シリコンウエハ基板上に堆積されたサンプル膜から得られた特性である。誘電体膜の厚みと屈折率のような光学特性が、SCI Filmtek Reflectometerで測定された。屈折率は632ナノメーター(nm)の波長の光を用いて測定される。
誘電率、電気絶縁破壊、及びリークを含む膜測定の全てに、水銀プローブを使用した。Nでパージしたベンチを使用し、Nicolet 750 トランスミッション FTIRツールで、誘電体膜の結合特性を解析した。同様の中程度の抵抗率のウエハ上でバックグラウンドスペクトルを収集し、スペクトルからCOと水分を除去した。データは、4cm−1の解像度で32回スキャンを収集することにより、4000〜400cm−1の範囲で得られた。データ処理には、OMNICソフトウェアパッケージを使用した。2層モデルを用いるX線反射率によって、全ての密度を求めた。
図1Aは、PECVDにより350°Cで堆積を行った膜についての、種々の前駆物質での屈折率(632nm)と誘電率(k)との関係を示している。ここでは、3MSはトリメチルシラン、BTBASはビス(t−ブチルアミノ)シラン、DMBIPASはジメチルビス(イソプロピルアミノ)シラン、及びBIPAVMSはビス(イソプロピルアミノ)ビニルメチルシランである。実験によれば、3MS/NH及びBTBAS膜は両方とも、kの範囲が4.7〜5.5であった。DMBIPAS膜は、4.3〜5.0の比較的低いk、そしてBIPAVMS膜は、4.0〜5.0の更に低いkであった。これらのアミノシラン前駆物質は、現行の方法と比べて同等又は比較的低いk値を与えることができる。1.85〜1.95の屈折率(RI)は一般に、低kに対して優れたエッチング選択性を示すために好ましい。BIPAVMS膜は、標的となるRI範囲の比較的低kの可能性を示す。
図1Bは、PECVDにより350°Cで堆積を行った膜についての、種々の前駆物質での密度と誘電率(k)との関係を示している。ここでは、前駆物質は、3MS、BTBAS、DMBIPAS及びBIPAVMSを含む。所定のk値では、比較的大きい密度がバリア膜に関して、好ましい。DMBIPAS及びBIPAVMSは、3MSよりも低いk値を有する膜を提供できる。いくつかのBIPAVMS膜では、k値を4.5未満に低下させながら、1.8g/cc超の密度を有する。
図2Aは、3MS/NH(k=5.1膜)と、DMBIPAS(k=4.74膜)及びBIPAVMS(k=4.3膜)とのFTIRの比較を示す。一般に、kが低下すると、約2900cm−1でのC‐H結合が増加する。しかし、DMBIPAS及びBIPAVMSは、比較的多くのN‐H結合(3300cm−1)及び比較的多くのSi‐CH‐Si結合(約1100cm−1)を示す。これらの前駆物質で形成された膜の比較的大きい密度は、比較的高いN(%)及び骨格含有量に相関している。このように、これらの前駆物質は、現行の3MS/NH技術に対して官能基を組み入れる点で優れており、これがkを低下させながら密度を改善する。
図2Bでは、XPSにより測定されるSiCN膜の元素組成(%)の比較をグラフに示す。k=5のBTBAS膜は、同様なkを示す3MS/NHよりも有意に高い窒素含有量を示す。比較的低いkを有するDMBIPAS及びBIPAVMS膜は、比較的高いC(%)を示すが、高窒素含有量を保持する。このように、この種の前駆物質は、優れた密度、RI、エッチング選択性のような有益な特性を保持しながら、低k膜を与える。
図3は、ほぼ同一のk値であるが2つの異なる条件下で堆積されたBIPAVMS膜のリーク電流密度(A/cm)と印加電界(VM/cm)との関係を示す。条件P2は条件P1対して、2MV/cmのリークでは、10倍以上の改善がみられる。前駆物質についてのプロセス条件を調整することにより、厳密な電気的要件を満たす低リーク膜を得ることが可能である。
バリア誘電体膜の前駆物質は好ましくは先に述べ前駆物質群から選択され、それらの例は下記のようなものである:ビス(イソプロピルアミノ)ビニルメチルシラン;ビス(イソプロピルアミノ)ジビニルシラン;ビス(t‐ブチルアミノ)ビニルメチルシラン;ビス(t‐ブチルアミノ)ジビニルシラン;ビス(ジエチルアミノ)ビニルメチルシラン;ビス(ジエチルアミノ)ジビニルシラン;ビス(ジメチルアミノ)ビニルメチルシラン;ビス(ジメチルアミノ)ジビニルシラン;ビス(メチルエチルアミノ)ビニルメチルシラン;ビス(メチルエチルアミノ)ジビニルシラン;ビス(イソプロピルアミノ)アリルメチルシラン;ビス(イソプロピルアミノ)ジアリルシラン;ビス(t‐ブチルアミノ)アリルメチルシラン;ビス(t‐ブチルアミノ)ジアリルシラン;ビス(ジエチルアミノ)アリルメチルシラン;ビス(ジエチルアミノ)ジアリルシラン;ビス(ジメチルアミノ)アリルメチルシラン;ビス(ジメチルアミノ)ジアリルシラン;ビス(メチルエチルアミノ)アリルメチルシラン;ビス(メチルエチルアミノ)ジアリルシラン;ビス(イソプロピルアミノ)メチルシラン;ビス(イソプロピルアミノ)ジメチルシラン;ビス(t‐ブチルアミノ)メチルシラン;ビス(t‐ブチルアミノ)ジメチルシラン;ビス(ジエチルアミノ)メチルシラン;ビス(ジエチルアミノ)ジメチルシラン;ビス(ジメチルアミノ)メチルシラン;ビス(ジメチルアミノ)ジメチルシラン;ビス(メチルエチルアミノ)メチルシラン;ビス(メチルエチルアミノ)ジメチルシラン;及びそれらの混合物。
以下の実施例(実施例1〜3)は、いくつかのPECVD条件とそれに対応する膜特性を示す。200mmウエハを、350〜400°Cのサセプタ温度を有する200mm用Applied Material社製チャンバーで処理した。前駆物質(100〜1000mg/min)及びキャリアガス(He又はN)(500〜2500sccm)を確立した後で、圧力を2.0〜5.0torrで安定化した。いくつかの堆積は、H(100〜500sccm)及び/又はNH(100〜500sccm)も使用する。高周波出力(13.56MHz、200〜800W)を、60〜200秒間加えて、炭窒化ケイ素膜を堆積させた。堆積に続いて、シリコンウエハをPECVDチャンバーから取り出し、NFプラズマを用いてチャンバーを清掃した。これらの実施例は単に例示することが目的である。当業者は、温度、圧、流量、出力、間隔等のような堆積パラメーターを変更することで膜特性が変化し、更に最適化できることを理解できるであろう。
本明細書の実施例は全て、処理ガスとしてHを添加しているが、これは必ずしも必須ではない。詳細は表2に示す。実施例1は、高密度及び高品質の優れたバリア膜を提供するBTBAS前駆物質及び条件の例示である。実施例2及び3は各々、DMBIPAS及びBIPAVMSを用いている。両方とも、1.8g/cc超の密度を維持しながら、kを低下させ得ることを示している。

Claims (20)

  1. 集積回路基板の誘電体膜と金属相互接続との間に、炭窒化ケイ素バリア誘電体膜を形成する方法であって、
    誘電体膜を有する集積回路基板を提供すること、
    前記基板を、RR’(NR”R”’)Siを含むバリア誘電体膜の前駆物質と接触させること(R、R’、R”及びR”’はそれぞれ個々に、水素、直鎖若しくは分岐の飽和若しくは不飽和アルキル、又は芳香族から選択され;x+y+z=4;z=1〜3であるが、R及びR’の両方が同時に水素にはならない);
    前記集積回路基板上で、C/Si比0.8超かつN/Si比0.2超の炭窒化ケイ素バリア誘電体膜を形成すること、
    を含む、炭窒化ケイ素バリア誘電体膜を形成する方法。
  2. 前記炭窒化ケイ素バリア誘電体膜の形成の後に、金属相互接続を提供する、請求項1に記載の方法。
  3. 前記炭窒化ケイ素バリア誘電体膜の形成の前に、金属相互接続を提供する、請求項1に記載の方法。
  4. 前記炭窒化ケイ素バリア誘電体膜の形成の後に、誘電体膜を提供する、請求項3に記載の方法。
  5. 前記バリア誘電体膜の前駆物質が、下記の群から選択される、請求項1に記載の方法:
    ビス(イソプロピルアミノ)ビニルメチルシラン;ビス(イソプロピルアミノ)ジビニルシラン;ビス(t‐ブチルアミノ)ビニルメチルシラン;ビス(t‐ブチルアミノ)ジビニルシラン;ビス(ジエチルアミノ)ビニルメチルシラン;ビス(ジエチルアミノ)ジビニルシラン;ビス(ジメチルアミノ)ビニルメチルシラン;ビス(ジメチルアミノ)ジビニルシラン;ビス(メチルエチルアミノ)ビニルメチルシラン;ビス(メチルエチルアミノ)ジビニルシラン;ビス(イソプロピルアミノ)アリルメチルシラン;ビス(イソプロピルアミノ)ジアリルシラン;ビス(t‐ブチルアミノ)アリルメチルシラン;ビス(t‐ブチルアミノ)ジアリルシラン;ビス(ジエチルアミノ)アリルメチルシラン;ビス(ジエチルアミノ)ジアリルシラン;ビス(ジメチルアミノ)アリルメチルシラン;ビス(ジメチルアミノ)ジアリルシラン;ビス(メチルエチルアミノ)アリルメチルシラン;ビス(メチルエチルアミノ)ジアリルシラン;ビス(イソプロピルアミノ)メチルシラン;ビス(イソプロピルアミノ)ジメチルシラン;ビス(t‐ブチルアミノ)メチルシラン;ビス(t‐ブチルアミノ)ジメチルシラン;ビス(ジエチルアミノ)メチルシラン;ビス(ジエチルアミノ)ジメチルシラン;ビス(ジメチルアミノ)メチルシラン;ビス(ジメチルアミノ)ジメチルシラン;ビス(メチルエチルアミノ)メチルシラン;ビス(メチルエチルアミノ)ジメチルシラン;及びそれらの混合物。
  6. z=2である、請求項1に記載の方法。
  7. 前記バリア誘電体膜を、プラズマ促進化学気相成長条件下で形成する、請求項1に記載の方法。
  8. 前記炭窒化ケイ素バリア誘電体膜が、1.6〜2.2g/ccの範囲の密度を有する、請求項1に記載の方法。
  9. 前記炭窒化ケイ素バリア誘電体膜が、1.7〜2.0g/ccの範囲の密度を有する、請求項8に記載の方法。
  10. 前記炭窒化ケイ素バリア誘電体膜が、5.0未満のkを有する、請求項1に記載の方法。
  11. 前記炭窒化ケイ素バリア誘電体膜が、4.0〜4.5の範囲のkを有する、請求項1に記載の方法。
  12. 前記炭窒化ケイ素バリア誘電体膜が、膜の深さにわたって変化するケイ素、炭素及び窒素の組成傾斜を有する、請求項1に記載の方法。
  13. 集積回路基板の誘電体膜と金属相互接続との間に、炭窒化ケイ素バリア誘電体膜を形成する方法であって、
    誘電体膜を有する集積回路基板を提供すること、
    前記基板を、ビス(イソプロピルアミノ)ビニルメチルシラン含むバリア誘電体膜の前駆物質と接触させること、
    を含み、かつ追加の窒素含有反応体を使用しない、炭窒化ケイ素バリア誘電体膜を形成する方法。
  14. 前記集積回路基板上で、C/Si比0.8超かつN/Si比0.2超の前記炭窒化ケイ素バリア誘電体膜を形成することを含む、請求項13に記載の方法。
  15. 集積回路基板の誘電体膜と金属相互接続との間に、炭窒化ケイ素バリア誘電体膜を形成する方法であって、
    誘電体膜を有する集積回路基板を提供すること、
    前記基板を、ビス(イソプロピルアミノ)ジビニルシラン含むバリア誘電体膜の前駆物質と接触させること、
    を含み、かつ追加の窒素含有反応体を使用しない、炭窒化ケイ素バリア誘電体膜を形成する方法。
  16. 前記集積回路基板上で、C/Si比0.8超かつN/Si比0.2超の前記炭窒化ケイ素バリア誘電体膜を形成することを含む、請求項15に記載の方法。
  17. 集積回路基板の誘電体膜と金属相互接続との間に、炭窒化ケイ素バリア誘電体膜を形成する方法であって、
    誘電体膜を有する集積回路基板を提供すること、
    前記基板を、ビス(イソプロピルアミノ)ジメチルシラン含むバリア誘電体膜の前駆物質と接触させること、
    を含み、かつ追加の窒素含有反応体を使用しない、炭窒化ケイ素バリア誘電体膜を形成する方法。
  18. 前記前記集積回路基板上で、C/Si比0.8超かつN/Si比0.2超の炭窒化ケイ素バリア誘電体膜を形成することを含む、請求項17に記載の製造方法。
  19. 集積回路基板の誘電体膜と金属相互接続との間に、炭窒化ケイ素バリア誘電体膜を形成する方法であって、
    誘電体膜を有する集積回路基板を提供すること、
    該基板を、ビス(イソプロピルアミノ)メチルシラン含むバリア誘電体膜の前駆物質と接触させること、
    を含み、かつ追加の窒素含有反応体を使用しない、炭窒化ケイ素バリア誘電体膜を形成する方法。
  20. 前記集積回路基板上で、C/Si比0.8超かつN/Si比0.2超の炭窒化ケイ素バリア誘電体膜を形成することを含む、請求項19に記載の方法。
JP2010111328A 2009-05-13 2010-05-13 窒素含有前駆物質を用いる誘電体バリアの堆積 Expired - Fee Related JP5006428B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17782109P 2009-05-13 2009-05-13
US61/177,821 2009-05-13
US12/772,518 2010-05-03
US12/772,518 US8889235B2 (en) 2009-05-13 2010-05-03 Dielectric barrier deposition using nitrogen containing precursor

Publications (2)

Publication Number Publication Date
JP2010267971A true JP2010267971A (ja) 2010-11-25
JP5006428B2 JP5006428B2 (ja) 2012-08-22

Family

ID=42331085

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010111328A Expired - Fee Related JP5006428B2 (ja) 2009-05-13 2010-05-13 窒素含有前駆物質を用いる誘電体バリアの堆積

Country Status (6)

Country Link
US (1) US8889235B2 (ja)
EP (1) EP2251899B1 (ja)
JP (1) JP5006428B2 (ja)
KR (1) KR101144535B1 (ja)
CN (1) CN101886255B (ja)
TW (1) TWI482219B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140097034A (ko) * 2013-01-29 2014-08-06 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2015233153A (ja) * 2011-06-03 2015-12-24 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated ケイ素含有膜を堆積するための方法
JP2018014536A (ja) * 2012-04-12 2018-01-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化ケイ素薄膜の高温原子層堆積

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
KR101221722B1 (ko) * 2011-03-04 2013-01-11 주식회사 엘지화학 전도성 구조체 및 이의 제조방법
CN102427059A (zh) * 2011-11-10 2012-04-25 上海华力微电子有限公司 一种提高阻挡层与金属层的粘结性的方法
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US20150275355A1 (en) * 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
GB202008892D0 (en) * 2020-06-11 2020-07-29 Spts Technologies Ltd Method of deposition

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006627A (ja) * 2002-01-02 2004-01-08 Agere Systems Inc 多孔質低k誘電体膜を分離する構造および方法
JP2004253780A (ja) * 2003-01-31 2004-09-09 Nec Electronics Corp 半導体装置およびその製造方法
JP2005026244A (ja) * 2003-06-30 2005-01-27 Hiroshi Nakayama 膜形成方法、膜、及び素子
JP2005175405A (ja) * 2003-12-15 2005-06-30 Nec Corp 積層構造体並びに半導体装置及びその製造方法
JP2005310861A (ja) * 2004-04-19 2005-11-04 Mitsui Chemicals Inc 炭化窒化珪素膜の形成方法
JP2006506806A (ja) * 2002-11-14 2006-02-23 インターナショナル・ビジネス・マシーンズ・コーポレーション ハイブリッド誘電体を備えた高信頼低誘電率相互接続構造
JP2006073569A (ja) * 2004-08-31 2006-03-16 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
JP2009099986A (ja) * 2007-10-12 2009-05-07 Air Products & Chemicals Inc 光電池用途のための反射防止コーティング

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2854787B2 (ja) 1993-08-31 1999-02-03 信越化学工業株式会社 シリコーンゴム組成物の製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6153261A (en) 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP3430097B2 (ja) 1999-12-22 2003-07-28 日本電気株式会社 薄膜トランジスタアレイ基板の製造方法
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP2002246381A (ja) 2001-02-15 2002-08-30 Anelva Corp Cvd方法
US20030186087A1 (en) * 2002-03-26 2003-10-02 Fu-Tai Liou Gradient barrier layer for copper back-end-of-line technology
US7252875B2 (en) 2002-12-16 2007-08-07 International Business Machines Corporation Diffusion barrier with low dielectric constant and semiconductor device containing same
JP2004223769A (ja) 2003-01-20 2004-08-12 Dainippon Printing Co Ltd 透明積層フィルム、反射防止フィルム及びそれを用いた偏光板、液晶表示装置
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7091133B2 (en) 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US7579496B2 (en) 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US20080166870A1 (en) 2004-06-04 2008-07-10 International Business Machines Corporation Fabrication of Interconnect Structures
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
JP2006120992A (ja) 2004-10-25 2006-05-11 C Bui Res:Kk シリコン窒化膜の製造方法及びその製造装置
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
JP2006294485A (ja) 2005-04-13 2006-10-26 Konica Minolta Holdings Inc 有機エレクトロルミネッセンス素子、その製造方法及び表示装置
KR101361946B1 (ko) 2005-04-25 2014-02-12 스몰텍 에이비 기판 상에서의 나노구조체의 제어 성장 및 그에 기반한 전자 방출 장치
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
BRPI0611189B1 (pt) 2005-05-31 2017-06-06 Toho Titanium Co Ltd catalisador para a polimerização de olefinas, e, processo para a produção de um polímero de olefina
WO2007000186A1 (en) 2005-06-29 2007-01-04 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition method of ternary films
US7777291B2 (en) 2005-08-26 2010-08-17 Smoltek Ab Integrated circuits having interconnects and heat dissipators based on nanostructures
JP2007092166A (ja) 2005-09-02 2007-04-12 Japan Advanced Institute Of Science & Technology Hokuriku 薄膜堆積装置、薄膜堆積方法及び化合物薄膜
US7734044B2 (en) 2006-02-23 2010-06-08 Texas Instruments Incorporated Method and apparatus for synchronous stream cipher encryption with reserved codes
DE102006051496B4 (de) 2006-10-31 2008-09-25 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem porösen Materialschichtstapel mit kleinem ε mit reduzierter UV-Empfindlichkeit und Verfahren zu dessen Herstellung
US20080142046A1 (en) 2006-12-13 2008-06-19 Andrew David Johnson Thermal F2 etch process for cleaning CVD chambers
US7790635B2 (en) 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
US20080173985A1 (en) 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US20100003483A1 (en) 2007-02-05 2010-01-07 Kazuhiro Fukuda Transparent gas barrier film
US7847402B2 (en) 2007-02-20 2010-12-07 International Business Machines Corporation BEOL interconnect structures with improved resistance to stress
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006627A (ja) * 2002-01-02 2004-01-08 Agere Systems Inc 多孔質低k誘電体膜を分離する構造および方法
JP2006506806A (ja) * 2002-11-14 2006-02-23 インターナショナル・ビジネス・マシーンズ・コーポレーション ハイブリッド誘電体を備えた高信頼低誘電率相互接続構造
JP2004253780A (ja) * 2003-01-31 2004-09-09 Nec Electronics Corp 半導体装置およびその製造方法
JP2005026244A (ja) * 2003-06-30 2005-01-27 Hiroshi Nakayama 膜形成方法、膜、及び素子
JP2005175405A (ja) * 2003-12-15 2005-06-30 Nec Corp 積層構造体並びに半導体装置及びその製造方法
JP2005310861A (ja) * 2004-04-19 2005-11-04 Mitsui Chemicals Inc 炭化窒化珪素膜の形成方法
JP2006073569A (ja) * 2004-08-31 2006-03-16 Matsushita Electric Ind Co Ltd 半導体装置とその製造方法
JP2009099986A (ja) * 2007-10-12 2009-05-07 Air Products & Chemicals Inc 光電池用途のための反射防止コーティング

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015233153A (ja) * 2011-06-03 2015-12-24 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated ケイ素含有膜を堆積するための方法
US10319584B2 (en) 2011-06-03 2019-06-11 Versum Materials Us, Llc Compositions and processes for depositing carbon-doped silicon-containing films
JP2018014536A (ja) * 2012-04-12 2018-01-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化ケイ素薄膜の高温原子層堆積
KR20140097034A (ko) * 2013-01-29 2014-08-06 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2014146670A (ja) * 2013-01-29 2014-08-14 Tokyo Electron Ltd 成膜方法及び成膜装置
US9390912B2 (en) 2013-01-29 2016-07-12 Tokyo Electron Limited Film forming method
KR101682273B1 (ko) * 2013-01-29 2016-12-05 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치

Also Published As

Publication number Publication date
JP5006428B2 (ja) 2012-08-22
EP2251899A1 (en) 2010-11-17
CN101886255B (zh) 2012-06-27
US20100291321A1 (en) 2010-11-18
KR101144535B1 (ko) 2012-05-11
EP2251899B1 (en) 2018-03-28
CN101886255A (zh) 2010-11-17
KR20100122871A (ko) 2010-11-23
TWI482219B (zh) 2015-04-21
TW201041038A (en) 2010-11-16
US8889235B2 (en) 2014-11-18

Similar Documents

Publication Publication Date Title
JP5006428B2 (ja) 窒素含有前駆物質を用いる誘電体バリアの堆積
JP5567588B2 (ja) 酸素含有前駆体を用いる誘電体バリアの堆積
JP4090740B2 (ja) 集積回路の作製方法および集積回路
US7332445B2 (en) Porous low dielectric constant compositions and methods for making and using same
JP4338495B2 (ja) シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US8846525B2 (en) Hardmask materials
US8178443B2 (en) Hardmask materials
US20060189153A1 (en) Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US7326444B1 (en) Methods for improving integration performance of low stress CDO films
JP2004006822A (ja) ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
TW201142945A (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
US20230369106A1 (en) Interlayer dielectric layer
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
US20050124151A1 (en) Novel method to deposit carbon doped SiO2 films with improved film quality
US11600486B2 (en) Systems and methods for depositing low-κdielectric films
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
JP4882893B2 (ja) 半導体装置の製造方法
TW202217051A (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜
Leung et al. Silicon-based dielectrics
KR20220160071A (ko) 고 탄성 계수를 갖는 막들을 증착하기 위한 신규한 전구체들

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120222

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120229

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120424

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120524

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150601

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5006428

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees