JP3739081B2 - 低誘電率の炭素含有酸化ケイ素の作製方法 - Google Patents

低誘電率の炭素含有酸化ケイ素の作製方法 Download PDF

Info

Publication number
JP3739081B2
JP3739081B2 JP2001140689A JP2001140689A JP3739081B2 JP 3739081 B2 JP3739081 B2 JP 3739081B2 JP 2001140689 A JP2001140689 A JP 2001140689A JP 2001140689 A JP2001140689 A JP 2001140689A JP 3739081 B2 JP3739081 B2 JP 3739081B2
Authority
JP
Japan
Prior art keywords
carbon
precursor
substrate
free radicals
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001140689A
Other languages
English (en)
Other versions
JP2002016062A (ja
Inventor
ヤン ホン
ラッセル エバンス デビッド,
テン スー シェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of JP2002016062A publication Critical patent/JP2002016062A/ja
Application granted granted Critical
Publication of JP3739081B2 publication Critical patent/JP3739081B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Silicon Compounds (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、一般に、集積回路において使用される種類の誘電材料を形成する方法に関し、より詳細には、低誘電率絶縁材料を形成する、プラズマ増強化学蒸着法に関する。
【0002】
【従来の技術】
大規模集積回路の設計者および製造者は、より小さなデバイスを作製し続けており、これらのデバイスにより、より大きな速度およびデバイスの増加した記録密度が可能となる。超大規模集積(ULSI)回路上の個々のフィーチャー(例えば、トランジスタゲート長)のサイズは、200nm未満にまで減少している。結果として得られる、半導体チップ上の増加した記憶密度、および関連した機能性の増加は、各チップ上の相互接続の数および密度を、大いに増加させてきた。
【0003】
互いに近接してパックされる、機能性および複雑さが増加したより小さなチップ上デバイスは、より小さく、より複雑な(例えば、より高い配線レベル)、そしてより密な間隔の相互接続(ライン、バイアなど)を要求する。より小さなサイズの相互接続は、抵抗を増加させ、そして相互接続間隔を密にし、これによりキャパシタンスが増加し、RC(抵抗−キャパシタンス)結合の問題(伝播遅延、およびインターレベル導体とイントラレベル導体との間のクロストークノイズを含む)を生じさせる。相互接続ラインとして、インターレベルおよびイントラレベルの両方が、より小さく、より密な間隔となり、RC遅延が、全シグナル遅延の増加部分となり、より小さなデバイスサイズから誘導される速度平均の大部分を、オフセットする。従って、RC遅延は、デバイス性能の改善を制限する。小さな導体サイズは、金属ラインの抵抗率(R)を増加させ、そしてより小さなインターラインおよびインターレベル間隔は、ライン間のキャパシタンス(C)を増加させる。銅などの低抵抗率金属の使用および開発は、相互接続ラインの抵抗率を減少させ続けている。しかし、キャパシタンスを減少させることもまた、重要である。
【0004】
キャパシタンス(C)は、相互接続誘電体の誘電率(k)に正比例するので、低誘電率(low−k)材料を利用することにより、キャパシタンスは減少され得る。キャパシタンス(C)を減少させることは、ULSI回路においてRC結合に関する問題を減少させる助けとなる。産業が求めるものは、集積回路において誘電として長く使用されてきた二酸化ケイ素(SiO2)の、適切な代用物である。二酸化ケイ素は、優れた熱安定性および比較的良好な誘電特性を有し、約4.0の誘電率を有する。しかし、現在は、集積回路の相互接続において使用するために適切であり、SiO2より低い誘電率を有する、相互接続誘電材料に対する必要性が存在する。
【0005】
ULSI回路における相互接続誘電体として使用されるべき可能な低誘電率材料に対する長い探索の後に、候補物は、用途に依存して、数個に絞られてきた。前途有望な材料の1つは、炭素ドープした酸化ケイ素、すなわち炭素含有酸化ケイ素(SiOC)である。
【0006】
炭素含有酸化ケイ素は、メチルシランベースの前駆体(例えば、トリメチルシランまたはテトラメチルシラン)を使用して、化学蒸着(CVD)により首尾よく堆積されてきた。メチルシランベースのSiOC材料は、約2.5と3.1との間の誘電率を有する。しかし、メチルシランベースの前駆体は、極度に揮発性かつ可燃性の、有機ケイ素化合物である。これらはまた、高価である。これらの様々な欠点により、メチルシランベースの前駆体の使用は、集積回路デバイスの製造のためには、決して理想的ではない。
【0007】
【発明が解決しようとする課題】
本発明の課題は、メチルシランベースの前駆体を使用せずにSiOCを形成する方法を得ることである。
【0008】
本発明の課題はまた、容易に入手可能な前駆体材料および装置を使用して、SiOCを形成する方法を得ることである。
【0009】
【課題を解決するための手段】
本発明は、集積回路の相互接続構造において使用するために、炭素含有酸化ケイ素を基板上に形成する方法であって、以下の工程:a)プラズマ増強化学蒸着(PECVD)チャンバー内に上記基板を配置する工程;b)ケイ素の供給源、酸素の供給源、および炭素の供給源を、上記蒸着チャンバーに導入する工程;ならびにc)ケイ素含有フリーラジカル、酸素含有フリーラジカル、および炭素含有フリーラジカルのプラズマを形成するに十分なエネルギーを適用する工程であって、これによって、ケイ素、酸素、および炭素が、炭素含有酸化ケイ素(SiOC)フィルムを形成するために利用可能である、工程;を包含する、方法であり、これにより上記課題が解決される。
【0010】
本発明の一つの局面は、上記基板が、200℃より高い温度に加熱される、上記に記載の方法である。
【0011】
本発明の一つの局面は、上記基板が、約200℃〜約300℃の範囲の温度に加熱される、上記に記載の方法である。
【0012】
本発明の一つの局面は、上記基板が、約250℃の温度に加熱される、上記に記載の方法である。
【0013】
本発明の一つの局面は、上記ケイ素の供給源が、シラン(SiH4)を含む、上記に記載の方法である。
【0014】
本発明の一つの局面は、上記酸素の供給源が、一酸化二窒素(N2O)を含む、上記に記載の方法である。
【0015】
本発明の一つの局面は、上記炭素の供給源が、アセチレン(C22)を含む、上記に記載の方法である。
【0016】
本発明の一つの局面は、上記炭素の供給源が、メタン(CH4)を含む、上記に記載の方法である。
【0017】
本発明の一つの局面は、上記炭素の供給源が、アセチレン(C22)およびメタン(CH4)を含む、上記に記載の方法である。
【0018】
さらに本発明は、集積回路の相互接続構造において使用するために、炭素含有酸化ケイ素を基板上に形成する方法であって、以下の工程:a)PECVDチャンバー内に上記基板を配置する工程、および上記基板を200℃より高い温度に加熱する工程;b)ケイ素前駆体、酸素前駆体、網目構造終結性前駆体、および改質剤前駆体を、上記PECVDチャンバーに導入する工程;ならびにc)ケイ素フリーラジカル、酸素フリーラジカル、網目構造終結性フリーラジカル、および改質剤フリーラジカルを含むプラズマを形成するに十分なエネルギーを適用する工程であって、これによって、ケイ素、酸素、および炭素を含むプラズマが提供され、網目構造終結性種を含む炭素含有酸化ケイ素(SiOC)フィルムを形成する、工程;を包含する、方法であり、これにより上記課題が解決される。
【0019】
本発明の一つの局面は、上記ケイ素前駆体が、シランである、上記に記載の方法である。
【0020】
本発明の一つの局面は、上記酸素前駆体が、一酸化二窒素または酸素である、上記に記載の方法である。
【0021】
本発明の一つの局面は、上記網目構造終結性前駆体が、飽和炭化水素である、上記に記載の方法である。
【0022】
本発明の一つの局面は、上記飽和炭化水素前駆体が、メチルフリーラジカルを生成する、上記に記載の方法である。
【0023】
本発明の一つの局面は、上記飽和炭化水素が、メタンである、上記に記載の方法である。
【0024】
本発明の一つの局面は、上記飽和炭化水素が、プロピルフリーラジカルを生成する、上記に記載の方法である。
【0025】
本発明の一つの局面は、上記飽和炭化水素が、プロパンである、上記に記載の方法である。
【0026】
本発明の一つの局面は、上記改質剤前駆体が、アルキンである、上記に記載の方法である。
【0027】
本発明の一つの局面は、上記アルキンが、アセチレンである、上記に記載の方法である。
【0028】
本発明の一つの局面は、上記改質剤前駆体が、アルケンである、上記に記載の方法である。
【0029】
本発明の一つの局面は、上記アルケンが、エチレンである、上記に記載の方法である。
【0030】
またさらに本発明は、集積回路の相互接続構造において使用するために、炭素含有酸化ケイ素を基板上に形成する方法であって、以下の工程:a)PECVDチャンバー内に上記基板を配置する工程、および上記基板を200℃より高い温度に加熱する工程;b)ケイ素フリーラジカル、酸素フリーラジカル、網目構造終結性フリーラジカル、架橋性フリーラジカル、および改質剤フリーラジカルを含むプラズマを形成するに十分なエネルギーの適用下で、シラン、一酸化二窒素、メタン、およびアセチレンを、上記PECVDチャンバーに導入する工程であって、これによって、上記改質剤フリーラジカルが、上記架橋性フリーラジカルと反応し、そして上記架橋性フリーラジカルを減少させて、上記網目構造終結性フリーラジカルを残し、上記網目構造終結性フリーラジカルが反応して所望の炭素含有酸化ケイ素を形成する、工程;ならびにc)上記基板をアニールする工程、を包含する、方法であり、これにより上記課題が解決される。
【0031】
本発明の一つの局面は、メタンおよびアセチレンが、5:1と1:5との間の比で導入される、上記に記載の方法である。
【0032】
本発明の一つの局面は、メタンおよびアセチレンが、5:2の比で導入される、上記に記載の方法である。
【0033】
本発明の一つの局面は、メタンおよびアセチレンが、1:1の比で導入される、上記に記載の方法である。
【0034】
従って、半導体デバイスのための相互接続誘電材料を形成するための方法が、提供される。この方法は、以下の工程:
a)基板をプラズマ増強化学蒸着(PECVD)チャンバー内に配置する工程:ならびに
b)ケイ素含有フリーラジカル、酸素含有フリーラジカルおよび炭素含有フリーラジカルのプラズマを形成するに十分なエネルギーの適用下で、ケイ素の供給源、酸素の供給源、および炭素の供給源を、蒸着チャンバーに導入する工程であって、これによって、ケイ素、酸素、および炭素が、炭素含有酸化ケイ素(SiOC)フィルムを形成するために利用可能である、工程、を包含する。本発明の好ましい実施形態においては、ケイ素の供給源はシランであり、酸素の供給源は一酸化二窒素または酸素であり、そして炭素の供給源はメタン、アセチレンまたはその両方である。これらの前駆体は、容易に入手可能であり、そして4.0未満の誘電率を有する材料を生成する。メタンおよびアセチレンの両方を使用する、好ましい実施形態においては、この方法は、3.0未満の誘電率を有する材料を生成する。
【0035】
より低い密度およびより低い誘電率を有する材料は、より多くの網目構造終結性種を導入すること、および架橋材種の数を減少させることによって、生成される。誘電率の減少は、メチル(−CH3)基をSiO2網目構造にドープしてSiOCを形成することにより達成され得、ここでいくつかのSi−O結合は、Si−CH3結合で置換される。
【0036】
炭素含有酸化ケイ素(SiOC)とは、本明細書中において、酸化ケイ素および炭素を含有する材料を表し、さらなる材料が存在し得、そして望ましくさえあり得る。特に、所望のメチル基を形成する水素が望ましい。本発明の方法の好ましい実施形態の例として、相互接続誘電材料が、メチル基(−CH3)により提供される炭素成分を用いて生成され、H3C−SiO8に類似の構造を形成する。
【0037】
本発明の好ましい実施形態においては、メタンとアセチレンとの両方が、プラズマチャンバーに導入される。このチャンバー内のプラズマエネルギーは、メタンをメチル(−CH3)およびカルベン(−CH2−)に転換する。メチルは、網目構造終結性フリーラジカル(すなわち、種)であり、そしてカルベンは、架橋性フリーラジカル(すなわち、種)である。種とは、本明細書中において、プラズマ中でフリーなままであるフリーラジカル、および材料の形成の際に一旦結合したフリーラジカルをも表す。例えば、以下:
【0038】
【化1】
Figure 0003739081
のような、網目構造終結性種(−CH3)を有する構造は、より低い密度およびより低い誘電率の材料を生成するので、これらは好ましい。架橋性種を組み込む構造は、例えば、以下:
【0039】
【化2】
Figure 0003739081
のような、所望でないアモルファスシリコンカーバイド型の結合を形成する傾向があり、好ましくは減少または排除される。
【0040】
本発明の方法の別の好ましい実施形態においては、気体前駆体が、プラズマチャンバー内に導入される。これらの気体前駆体は、ケイ素前駆体(好ましくは、シラン)、酸素前駆体(好ましくは、一酸化二窒素)、網目構造終結性前駆体(好ましくは、メタン)、および改質剤前駆体(好ましくは、アセチレン)を含有する。メタンとアセチレンとの両方は、炭素を含み、そして可能な炭素の供給源として作用するが、これらの組み合わせが好ましい。メタンは、網目構造終結性フリーラジカルであるメチル(−CH3)を、あまり好ましくない架橋性フリーラジカルであるカルベン(−CH2−)と共に提供する。改質剤前駆体(この場合には、アセチレン)の存在によって、網目構造終結性種の生成が増強されるか、または所望でない種が減少されるかのいずれかであり、これによって、メチルの大部分がSi−O結合と置換し、より誘電率の低い材料を生成し得る。
【0041】
プラズマチャンバー内での処理に続いて、この基板はさらに、−OHを減少させてフィルムを調整するために、アニールされる。
【0042】
【発明の実施の形態】
本発明は、炭素含有酸化ケイ素(SiOC)を、シリコンウェハまたは他の基板上に堆積させる方法を提供する。このウェハは、集積回路(IC)フィーチャー(例えば、トランジスタならびに他の能動デバイスおよび受動デバイス)をウェハ上に形成するための周知の技術を使用することによって、調製されてきた。ウェハ上の集積回路フィーチャーのタイプおよび数は、低誘電率SiOCが超大規模集積(ULSI)デバイス上に最も有利に利用されることを除き、本発明のプロセスに対して重要ではない。相互接続構造において使用されるフォーム、アーキテクチャおよび導電性材料、ならびにこのような構造を形成する方法は、本明細書中には記載しない。これらは、当業者に周知の設計的選択事項である。本発明は、適切な低誘電率(low−k)誘電フィルムを形成する方法に関し、これらのフィルムは、ウェハ上に堆積され、そして導電性ライン、バイア、およびICデバイスにおける他の導体の間および周囲での使用に適する。
【0043】
図1は、プラズマ増強化学蒸着(PECVD)プロセスを、ウェハ12などの基板上で実施するために適切な装置10の概略図である。装置10は、1つ以上のウェハ12を保持するに適したサイズのPECVDチャンバー16を備え、このウェハは、チャック20上で、チャンバー16内に支持される。このようなチャンバーについて典型的であるように、内部22は、適切なポンプおよびバルブ装置(これは、図1においてポンプ26として概略的に示される)によって、所望のように排気または加圧され得る。個々のウェハ12は、適切なウェハハンドラー30によって、チャンバー16の壁のゲートバルブ32を通してチャック20の上に置くか、またはそこから離すことによって、チャンバー16の内外に移動される。
【0044】
PECVDにおいて使用される、選択された気体が、適切なマニホルドシステム36を通し、様々な気体供給リザーバ(包括的に40で示す)から、バルブ42により制御されて、チャンバーに導入される。例示の目的で、3つのみの供給リザーバが示されるが、必要なだけ多くのリザーバが使用される。本発明の好ましい実施形態においては、少なくとも4つの気体が使用され、その結果、4つまでの供給リザーバが使用される。チャンバー16への導入前に、単一の供給リザーバ内で1つ以上の前駆体気体を組み合わせることもまた可能である。これらの気体は、気体を分配する、シャワーヘッド46と通常呼ばれるものを通して、チャンバー16に導入される。チャック20は、所望の温度に加熱され得、加熱要素50が概略的に示される。加熱要素50およびチャック20は、一緒に使用されて、PECVDプロセスの間にウェハ12の温度を選択する。
【0045】
プラズマエネルギーは、無線周波数(RF)ジェネレータ52を通してチャンバー16に供給される。無線周波数(RF)ジェネレータ52は、シャワーヘッド46を通して放射される、高周波数(HF)RF出力を供給する。PECVDチャンバーにおけるHFプラズマエネルギーの業界標準は、13.56MHzであるが、本発明は、いずれの特定の高周波数値にも限定されない。装置10はまた、チャンバー16の内部に低周波数(LF)出力を供給するための、LFジェネレータ56を含む。LF出力は、チャック16とシャワーヘッド46との間に、当業者に周知の様式で、適用される。LF出力は利用可能であるが、本発明に必ずしも必要ではない。
【0046】
図2は、本発明の方法の工程を示す。この方法を、図1および図2を参照して記載する。ウェハ12が調製され、次いでウェハハンドラー30によって、PECVDチャンバー16内のチャック20上に配置される。ウェハ12は、典型的に、任意の所望の回路構造を用いて調製されるシリコンウェハであり、そして本発明の方法に従う、さらなる処理の準備ができている。ウェハ12は、低誘電率材料を受容するための上面58を有する。図2における第一工程は、工程70であり、この工程は、ウェハ12を200℃より高い温度に加熱する工程である。本発明の好ましい実施形態においては、ウェハ12は、一般に200℃〜300℃の範囲の温度に、好ましくは250℃に、加熱される。
【0047】
工程72は、ケイ素の供給源(好ましくは、シラン(SiH4))を、適切な供給器40からマニホルド36を通して、チャンバー16へと導入する工程である。
【0048】
工程74は、酸素の供給源(好ましくは、一酸化二窒素(N2O)または酸素)を、適切な供給器40からマニホルド36を通して、チャンバー16へと導入する工程である。
【0049】
工程76は、炭素の供給源を導入する工程である。炭素の供給源は、好ましくは、メチル基(−CH3)をプラズマ中に提供する。本発明の好ましい実施形態においては、アセチレン(C22)、メタン(CH4)、または好ましくは、これら両方の組み合わせが、炭素の供給源として使用される。
【0050】
工程72、74、および76から明らかであるように、ケイ素の供給源、酸素の供給源、および炭素の供給源は、好ましくは、別個の供給源からである。これらの別個の供給源は、一般に、より複雑な有機ケイ素前駆体よりも、容易に入手可能であり、そして安価である。
【0051】
工程72、74、および76の間に、工程78に示すように、適切なプラズマ出力が、チャンバー16に適用される。PECVD処理の当業者に公知であるように、チャンバー16内のプラズマエネルギーは、導入した気体をイオン化させ、ポリマーラジカルを生成させ、このポリマーラジカルが、ウェハ12の表面58に堆積する。本発明において、適切なプラズマ出力は、基板表面(すなわち、上面58の表面積)の1cm2あたり0.5Wと3Wとの間のエネルギーレベルで、HFエネルギー(13.86MHz)を含む。
【0052】
本発明の好ましい方法においては、炭素供給源(工程76)は、網目構造終結性前駆体および改質剤前駆体を含む。本明細書中において使用する場合には、「網目構造終結性前駆体」とは、少なくとも1つの網目構造終結性フリーラジカル(すなわち、種)を、PECVDチャンバー内で生成する前駆体を表す。例えば、好ましい実施形態における網目構造終結性前駆体は、メタン(CH4)であり、これは、メチルフリーラジカル(−CH3)を生成する。一旦、メチルフリーラジカルがケイ素原子に結合すると、その炭素原子の周りの末端水素原子に対してはさらなる結合が形成され得ないという点で、メチルフリーラジカルは、網目構造終結性である。
【0053】
例えばメタンが、網目構造終結性フリーラジカルであるメチルを生成するのみであるならば、このことは非常に率直である。不運なことに、PECVDチャンバー内のメタンはまた、カルベン(−CH2−)をも生成する。カルベンは、2つのフリー結合を有し、これによって炭素原子が、誘電材料中の2つの原子と結合し得る。炭素原子へのさらなる結合を可能にする、フリーラジカル(すなわち、種)は、本明細書中において、不飽和(または「架橋性」)フリーラジカル(すなわち、種)と呼ばれる。さらに、水素が一般に、処理中にカルベンから除去される。この水素の除去により、炭素原子が4つのケイ素原子と結合して、所望でないシリコンカーバイドタイプの構造を形成し得る。
【0054】
本発明の好ましい実施形態においては、改質剤前駆体がPECVDチャンバーに導入されて、架橋性フリーラジカル(すなわち、種)を減少または排除する。改質剤前駆体とは、プラズマ内で反応して、所望でないフリーラジカル(すなわち、種)を減少または排除するか、あるいは所望のフリーラジカル(すなわち、種)を増強または増加させるかのいずれかである、前駆体である。改質剤種は、好ましくは、アセチレンなどのアルキン(三重結合した炭素原子を有する分子)である。アセチレン化学は、飽和種の生成を増強するか、またはカルベンおよび他の不飽和種を減少させるかのいずれかによって、メチルまたは他の飽和種の割合を増加させる。この結果として、アモルファスシリコンカーバイドタイプの構造の形成と比較して、SiOCの形成に、メチルがさらにより効率的に組み込まれる。メチルの組み込みにより、Si−C−Siタイプの構造の代わりに、Si−CH3タイプの構造に類似の構造が生成する。SiOCにおけるメチル構造の存在は、フィルムが本質的に固体のままであってさえも、低誘電率に直接寄与する。アセチレンが好ましいが、本発明の方法には絶対的に必要ではない。エチレンなどのアルケン(二重結合した炭素原子を有する分子)もまた、使用され得る。本発明を、いずれの改質剤前駆体もなしに実施することもまた、可能である。
【0055】
PECVDチャンバー中の反応を、以下のように要約する。
【0056】
(プラズマ重合化学)
前駆体: (SiH4+N2O)+(C22+CH4
プラズマ放電により生成するフリーラジカル:
工程72において、シラン(SiH4)をチャンバー16に導入する。工程78において、プラズマエネルギーを適用し、ケイ素含有フリーラジカルであるシリル、および水素原子を生成する。
【0057】
【化3】
Figure 0003739081
工程74において、一酸化二窒素(N2O)をチャンバー16に導入する。工程78において、プラズマエネルギーを適用し、酸化窒素、酸素原子、および窒素原子を生成する。
【0058】
【化4】
Figure 0003739081
工程76において、メタン(CH4)をチャンバー16に導入する。工程78において、プラズマエネルギーを適用し、炭素含有フリーラジカル(好ましくは、メチル)を生成する。より望ましくない炭素含有フリーラジカルである、カルベン(これは、架橋性フリーラジカルとして作用する)もまた、水素原子と共に生成する。
【0059】
【化5】
Figure 0003739081
工程76において、アセチレン(C22)が、チャンバー16に導入される。工程78において、プラズマエネルギーを適用し、さらなる炭素含有フリーラジカルを生成する。別の可能な炭素の供給源を提供することに加えて、アセチレンおよびそのラジカル(すなわち、カルビン)は、プラズマ中で改質剤として作用し、メチルを増強するか、またはカルベンを減少させる。
【0060】
【化6】
Figure 0003739081
本発明の方法の好ましい実施形態において、チャンバー16に導入されるシラン、一酸化二窒素、メタンおよびアセチレンの割合は、プラズマ増強化学蒸着(PECVD)によりウェハ12上に炭素含有酸化ケイ素(SiOC)を堆積するよう選択される。メタン対アセチレンの選択される割合は、約5:1と1:5との間であり、好ましくは1:1である。
【0061】
本発明の方法に従って、ウェハ12の上に堆積される炭素含有酸化ケイ素は、二酸化ケイ素より低い誘電率を有する。好ましい実施形態においては、SiOCは、メチルシラン前駆体の使用により提供される誘電率に匹敵する誘電率を有するが、より容易に利用可能であり、かつより安価な前駆体を使用する。
【0062】
最後に、ウェハ12を、ハンドラー30などの任意の適切な手段によって、チャンバー16から取り出し、そして適切なアニールオーブン内で、一般には300℃〜500℃の範囲の温度、好ましくは400℃で、1〜5時間アニールする(工程110)。本発明の代替の実施形態においては、堆積が約400℃より高い温度でなされる場合には、このアニール工程は排除され得る。このことは、本発明に付随する費用を減少させ得る。
【0063】
以下は、本発明の方法の特定の実験実施例である。
【0064】
【実施例】
Oxford plasma lab 100 PECVDシステムチャンバー内で、6インチウェハ12をチャック20上に配置し、そして250℃の温度に加熱した。SiH4、N2O、CH4、およびC22の気体流を、約15:30:50:20のSiH4:N2O:CH4:C22の比を提供するように、チャンバー16へと導入する。HF出力(13.56MHz)を、50Wの出力レベルで適用する。チャンバー内の周囲圧力を、約0.4Torrに維持する。
【0065】
上記の条件により、1分間あたり約370ÅのSiOCが堆積する。堆積を、所望の厚さの材料が堆積するに十分な時間、継続する。上記の条件を使用して生成する相互接続誘電材料は、約2.85の誘電率を有し、これは、二酸化ケイ素の誘電率4.1より十分に低い。
【0066】
チャンバー16内での処理に続いて、ウェハ12を、400℃の温度で2時間アニールする。
【0067】
アセチレンおよびメタンの代わりに、アセチレンを使用すると、3.4の誘電率を有する相互接続誘電体が、1分間あたり770Åの速度で堆積する。アセチレンおよびメタンの代わりに、メタンのみを使用すると、3.45の誘電率を有する相互接続誘電体が、1分間あたり220Åの速度で堆積する。これらの代替例の両方が、SiO2より低い誘電率を有するSiOC材料を提供するが、上記で堆積する、2.85の誘電率を有するSiOC材料が、好ましい。
【0068】
上記では、メタンが網目構造終結性前駆体として使用されるが、他の網目構造終結性前駆体が使用されてもよい。好ましくは、網目構造終結性前駆体は、飽和炭化水素である。または、本発明の別の実施形態においては、網目構造終結性前駆体の例は、プロパンである。プロパンは、プロピルを、プラズマ内に、所望でないフリーラジカルと共に生成する。プロパンのさらなる複雑さに起因して、多くのさらなるフリーラジカルが形成される。このことは、1つ以上の改質剤前駆体が、存在するあらゆる架橋性フリーラジカル(すなわち、種)を適切に減少または排除することを要求する。
【0069】
アセチレンは、好ましい改質剤前駆体であるが、他の改質剤前駆体もまた、本発明の範囲内である。
【0070】
さらなる代替の実施形態が、本発明の範囲内で可能である。本発明は、種々の前駆体材料を使用して、実施され得る。本発明の範囲内の方法の他の改変は、当業者に想到される。従って、上述の開示およびその説明は、例示の目的のみであり、本発明を限定するとは意図されない。本発明は、特許請求の範囲により規定される。
【0071】
低誘電率相互接続誘電材料を作製する方法であって、PECVDプロセスおよび容易に入手可能な前駆体を使用して、炭素含有酸化ケイ素(SiOC)を生成する。SiOC誘電材料は、シランおよび一酸化二窒素の従来のシランベースの気体前駆体を、炭化水素気体と共に使用して、生成される。シランベースの気体前駆体と組み合わせての、メタンおよびアセチレンの使用が提供される。メタンは、網目構造終結性種、特にメチルを生成し、これが二酸化ケイ素網目構造中のSi−O結合の酸素と置換する。これにより、材料の容量が増加し、密度および誘電率が減少する。アセチレンは、炭素の可能な供給源として、および改質剤として作用し、カルベンなどの所望でない架橋種を減少もしくは排除するか、またはメチルなどの所望の網目構造終結性種を増強する。打込みに続いて、−OHを減少させるため、および誘電率を潜在的にさらに低下させるために、この材料をアニールする。
【0072】
【発明の効果】
本発明により、メチルシランベースの前駆体を使用せずにSiOCを形成することが可能となった。さらに、容易に入手可能な前駆体材料および装置を使用して、SiOCを形成することが可能となった。
【図面の簡単な説明】
【図1】図1は、本発明のプロセスにおいて選択した工程を実施するための、PECVDチャンバーの概略図である。
【図2】図2は、本発明に従って、図1に示すようなチャンバー内で、基板上にSiOCを堆積させるための方法における工程を示す、ブロック図である。
【符号の説明】
12 ウェハ
16 PECVDチャンバー
20 チャック
26 ポンプ
30 ウェハハンドラー
32 ゲートバルブ
36 マニホルド
40 供給器
42 バルブ
46 シャワーヘッド
50 加熱要素
52 RFジェネレータ
56 LFジェネレータ

Claims (23)

  1. 集積回路の相互接続構造において使用するために、炭素含有酸化ケイ素を基板上に形成する方法であって、以下の工程:
    a)プラズマ増強化学蒸着(PECVD)チャンバー内に該基板を配置して、該基板を200℃〜300℃の範囲の温度に加熱する工程;
    b)ケイ素の供給源、酸素の供給源、および炭素の供給源を、該蒸着チャンバーに導入する工程;ならびに
    c)ケイ素含有フリーラジカル、酸素含有フリーラジカル、および炭素含有フリーラジカルのプラズマを形成するに十分なエネルギーを適用する工程であって、これによって、ケイ素、酸素、および炭素が、炭素含有酸化ケイ素(SiOC)フィルムを形成するために利用可能である、工程;
    を包含する、方法。
  2. 前記基板が、250℃の温度に加熱される、請求項1に記載の方法。
  3. 前記ケイ素の供給源が、シラン(SiH4)を含む、請求項1に記載の方法。
  4. 前記酸素の供給源が、一酸化二窒素(N2O)を含む、請求項1に記載の方法。
  5. 前記炭素の供給源が、アセチレン(C22)を含む、請求項1に記載の方法。
  6. 前記炭素の供給源が、メタン(CH4)を含む、請求項1に記載の方法。
  7. 前記炭素の供給源が、アセチレン(C22)およびメタン(CH4)を含む、請求項1に記載の方法。
  8. 集積回路の相互接続構造において使用するために、炭素含有酸化ケイ素を基板上に形成する方法であって、以下の工程:
    a)PECVDチャンバー内に該基板を配置する工程、および該基板を200℃より高い温度に加熱する工程;
    b)ケイ素前駆体、酸素前駆体、網目構造終結性前駆体、および改質剤前駆体を、該PECVDチャンバーに導入する工程;ならびに
    c)ケイ素フリーラジカル、酸素フリーラジカル、網目構造終結性フリーラジカル、および改質剤フリーラジカルを含むプラズマを形成するに十分なエネルギーを適用する工程であって、これによって、ケイ素、酸素、および炭素を含むプラズマが提供され、網目構造終結性種を含む炭素含有酸化ケイ素(SiOC)フィルムを形成する、工程;
    を包含する、方法。
  9. 前記ケイ素前駆体が、シランである、請求項8に記載の方法。
  10. 前記酸素前駆体が、一酸化二窒素または酸素である、請求項8に記載の方法。
  11. 前記網目構造終結性前駆体が、飽和炭化水素である、請求項8に記載の方法。
  12. 前記飽和炭化水素前駆体が、メチルフリーラジカルを生成する、請求項11に記載の方法。
  13. 前記飽和炭化水素が、メタンである、請求項11に記載の方法。
  14. 前記飽和炭化水素が、プロピルフリーラジカルを生成する、請求項11に記載の方法。
  15. 前記飽和炭化水素が、プロパンである、請求項11に記載の方法。
  16. 前記改質剤前駆体が、アルキンである、請求項8に記載の方法。
  17. 前記アルキンが、アセチレンである、請求項16に記載の方法。
  18. 前記改質剤前駆体が、アルケンである、請求項8に記載の方法。
  19. 前記アルケンが、エチレンである、請求項18に記載の方法。
  20. 集積回路の相互接続構造において使用するために、炭素含有酸化ケイ素を基板上に形成する方法であって、以下の工程:
    a)PECVDチャンバー内に該基板を配置する工程、および該基板を200℃より高い温度に加熱する工程;
    b)ケイ素フリーラジカル、酸素フリーラジカル、網目構造終結性フリーラジカル、架橋性フリーラジカル、および改質剤フリーラジカルを含むプラズマを形成するに十分なエネルギーの適用下で、シラン、一酸化二窒素、メタン、およびアセチレンを、該PECVDチャンバーに導入する工程であって、これによって、該改質剤フリーラジカルが、該架橋性フリーラジカルと反応し、そして該架橋性フリーラジカルを減少させて、該網目構造終結性フリーラジカルを残し、該網目構造終結性フリーラジカルが反応して所望の炭素含有酸化ケイ素を形成する、工程;ならびに
    c)該基板をアニールする工程、
    を包含する、方法。
  21. 前記メタンおよびアセチレンが、5:1と1:5との間の流量比で導入される、請求項20に記載の方法。
  22. 前記メタンおよびアセチレンが、5:2の流量比で導入される、請求項20に記載の方法。
  23. 前記メタンおよびアセチレンが、1:1の流量比で導入される、請求項20に記載の方法。
JP2001140689A 2000-05-12 2001-05-10 低誘電率の炭素含有酸化ケイ素の作製方法 Expired - Fee Related JP3739081B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/569,861 US6410462B1 (en) 2000-05-12 2000-05-12 Method of making low-K carbon doped silicon oxide
US09/569.861 2000-05-12

Publications (2)

Publication Number Publication Date
JP2002016062A JP2002016062A (ja) 2002-01-18
JP3739081B2 true JP3739081B2 (ja) 2006-01-25

Family

ID=24277187

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001140689A Expired - Fee Related JP3739081B2 (ja) 2000-05-12 2001-05-10 低誘電率の炭素含有酸化ケイ素の作製方法

Country Status (4)

Country Link
US (1) US6410462B1 (ja)
JP (1) JP3739081B2 (ja)
KR (1) KR100437068B1 (ja)
TW (1) TW506055B (ja)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
JP3516941B2 (ja) * 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
US6632478B2 (en) * 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6896968B2 (en) * 2001-04-06 2005-05-24 Honeywell International Inc. Coatings and method for protecting carbon-containing components from oxidation
US6632735B2 (en) * 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US6887780B2 (en) 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
KR100466818B1 (ko) * 2002-05-17 2005-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성 방법
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
WO2004105123A1 (ja) * 2003-05-21 2004-12-02 Fujitsu Limited 半導体装置
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
US7052932B2 (en) * 2004-02-24 2006-05-30 Chartered Semiconductor Manufacturing Ltd. Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7138323B2 (en) * 2004-07-28 2006-11-21 Intel Corporation Planarizing a semiconductor structure to form replacement metal gates
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
TWI424498B (zh) * 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
KR100884632B1 (ko) 2007-09-14 2009-02-23 (주)피앤테크 에스아이오씨 박막 제조용 프리커서와 박막 형성방법
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
CN103681263A (zh) * 2012-09-20 2014-03-26 中芯国际集成电路制造(上海)有限公司 一种用于减小接触插塞和栅极结构之间的寄生电容的方法
TW201435132A (zh) * 2013-02-22 2014-09-16 Applied Materials Inc 包含SiOC的膜的催化性原子層沉積
US8716150B1 (en) * 2013-04-11 2014-05-06 Globalfoundries Inc. Method of forming a low-K dielectric film
US9728445B2 (en) * 2014-01-22 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conducting via and damascene structure
KR20180002774A (ko) 2015-05-02 2018-01-08 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 및 낮은 습식 에칭 레이트 유전체 박막들을 증착하기 위한 방법들
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US10699897B2 (en) * 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US9831118B1 (en) 2016-05-24 2017-11-28 Sandisk Technologies Llc Reducing neighboring word line in interference using low-k oxide
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11355354B1 (en) * 2021-01-25 2022-06-07 Applied Materials, Inc. Thermal deposition of doped silicon oxide
KR20230094178A (ko) * 2021-12-20 2023-06-27 주식회사 에이치피에스피 웨이퍼의 박막에 대한 탄소 도핑 방법
US20230360906A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Silicon-and-carbon-containing materials with low dielectric constants
WO2023225132A1 (en) * 2022-05-20 2023-11-23 Lam Research Corporation High modulus carbon doped silicon oxide film for mold stack scaling solutions in advanced memory applications

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4717585A (en) * 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
DE19904311A1 (de) * 1998-02-06 1999-08-12 Nat Semiconductor Corp Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films

Also Published As

Publication number Publication date
TW506055B (en) 2002-10-11
JP2002016062A (ja) 2002-01-18
KR100437068B1 (ko) 2004-06-23
US6410462B1 (en) 2002-06-25
KR20010104285A (ko) 2001-11-24

Similar Documents

Publication Publication Date Title
JP3739081B2 (ja) 低誘電率の炭素含有酸化ケイ素の作製方法
US7915166B1 (en) Diffusion barrier and etch stop films
US7312524B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
JP4090740B2 (ja) 集積回路の作製方法および集積回路
US6242366B1 (en) Methods and apparatus for treating a semiconductor substrate
US6632478B2 (en) Process for forming a low dielectric constant carbon-containing film
JP4410783B2 (ja) 低誘電率膜を作製する方法
US7239017B1 (en) Low-k B-doped SiC copper diffusion barrier films
US6077574A (en) Plasma CVD process for forming a fluorine-doped SiO2 dielectric film
KR100283007B1 (ko) 저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법
US20020076944A1 (en) Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
EP1217648A2 (en) Method of manufacturing an interlayer dielectric layer with low dielectric constant
US20190172704A1 (en) ROBUST HIGH PERFORMANCE LOW HYDROGEN SILICON CARBON NITRIDE (SiCNH) DIELECTRICS FOR NANO ELECTRONIC DEVICES
JP3173426B2 (ja) シリカ絶縁膜の製造方法及び半導体装置の製造方法
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
JPH05102040A (ja) 成膜方法
WO2004038782A1 (ja) 半導体装置及びその製造方法
US20100093174A1 (en) Method of manufacturing low-k dielectric film, and formation of air-gap using the low-k dielectric film
JP2005045058A (ja) 銅拡散バリア性絶縁膜の形成方法およびその絶縁膜
JP3789501B2 (ja) 半導体装置に用いられる絶縁膜構造の製造方法
US9371430B2 (en) Porous film with high hardness and a low dielectric constant and preparation method thereof
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
JP2001196365A (ja) 成膜方法、成膜装置及び半導体装置の製造方法
EP4110969A1 (en) New precursors for depositing films with high elastic modulus

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050801

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051011

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20051031

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051031

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091111

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091111

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101111

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111111

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111111

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121111

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121111

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131111

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees