JP4689026B2 - 極限低誘電率膜のためのキャッピング層 - Google Patents

極限低誘電率膜のためのキャッピング層 Download PDF

Info

Publication number
JP4689026B2
JP4689026B2 JP2000318090A JP2000318090A JP4689026B2 JP 4689026 B2 JP4689026 B2 JP 4689026B2 JP 2000318090 A JP2000318090 A JP 2000318090A JP 2000318090 A JP2000318090 A JP 2000318090A JP 4689026 B2 JP4689026 B2 JP 4689026B2
Authority
JP
Japan
Prior art keywords
film
elk
capping layer
silicon
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000318090A
Other languages
English (en)
Other versions
JP2001185547A (ja
Inventor
ウェイドマン ティモシー
ピー. ノールト マイケル
ジェイ. チャン ジョセフィン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001185547A publication Critical patent/JP2001185547A/ja
Application granted granted Critical
Publication of JP4689026B2 publication Critical patent/JP4689026B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Description

【0001】
関連出願についての説明
本出願は、1999年10月18日出願の米国暫定出願第60/160050号の恩典を主張する。その開示内容は本明細書に援用されている。
【0002】
発明の背景
本発明は、誘電体層の形成に関する。更に詳細には、本発明の実施例は、集積回路において前置金属誘電体層又は金属間誘電体層として特に有効である低誘電率膜をキャップ形成する方法に関する。
【0003】
集積回路が数十年前に最初に登場してから、半導体デバイスの形は劇的にサイズが小さくなってきた。今日のウエハ製造プラントは、規定どおりに0.25μm及び0.18μmもの様相サイズのデバイスを生産し、将来のプラントはまもなく更に小さな形のデバイスを生産することになるだろう。
【0004】
高速マイクロプロセッサ、及び強力な超小形電子機器の駆動は、2つの化学系領域、光リソグラフィと低誘電率材料の改良に基づいている。半導体製造業者ら、材料供給業者ら及びいくつかの研究組織は、低誘電率膜や極限低誘電率膜を同定することに集中してきた。本明細書に用いられる低誘電率材料は、誘電率が3.0〜2.5である膜であり、極限低誘電率(『ELK』)膜は、誘電率が2.5よりも小さく、2.0よりも小さい膜である。
【0005】
いくつかの低誘電率候補材料は、現在開発中である。ある種の特定の有機誘電体、無機誘電体及びハイブリッド誘電体が、特に現在の候補材料である。更に、これらのカテゴリの誘電体膜は、多孔性にした場合には更に低い誘電率をもつ傾向があり、次世代のインターネット構造に組込むのに釣り合っている。堆積法の面からは、スピンオンプロセスと化学気相成長(『CVD』)プロセスの双方が開発中であり、技術的性能、即ち、組込みの課題を中心に重要な鍵となる挑戦が残っている。
【0006】
有機材料の候補の中で、ポリアリーレンやポリアリールエーテルのような有機ポリマーが最も徹底的に追究されてきた。そのような材料の2つ実例としては、ダウケミカルシルクの多孔性バージョン[スピンオン低k材料(k2.6〜2.8)]及びアライドシグナル(Allied Signal)製のFLAREが挙げられる。誘電率が2.9(k=2.9)であるスピンオン水素シルセスキオキサン(『HSQ』)は、無機誘電材料の実例である。ハイブリッド材料は、有機材料と無機材料を合わせたものである。ハイブリッド材料においては、ポリシロキサンと呼ばれる架橋したケイ素-酸素含有ポリマーは、慣用のスピンオンガラス(『SOG』)材料の基礎をなすものである。ハイブリッド膜において低誘電率を達成する方法は、これらの材料中の有機置換量を増加することであった。例えば、スピンオンHSQ膜中の各ケイ素原子がメチル基で置き換えられる場合、メチルシルセスキオキサン(『MSQ』)が結果として生じる。
【0007】
上述したように、誘電体膜に多孔性を組込むと、その化学組成に無関係に(即ち、無機でも、有機でも、ハイブリッドでも)、固体膜に相対する膜の誘電値が低下する。これは、空気の誘電率が名目上1であるという事実による。結果として、2.0未満の値を含む2.5よりも小さい誘電率が達成できる。
【0008】
多孔性酸化物膜を形成する具体的なタイプのELK材料を形成する方法は、ゾルゲル法に基づき、高多孔性膜は、テトラエチルオルトシリケートのようなシリコンアルコキシドの加水分解と縮重合によって製造される。ゾルゲル法は、セラミック材料を製造する反応しやすい溶液プロセスである。一般的には、ゾルゲル法は、均一な液体の『ゾル』(たいていはコロイド状)から固体の『ゲル』相へ系の転移を必要とする。『ゾル』の調製に用いられる出発材料は、通常は無機塩又はシリコンアルコキシドのような化合物である。典型的には、スピンオン法によって基板上に前駆溶液を堆積する。典型的なゾルゲル法においては、前駆物質を一連の加水分解と重合の反応に供してコロイド懸濁液、又は『ゾル』を形成する。『ゾル』のその後の処理は、セラミック材料を異なる形にすることを可能にする。その後の処理としては、熱的に不安定な成分の熱分解が含まれ、規則正しい界面活性剤鋳型中間構造膜の蒸発誘導セルフアセンブリによる形成、続いて鋳型の熱分解を必要としている。
【0009】
絶縁層に新規な材料を必要とすることに加えて、集積回路の様相サイズを小さくする傾向から、しばらくは工業において選択されてきたアルミニウムより導電性の大きい導電材料が求められた。多くの半導体業者は、銅の抵抗率が低くかつ電流搬送容量が大きいことからアルミニウムの代わりに相互接続材料として銅(Cu)に変わった。しかしながら、IC製造プロセスには銅自体に難点がある。例えば、銅は、周囲の材料に拡散しやすく、つまり、アルミニウムに従来用いられてきたものより良好なバリヤ層が必要である。この高拡散特性によって、上記の低k多孔性が悪化し、バリヤ層の品質が更に重視される。
【0010】
銅を相互接続材料として実施する集積回路構造の実例は、デュアルダマシーン構造である。デュアルダマシーン構造では、コンタクト/ヴィアと相互接続ラインの双方を画成するために誘電体層がエッチングされる。金属は、画成したパターンの中にはめこまれ、過剰の金属は、ケミカルメカニカルポリシング(CMP)のような平坦化プロセスで構造の上部から除去される。図1は、デュアルダマシーン構造の実例を示す図である。この構造は、第1金属間層に適している。集積回路10は、下地基板12を含み、その上に堆積した一連の層を含んでもよい。基板12は、その中にトランジスタを有してもよい。基板上にバリヤ層13、続いて誘電体層14を堆積することができる。誘電体層は、ドープされていないシリコンガラス(USG)としても知られるドープされていない二酸化ケイ素、フッ素ドープシリコンガラス(FSG)、又は多孔性酸化物層又はケイ素-炭素又は炭素ドープ酸化ケイ素膜のような低k材料、又はELK材料であってもよい。層14上にエッチング停止層16を堆積し、パターンエッチングし、続いて他の誘電体層18を堆積する。次に、再び構造をパターンエッチングしてダマシーン型パターンを与える。バリヤ層22が必要とされてもよく、典型的には、Ta、TaN、Ti、TiN、窒化ケイ素及びプラズマ強化化学気相成長(PECVD)二酸化ケイ素から製造されている。しかしながら、上で説明したように、様相サイズが小さくなり銅の拡散傾向が高くなるにつれて、従来のバリヤ層は最適性能には不十分である。一旦導電材料20が様相を満たすと、不活性化層のような他の層24を堆積することができる。デュアルダマシーン構造の上述したプロセスは、具体例であり、他のものが他の具体的な用途に適していてもよい。
【0011】
ELK材料、特に多孔性誘電材料を用いたときのような組込み法によって、ライナ層又はバリヤ層には追加の要求が入れられる。窒化ケイ素又は二酸化ケイ素のようなキャッピング層を含むライナ層又はバリヤ層は、低k誘電層に隣接して堆積して水分や銅のような副生成物の拡散を防止した。窒化ケイ素は、不活性化層の選択材料であった。しかしながら、窒化ケイ素は、相対的に高誘電率(7.0より大きい誘電率)をもち、相互接続ライン間の容量カップリングを著しく高めることができる。高誘電率によって、組合わせた絶縁層-キャッピング層の全誘電率をほとんど低下しないキャップ形成した絶縁層がもたらされ、絶縁材料の誘電率を低下するという目標を挫折させる。同様に、多孔性膜上に二酸化ケイ素キャッピング層を堆積する点での問題は、依然として残っている。キャッピング層は、典型的には、酸化的PECVDプロセスで堆積する。その酸化的プロセスは、下地多孔性膜の表面機能性を損ない、下地膜の化学的性質を低下させる結果となり、膜の低誘電率特性を低下させ得る。更に、炭化ケイ素型材料は、バリヤ層又はエッチング停止層として用いるのに適することが既知であるが、ELK材料、特に多孔性ELK材料を用いたIC構造における使用は適していないと思われる。これは、低k炭化ケイ素型材料(例えば、カリフォルニア州サンタクララのアプライドマテリアルズが開発した市販のBlack Diamond(登録商標)[k3.0未満])を、典型的には、上記のように下地多孔性膜を損なってしまう酸化的PECVDプロセスで堆積するからであり、高k炭化ケイ素型膜(例えば、カリフォルニア州サンタクララが開発した市販のBLOK材料(登録商標)[k4.5未満])は、k値が高すぎ、k値が高すぎるキャップ形成されたELK膜積層全体をもたらしてしまうことから適していない。
【0012】
従って、可能な最低誘導率をもつキャッピング層及び堆積プロセスが下地ELK膜を損なわず、下地膜の化学的性質を低下もしないキャッピング層が求められている。
【0013】
発明の概要
本発明の実施例は、炭化ケイ素型又はオキシ炭化ケイ素(炭素ドープ酸化物[CDO]又はオルガノシリケートガラスとしばしば呼ばれる)キャッピング材料及び集積回路において誘電材料として用いられるELK膜上にそのキャッピング材料を堆積する方法を提供する。ELK膜は、無機、有機又はハイブリッド誘電材料やそのそれぞれの多孔性バージョンを含むがそれらに限定されないELK膜を含んでもよい。キャッピング材料は、市販のBLOk(登録商標)材料のような無定形炭化ケイ素型材料、又は市販のBlack Diamond(登録商標)のような炭素ドープ酸化物材料であってもよく、いずれもカリフォルニア州サンタクララのアプライドマテリアルズによって開発されている。無定形炭化ケイ素(a-SiC)材料は、非酸化環境においてプラズマプロセスを用いて堆積し、CDO型材料は、酸素不足プラズマプロセスを用いて堆積する。CDO材料は、誘電率が約4.5であるSiC材料とは反対に3.5未満の低誘電率値を有する有利な特性を与える。CDO材料は、誘電率が低いことのほかに下地ELK材料に対する接着特性が優れている。更に、非酸化的又は酸素不足プラズマプロセスは、下地膜の化学的性質や電気的性質をほとんど低下しない。しかしながら、高誘電率にもかかわらず、a-SiC型材料(例えば、BLOK(登録商標))は、低kキャップ形成膜に相対して誘電率が同じか又は低下さえしたキャップ形成ELK膜をつくるために使用することができ、k安定性が優れている複合物(即ち、ELK+キャップ)構造を与えることができることが実験から示された。
【0014】
本発明の、これら及び他の実施例、及びその利点や特徴は、下記の説明及び添付の図面と共に詳述される。
【0015】
個々の実施例の説明
本発明の実施例は、酸化可能なSi-CH3基又はSi-H基を含有することができる極限低誘電率(『ELK』)上にキャッピング層としての炭化ケイ素型低誘電率膜又はキャッピング層としての炭素ドープ酸化ケイ素膜又はその組合わせを堆積する方法を提供する。キャッピング層は、非酸化プラズマか又は酸素不足プラズマ中でPECVDプロセスを用いて堆積する。非酸化プラズマ又は酸素不足プラズマプロセスは、下地ELK膜の酸化を防止又はできるだけ少なくする。
【0016】
PECVD堆積プロセスに酸化プラズマがないと、ELK膜を低下させずにキャッピング層を堆積させることができる。下記のプロセス条件を用いて、誘電率が5より小さく、好ましくは3より小さいキャッピング層をELK膜上に堆積する。これらのプロセス条件を用いて、キャッピング層の堆積方式は、ELK膜中のSi-CH3又はSi-H結合を酸化せず、組合わせたELK膜とキャッピング層の積層の誘電率が3.0未満であることを可能にする。本明細書で用いられるキャッピング層は、金属拡散バリヤ、エッチング停止層、CMP停止層、ホトレジストバリヤ又は最終不活性化層であってもよい。
【0017】
図2は、本発明の実施例に従って基板上にキャップ形成したELK層を形成する方法を示す高レベルフローチャートである。図2に示されるように、まず、基板を処理チャンバ内に配置する(ステップ200)。次に、ELK材料を基板上に形成し(ステップ210)、最後にELK層を炭化ケイ素層、或いはCDO型層、又は炭化ケイ素とCDO層の組合わせによってキャップ形成する(ステップ220)。ELK膜がスピンオン膜であり、キャップ層がCVD膜であるので、堆積するために用いられるチャンバ及び膜をキャップ形成するために用いられるチャンバは同じチャンバではない。ELK膜は、多孔性であり、下流のウエハ処理組込み課題に更に挑戦することに直面する。上記のように、組込み課題は、デュアルダマシーンプロセスの上記のものを含む多くのウエハ処理ステップを包含し、ホトレジスト堆積、金属化、エッチング、及びCMPを含むことができる。これは、続いてのプロセスが下地ELK膜を損なってはならず、続いて堆積した膜は下地ELKから分離しなければならないことを意味する。従って、キャッピング層及びELK膜上に堆積する方法は、下地膜の所望の性質を低下させてはならない。更に、キャッピング層は、好ましくは、ELK膜を堆積するのに得た利益が高誘電率膜でキャップ形成しかつキャップ形成した膜積層の全体の誘電率を効果的に高めることにより不利に相殺しないことを行わせるために低誘電率をもたなければならない。
【0018】
本発明の実施例のキャッピング層及びキャッピング材料を堆積する方法を記載する前に、本発明の実施例による具体的なELK材料とその堆積方法を次に記載する。
【0019】
具体的な ELK 材料及びその堆積方法
ELK層を形成する具体的な方法は、ゾルゲル法に基づくものである。多孔性低誘電率膜を形成する具体的なゾルゲル法においては、界面活性剤が膜多孔性の鋳型として働く。多孔性膜は、一般的には、ゾルゲル前駆物質を基板上に堆積し、続いてゾルゲル前駆物質の溶媒成分を選択的に蒸発させて超分子アセンブリとすることにより形成される。アセンブリは、次に、超分子の界面活性剤鋳型を約400℃で熱分解することにより規則正しい多孔性膜に形成される。
【0020】
図3は、ELK膜を堆積するために以前に提案された基本的なゾルゲル法を示すフローチャートである。図3に示されるように、第1ステップは、前駆物質保存溶液の合成である(ステップ300)。前駆物質保存溶液は、例えば、可溶性酸化ケイ素源、例えば、TEOS(テトラエトキシシラン)、水、溶媒、例えば、アルコール、及び酸触媒、例えば、塩酸を具体的なモル比で所定の周囲条件で合わせることにより調製し、ある時間混合する。
【0021】
保存溶液が得られると、コーティング溶液を混合する(ステップ310)。コーティング溶液を調製する一般手順は、界面活性剤を保存溶液に添加することである。界面活性剤は、多孔性シリカの鋳型として用いられる。後のプロセスで界面活性剤はベークされて、後に多孔性酸化ケイ素膜が残る。典型的な界面活性剤は、両親媒性の性質を示し、同時に親水性と疎水性双方であり得ることを意味する。両親媒性界面活性剤は、水に対する親和性の強い1つ又は複数の親水性ヘッド基及び撥水する長鎖疎水性テイル基をもっている。長鎖疎水性テイル基は、後に多孔性膜の孔を得る鋳型部材として働く。両親媒性基は、多孔性膜の鋳型として形成されることを必要とする所望の構造である超分子配列に集合し得る。これらの配列のまわりの酸化物を鋳型にすることによって細孔サイズと形の制御可能な物質になる。界面活性剤は、アニオン、カチオン、又は非イオン性であるが、IC用途の誘電体層を形成するためには、非イオン性界面活性剤が通常は好ましい。超分子集合物のまわりのシリカの縮合反応を促進するために、酸触媒が添加される。
【0022】
コーティング溶液を混合した後、基板がコーティング溶液で一様に被覆されることを遠心分離機の排水が行わせるスピニングプロセスを用いて基板上に堆積する(ステップ320)。次に、被覆した基板をプレベークしてTEOS前駆物質の加水分解を完了し、ゲル化プロセスを続け、残存する溶媒を膜から追い出す(ステップ330)。
【0023】
次に、プレベークした基板を更にベークしてハードベーク膜を形成する(ステップ340)。ベークステップに選択される温度範囲は、過剰量の水がスピンキャスト膜から蒸発することを行わせる。この段階で、膜は、シリカハードベークマトリックスと界面活性剤から構成され、界面活性剤は、使用した界面活性剤の種類と量の特徴を示す相互接続構造を有する。相互接続構造は、続いての界面活性剤抽出相の実施を援助する。相互接続構造は、続いての切除した界面活性剤分子の連続経路を与えて多孔性酸化物マトリックスから除去される。
【0024】
典型的なシリカ系膜は、しばしば親水性細孔壁をもち、周囲の環境から水分を攻撃的に吸収する。誘電率(k)が約78である水が多孔性膜に吸収される場合には、膜の低k誘電特性は不利に影響し得る。しばしばこれらの親水性膜は、高温でアニールされて水分を除去し、シリカ界面活性剤マトリックスから界面活性剤を切除し抽出する。そのアニールステップによって、相互接続した細孔を示す多孔性膜が後に残る(ステップ350)。しかし、これは、膜がこの手順に続く水分吸収になお感受性であってもよいので堆積プロセスにおいては単に一時的な溶液である。
【0025】
ゾルゲル法は、疎水性、及びある種の化学薬品に対して耐性が高いといった様々な所望の特性を与えるために細孔の特徴を示す表面を改良することを目指す堆積後処理ステップを更に含んでいる。膜を安定にする典型的な処理は、ヒドロキシル基を除去する脱ヒドロキシル化プロセスにおけるHMDS(ヘキサメチルジシリザン、[(CH3)3-Si-NH-Si-(CH3)3])による処理であり、トリメチルシリル基で置き換え、膜を疎水性にする(ステップ360)。また、そのシリル化ステップと共に、前駆物質配合物にCH3Si(OCH2CH3)メチルトリエトキシシラン又はMTESのようなアルキル置換ケイ素前駆物質を添加することにより、多孔性材料を更に疎水性にすることができる。
【0026】
低k材料を堆積するための上記ゾルゲル法の様々な代替法が提案された。これらの代替法の多くは、基本的な上記一般法と同じであるが、コーティング溶液に用いられる成分の選択を変える;処理時間及び/又は温度を変動する;ある種のステップを組合わせる;及び/又は他のステップを様々なサブステップに分けるものである。
【0027】
例えば、本発明の実施例に従って硬化した安定なELK膜を堆積及び形成する別の方法を下記に示す。この別の方法を用いて、ステップ300〜350による上記のゾルゲル系プロセスに基づいてELK膜を堆積した。ステップ300〜350で、主にケイ素/酸素化合物、水、溶媒、界面活性剤及び触媒から構成される少なくともシリカ前駆物質を含有する前駆物質溶液を形成した。前駆物質溶液をウエハ上で回転させ、ウエハをチャンバ内で90℃〜450℃の種々の温度で30〜3600秒間0.1トルから大気圧までの範囲の圧力を有する不活性又は酸化条件でベークすることにより熱的に処理した。ケイ素/酸素化合物は、テトラエチルオルトシリケート、テトラメトキシシラン、フェニルトリエチルオキシ、メチルトリエトキシシラン及びその組合わせからなる群より選択した。溶媒は、エタノール、イソプロパノール、プロピレングリコールモノプロピルエーテル、n-プロパノール、n-ブタノール、t-ブタノール、エチレングリコール及びその組合わせからなる群より選択した。界面活性剤は、例えば、ポリオキシエチレンオキシド-プロピレンオキシド-ポリエチレンオキシド3元ブロックコポリマー、オクタエチレングリコールモノデシルエーテル、オクタエチレングリコールモノヘキサデシルエーテル、Triton(登録商標)100、Triton(登録商標)114及びその関連化合物と組合わせからなる群より選ばれた非イオン性界面活性剤とした。特に、本発明の実施例に用いられる前駆物質溶液は、次の組成:テトラエトキシシラン(TEOS)-22.5g;メチルトリエトキシシラン(MTES)-22.5g;プロピレングリコールモノプロピルエーテル(PGPE)-100g;0.1N硝酸-24g;水酸化テトラメチルアンモニウム(TMAH)(水中2.4%)-1.0g;及びトライトンX-114-9.67g(トライトン114はユニオンカーバイドコーポレーションによって製造されたエトキシル化p-tert-オクチルフェノールの混合物の商標である)を有する。
【0028】
認識し得るように、ELK膜の多くの代替的実施例は、スピンオン溶液成分及び処理時間及び処理パラメーターの選択に依存して堆積することができる。このプロセスの実施例に従って堆積したELK膜は、次の性質を示す。
・膜は実質的にSi-O結合及びSi-CH3結合から構成される
・1.6〜2.3の範囲内の誘電率
・20%〜60%の多孔性
・1.4〜10GPa、通常は3〜6GPaの弾性率
・0.4〜2.0GPa、通常は0.5〜1.2GPaの硬度値
・1.1〜1.5の633nmの屈折率
上記本発明の実施例に従ってELK膜の大気圧堆積に使用し得る装置は、譲受人に譲渡されたPROCESS AND AN INTEGRATED TOOL FOR LOW K DIELECTRIC DEPOSITION INCLUDING A PECVD CAPPING MODULEと称する同時係属特許出願第09/502,126号に記載されており、この開示内容は本明細書に全体で援用されている。
【0029】
具体的なELK膜の実例を記載してきたので、材料及びその膜をキャッピングする方法を次に記載する。k<2.5を有するELk膜を形成することが実行可能であることがわかってきたが、多孔性である膜は下流のウエハ処理組込み課題に更に挑戦することに直面する。これには、これらのELK膜を他の層から分離するユニークな方法及び材料が必要である。上記のように、キャッピング層及びELK膜上に堆積する方法は、下地膜の所望の特性を低下してはならない。更に、キャッピング層は、好ましくは、ELK膜を堆積するのに獲得された利益が、高誘電率膜でキャッピングしかつキャップ形成された膜積層の全体の誘電率を効果的に高めることにより不利に相殺しないことを行わせるために低誘電率値を有しなければならない。
【0030】
ELK 膜のキャッピング層としての SiC 及び CDO 材料
本発明の実施例は、集積回路、特に導電材料として銅及び誘電体としてELK膜を用いた集積回路のキャッピング層として有効なある種のプロセス方式に従って形成された炭化ケイ素型又はオキシ炭化ケイ素(炭素ドープ酸化物[CDO]又はオルガノシリケートガラスとしばしば呼ばれる)キャッピング材料を提供する。キャッピング材料は、市販のBLOk(登録商標)材料のような無定形炭化ケイ素型材料、又は市販のBlack Diamond(登録商標)のような炭素ドープ酸化物材料であってもよく、いずれもカリフォルニア州サンタクララのアプライドマテリアルズによって開発されている。無定形炭化ケイ素(a-SiC)材料を非酸化条件でプラズマプロセスを用いて堆積し、CDO型材料を酸素不足プラズマプロセスを用いて堆積する。CDO材料は、誘電材料が約4.5であるa-SiC材料と反対に3未満の低誘電率値を有するという有利な特性を与える。CDO材料は、誘電率が低いことのほかに下地ELK材料に対する接着特性が優れている。更に、非酸化的又は酸素不足プラズマプロセスは、これらの酸素レベルでプラズマプロセスによって下地ELK膜のオルガノシラン又は有機成分の完全な酸化をもたらさないことから下地膜の化学的性質や電気的性質をほとんど低下しない。しかしながら、高誘電率にもかかわらず、a-SiC型材料(例えば、BLOK(登録商標))は、低kキャップ形成膜に相対して誘電率が同じか又は低下さえしたキャップ形成ELK膜をつくるために使用することができ、k安定性が優れている複合物(即ち、ELK+キャップ)構造を与えることができることが実験から示された。プロセス条件及び実験結果を次に記載する。
【0031】
上記のように及び本明細書に用いられるキャッピング層は、下地ELK膜を続いて堆積又はエッチングされる他の材料から分離するバリヤ層、エッチング停止層、CMP停止層、又は他のバリヤ層でもよい。本発明の実施例は、また、一部の実施例においてケイ素源としてシラン系化合物及びケイ素及び炭素源としてオルガノシランを用いること及び潜在的に実質量の酸素の不在下を含む処理方式を提供する。プロセス方式としては、ヘリウム又は窒素のような不活性ガスの存在、及び本発明の実施例に従ってキャッピング材料を製造するPECVDチャンバ内の一定の温度、圧力、電力出力が含まれる。この具体的なキャッピング材料は、ELK膜のキャッピング層として特に有効であり、ダマシーン構造のような複合構造において特に有効であることができる。
【0032】
下の表1は、他の導体が適用できるが導電材料として銅を用いたキャッピング層に一般的に要求されるものを示すものである。
【0033】
【表1】
Figure 0004689026
表1について説明する。層間の十分な接着は、それらの間の剥離を回避又は低減するために必要である。接着力の基準は、スタッドプル試験である。この基準を用いて、35MPaより大きい接着力が所望され、下記のプロセスに従って堆積した場合にキャッピング層に少なくとも35MPaの接着力が得られたことが試験から分かった。キャッピング材料は、堆積プロセスが下地ELK膜を分解しないようなものでなければならない。この基準は、キャッピング材料を非酸化又は酸素不足プラズマから堆積することにより満たされる。酸素不足プラズマの場合には、下地ELK膜の化学構造と反応させる酸素が自由なままでないようにプロセスガス中の酸素の全部又はほとんどがオルガノシランとの反応に消費しなければならない。キャッピング材料は、また、基板アニーリング温度、例えば、400°〜450℃でほとんど拡散してはならない。拡散が『ほとんどしない』という用語は、機能上の用語であることを意味し、層への実際の拡散がそのようなものとして機能するキャッピング層の能力に影響するよりも少ないことである。例えば、本発明の実施例の炭化ケイ素は、約250オングストロームまで拡散を制限する。銅拡散は、所望の電流通路と電圧通路を損なってしまい、クロストークの原因となる。上記のように様相サイズを小さくすることから、誘電率が小さくなるほど、好ましくは5未満になるほど、デバイスの全性能を低下させるクロストーク及びRC遅延の確率が小さくなる。積層全体の誘電値は膜の低誘電値に関係し、所望値が3.0以下でなければならない組合わせたキャップ形成ELK膜(即ち、ELK膜とキャッピング層)の全誘電値に対応する。
【0034】
バリヤ層をダマシーン構造に使用することができることから、ELK材料についてエッチング選択比が1:3、好ましくはそれより小さい適切なエッチング停止特性を有することも有益である。一方、エッチング選択性の低いELK膜と適合するために、つまりキャッピング層とELK膜の単一通過エッチングを可能にするためにキャッピング層の組成を同調することは有利なことである。低エッチング選択性を使用することができ、ホトレジストが除去されると同時にヴィアパターンはキャッピング層を貫通しELK膜の中へ移動する。更に、キャッピング材料は、2MV/cm以上の高い降伏電圧でなければならない。層中の漏れも少なくなければならない。即ち、材料に容量的に流れ込む漂遊直流が少ない。商業的見地から他の所望の特性は、材料が他のプロセスと適合しなければならないので、プロセスは、インサイチュで、即ち、一定のチャンバ内で、例えば、集積クラスタツール配列で材料を汚染環境に曝露せずに行うことができ、良好なスループットとプロセス制御を与える。この態様は、多孔性で水分吸収に感受性であることから、ELK膜で特に重要なものである。
【0035】
下の表2は、本発明の実施例に従って膜がキャッピング層として用いられることを可能にするチャンバ内で用いられるプロセスパタメーターを示す表である。試験した実施例においては、ケイ素と炭素は、オルガノシラン系化合物のような共通化合物から誘導される。しかしながら、炭素は、メタンのような他の化合物で補足することができる。限定しない適切なシラン系化合物としては、メチルシラン(CH3SiH3)、ジメチルシラン((CH3)2SiH3)、トリメチルシラン((CH3)3SiH3)、ジエチルシラン((C2H5)2SiH2)、プロピルシラン(C3H8SiH3)、ビニルメチルシラン(CH2=CH)CH3SiH2)、1,1,2,2-テトラメチルジシラン(HSi(CH3)2-Si(CH3)2H)、ヘキサメチルジシラン((CH3)3Si-Si(CH3)3)、1,1,2,2,3,3-ヘキサメチルトリシラン(H(CH3)2Si-Si(CH3)2-SiH(CH3)2)、1,1,2,3,3-ペンタメチルトリシラン(H(CH3)2Si-SiH(CH3)-SiH(CH3)2)、及び他のシラン関連化合物を挙げることができる。上記に例示したオルガノシランのほかに、テトラメチルシクロテトラシロキサンのようなオルガノシロキサンは、他の酸素源を添加してもしなくてもCDO型キャップの堆積に使用することができる。本発明のために、本明細書に用いられる『オルガノシラン』という用語は、特にことわらない限り、上記のものを含む、少なくとも1個の炭素原子が結合したシラン系化合物を含んでいる。表2で用いられる化合物は、トリメチルシラン(『3MS』)とした。ヘリウム、窒素、又は酸素のようなプロセスガスを存在させた。他のガスも使用することができるがプロセスを安定化するのに援助することができる。
【0036】
【表2】
Figure 0004689026
本発明者らは、上記プロセス方式がELK膜のキャッピング層の所望基準を満たすのにキャッピング材料の適合性を確立することを発見した。本明細書に用いられるキャッピング材料は、a-SiC及びCDO材料の双方を含んでいる。これらのプロセス方式を用いると、キャッピング層の低誘電率は約5以下でもよく、酸素を添加することにより3.5以下に達し得る。更に、積層の有効誘電率は、ELK層から構成され、キャップ層は、相対的に薄いキャップ層については2.5未満でもよい。この有効誘電率は、ELKと銅に基づく集積回路に特に適切なキャッピング層の要求を満たすものである。キャッピング材料は、低kエッチング停止材料としても適する。低kエッチング停止材料は、誘電率が窒化ケイ素と同じか又はそれより低く(誘電率が7.0以上)かつ相対する酸化物:エッチング選択性が2:1以上であるエッチング停止材料として本明細書で定義される。この比は、エッチングプロセスより制御することができ、ダマシーン構造のような複合体構造をエッチングする場合に特に有効である。また、ELK膜と適合するためにキャッピング層の組成を同調することにより、よって低エッチング選択性をもつことにより、キャッピング層とELK膜の単一通過エッチングが可能である。ヴィアパターンは、ホトレジストが除去されると同時にキャッピング層を貫通して及びELK膜の中へ移動するように低エッチング選択性を使用することができる。
【0037】
好ましいプロセス方式でキャッピング層を形成するために、トリメチルシランのようなケイ素源は、プラズマリアクタ、特に、当業者に一般に既知である『シャワヘッド』のような、典型的には基板表面とガス分散要素との間にあるチャンバ内のプラズマリアクタ、特に反応ゾーンに供給することができる。ELK膜をキャップ形成するために本発明の実施例を実施するために使用することができる市販の典型的なPECVDチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社によって製造されたDXz & プロデューサチャンバである。また、全積層(即ち、ELK膜とPECVDキャップの形成)は、上記の集積常圧堆積及び真空キャップシステムで形成することができる。市販のPECVDチャンバの操作順序は、周知であり、本発明のプロセス方式の実施例の説明を必要としない。
【0038】
CDOキャップ堆積
そのPECVDチャンバを用いて、トリメチルシランのような前駆物質源を約600標準立方センチメートル毎分(sccm)の流速で供給することができる。反応は、反応ゾーンへ導入された酸素源をほとんど含まずに起こることができる。特に、100sccmの酸素ガスの流量が用いられる。ケイ素と炭素源と共に、ヘリウム又は窒素のようなプロセスガスが、それぞれ約0〜5000sccmの速度でチャンバへ流れることができる。チャンバ圧は、1〜15トル、特に3.8トルを維持する。RF電源は、100〜900ワット、好ましくは約590ワットをアノードとカソードへ印加してシランベースガスと共にチャンバ内のプラズマを生成する。基板表面温度は、キャッピング層の堆積中に約300°〜450℃、特に約400℃を維持することができる。ガスは、200〜600ミルの基板に対するシャワヘッド間隔距離で、特に280ミルで分散することができる。このレシピを用いて、約3700オングストローム/分の堆積速度が達成される。組合わせたキャップ形成ELK膜の測定した厚さは、約5270オングストロームであり、キャップ形成したELK膜の誘電率は、2.38であった。ELK膜の誘電率は、2.16あった。また、ELK膜は、下記のa-SiC材料層でキャップ形成した。
【0039】
a-SiCキャップ堆積
そのPECVDチャンバを用いて、トリメチルシランのような炭化ケイ素源を約160標準立方センチメートル毎分(sccm)の流速で供給することができる。反応は、反応ゾーンへ導入される酸素源を含まずに起こる。トリメチルシラン(ケイ素と炭素源)と共に、ヘリウム又は窒素のようなプロセスガスが、それぞれ約0〜5000sccmの速度でチャンバへ流れることができる。特に、400sccmのヘリウムの流量が用いられる。チャンバ圧は、1〜15トル、特に3.8トルを維持する。RF電源は、100〜900ワット、好ましくは約560ワットをアノードとカソードへ印加してシランベースガスと共にチャンバ内のプラズマを生成することができる。基板表面温度は、キャッピング層の堆積中に約350°〜450℃、特に約400℃を維持することができる。ガスは、200〜600ミルの基板に対するシャワヘッド間隔距離へ、特に280ミルで分散することができる。このレシピを用いて、約3700オングストローム/分の堆積速度が達成される。組合わせたキャップ形成ELK膜の測定した厚さは、約5222オングストロームであり、キャップ形成したELK膜の誘電率は、2.22であった。ELK膜の誘電率は、2.16あった。また、ELK膜は、まず、下記のa-SiCキャップ、次に、CDOキャップを堆積することにより両方のキャッピング層でキャップ形成することができる。
【0040】
組合わせたa-SiCとCDOのキャップ堆積
第1堆積、即ち、a-SiC堆積については、トリメチルシランのような炭化ケイ素源を約300標準立方センチメートル毎分(sccm)の流速で供給することができる。反応は、反応ゾーンへ酸素を導入せずに起こる。チャンバ圧は、1〜15トル、特に3.8トルを維持する。RF電源は、100〜900ワット、好ましくは約300ワットを印加してトリメチルシランを含むチャンバ内でプラズマを生成することができる。基板表面温度は、a-SiCキャッピング層の堆積中に約350°〜450℃、特に約400℃を維持することができる。ガスは、200〜600ミルの基板に対するシャワヘッド間隔距離で、特に280ミルで分散することができる。次に、CDOキャップをa-SiCキャップ上に堆積する。同じPECVDチャンバを用いて、トリメチルシランのようなオルガノシランを約600標準立方センチメートル毎分(sccm)の流速で使用することができる。炭素は、トリメチルシラン又はメチルシランから誘導することができる。反応は、反応ゾーンへ導入した酸素源をほとんど含めずに起こることができ、特に100sccmの酸素ガスの流量が用いられる。チャンバ圧は、1〜15トル、特に3.8トルを維持する。RF電源は、100〜900ワット、好ましくは約600ワットをアノードとカソードへ印加してチャンバ内にプラズマを生成することができる。基板表面温度は、キャッピング層の堆積中に約350°〜450℃、特に約400℃を維持することができる。ガスは、200〜600ミルの基板に対するシャワヘッド間隔距離で、特に280ミルで分散することができる。このレシピを用いて、約3700オングストローム/分の堆積速度が達成される。組合わせたキャップ形成ELK膜の測定した厚さは、約5107オングストロームであり、キャップ形成したELK膜の誘電率は、2.15であった。ELK膜の誘電率は、2.16あった。
【0041】
上記のように、キャッピング層は、市販のBLOk(登録商標)材料のような膜、又は市販のBlack Diamond(登録商標)のようなCDO型膜化合物であってもよく、いずれもカリフォルニア州サンタクララのアプライドマテリアルズによって開発されている。a-SiC化合物は、非酸化環境においてプラズマプロセスを用いて堆積し、CDO化合物は、酸素不足プラズマプロセスを用いて堆積する。
【0042】
そのELK膜のキャッピング層の利点は、酸化ケイ素や窒化ケイ素のような従来のキャッピング層に比べて数が多い。本発明の実施例の非酸化的或いは酸素不足プロセス方式は、プラズマと下地ELK膜内に存在するSi-C、C-H、及びSi-H(あるとすれば)結合との間の反応をほとんど可能にせず、ELK膜の望ましい誘電特性や撥水特性の低下はほとんど見られない。酸化プラズマ環境中でキャッピング層として酸化ケイ素を堆積すると、ELK膜中の炭素が追い出され、ELK膜の望ましい性質が低下する。1000オングストロームSiCキャッピング層が5000オングストロームELK膜上に堆積した本発明者らによって行われた一組の試験から、全体の積層の誘電値3.0以下が得られたことがわかった。これは、下記の堆積レシピがELK膜の性質を不利に影響しないことを意味する。ELK層単独のkは2.0であった。
【0043】
上記プロセスを用いて本発明者らによって行われた追加の試験は、3種の異なるキャッピング層を有するELK膜をキャッピングすることを必要とした。第1キャッピング層は、CDOキャップであり、第2キャッピング層は、a-SiCキャップであり、第3キャッピング層は、まずELK膜をa-SiCキャップでキャッピングしてからCDO層でキャッピングすることからなる2層キャップとした。これらの試験に用いられるプロセス条件は、上に記載されている。これらの実験結果は、高い誘電率キャップでキャップ形成されたキャップ形成ELK膜の全体の誘電率が低い誘電率キャップでキャップ形成されたキャップ形成ELK膜の全体の誘電率より実際には低い点で全く予想できなかった。
【0044】
CDO材料は、誘電率が約4.5であるa-SiC材料と反対に3未満の低い誘電率値を有する有利な特性を与える。更に、酸素不足プラズマプロセスは、下地膜の化学的性質と電気的性質をほとんど低下させない。しかしながら、上述したように、実験から、誘電率が高いにもかかわらず、a-SiC型材料(例えば、BLOK(登録商標))は低kキャップ形成膜に相対して同じ又は低い誘電率さえもつキャップ形成ELK膜をつくるために使用することができ、k安定性の優れた複合(即ち、ELK+キャップ)構造を与えることができることを示した。これらの実験は、高いkSiC型膜でキャップ形成した膜が低いkSiC材料でキャップ形成した膜より良好な全k値及び積層のk安定性を与えることを支持している。これは低いkキャップ(CDO)堆積が堆積プロセス中に下地ELK膜の酸化を誘導することができるという事実によるものであると本発明者らは考える。また、ELK膜を酸化しかつ水分バリヤとしてCDO層の有効性が低いことから、ELK-CDO積層のk安定性は、下の表3に示されるようにELK-a-SiCより悪い。
【0045】
【表3】
Figure 0004689026
上の表3に纏めた結果は、ELK膜とキャッピング層からなる膜積層が低kキャッピング層でキャップ形成した場合に全体のkが小さいと考えられる点で予想できないことである。CDO膜のkがa-SiC膜(約4.5)に比べて低い(約3)ことは既知である。しかしながら、実験の結果が示すように、a-SiCでキャップ形成されたELK膜の全体のkは小さい。上で示したように、a-SiCでキャップ形成されたELK膜の全kは、kが2.38であるCDO材料でキャップ形成した膜積層に比べて2.22である。更に、a-Sicキャップ形成ELK膜のk安定性は良好である。k安定性は、膜積層の水分吸収の尺度である。試験は、膜積層を水に浸漬してから積層のkを測定し、浸漬後kを非浸漬kと比較することにより行われる。表3から見られるように、非キャップ形成膜は水分摂取のためにk値が5.9%上昇し、キャップ形成膜のうち、a-SiCキャップ形成膜は、k値が3.6%増加したCDOキャップ形成膜に比べてkが1.6%上昇したにすぎなかった。ELK膜をa-SiC材料でキャップ形成するという予想できない利点を見出した本発明者らは、ハイブリッドキャップが開発し得るかを求めるために更に試験した。ハイブリッドキャップは、a-SiC堆積の非酸化作用及びCDO膜の低kを十分に利用するものである。上の表3の最後の列は、その場合の結果を示すものである。この例の堆積レシピは、上述したものとした。ハイブリッドキャップをもつ要望は、本発明者らの要望及び最低kキャップをもつが下地ELK膜のk値を損なわない将来のICの要求によって推進される。本発明者らは、最適ハイブリッドキャップがELK膜を密封及びキャップ形成するために非酸化環境中で堆積したa-SiCキャップ、及び低いキャップk値を達成するためにCDO膜を使用することができると考える。このハイブリッドキャップは、CDO膜が層に良好に接着し、続いてキャップ形成したELK膜積層上に堆積することができることが既知であることから追加の及び改良された接着特性を与える。表3上に示されるように、ハイブリッドキャップは、他のキャップ形成膜よりkが更に低い。本発明者らは、SiCキャップが浸潤型プロセスによって下地ELK膜のk値を実際に改善させることができると考える。これらの結果は、非酸化環境中a-SiCキャップで形成されたELK膜が損なわれず、他の層から分離することにより十分にキャップ形成されることを示している。
【0046】
従来の窒化ケイ素キャップのような他のキャッピング材料の誘電率は相対的に高い。高誘電率値は相対的に薄層を堆積することによって講じられるが、その堆積はアンモニアに基づく(NH3)プロセスガスの使用を必要とする。そのプロセスガスは、下地ELK膜と反応し、有害である。最後にしかも重要なことに、本発明の実施例によって示されるように炭化ケイ素型膜間の接着は、他のPECVDに基づく膜より優れている。例えば、本発明のSiC材料の接着力は大きく、試験データから接着力が5Kpsiより大きいことがわかる。
【0047】
上記のように具体的なタイプのELK膜を堆積及びキャップ形成する本発明の方法の実施例をいくつか十分に記載してきたので、本発明のELK層を堆積及びキャプ形成する他の等価な方法又は代替的方法は当業者に明らかである。更に、本明細書に記載されるキャッピング層及びキャッピング層を堆積する方法は、他の低誘電率又は他のELK膜のキャッピング層として同様に適用できかつ同様に有利である。上記ハイブリッド炭素ドープ多孔性酸化物(『CDPO』)以外の低誘電率又はELK膜は、その多孔性態様を含む他のハイブリッド、有機又は無機膜であり得る。有機膜の実例は、ダウケミカルのSiLK(スピンオン低k材料(k2.6〜2.8)及びアライドシグナル(Allied Signal)製のFLARE、又はこれらの膜の多孔性バージョンである。他のハイブリッド膜の実例は、HSQ膜又はMSQ膜又はそのそれぞれの多孔性態様である。これらの等価物又は代替物は、前述の特許請求の範囲に示される本発明の範囲内に包含されるものである。
【図面の簡単な説明】
【図1】デュアルダマシーン構造をもつ集積回路の一部を示す簡易断面図である。
【図2】キャップ形成ELK層を形成するプロセスを示すフローチャートである。
【図3】多孔性酸化ケイ素層を堆積するために鋳型ゾルゲル法を用いる典型的なプロセスを示すフローチャートである。
【符号の説明】
10…集積回路、12…下地基板、13…バリヤ層、14…誘電体層、16…エッチング停止層、18…他の誘導体層、20…導電材料、22…バリヤ層、24…他の層、200、210、220、300、310、320、330、340、350、360…ステップ。

Claims (24)

  1. 炭化ケイ素型膜を用いて極限低誘電率(『ELK』)膜をキャップ形成する方法であって、
    ELK膜を基板上に形成するステップ;
    ケイ素含有前駆物質と、炭素含有前駆物質と、酸素、ヘリウム及び窒素を含むプロセスガスとをチャンバへ導入するステップであって、前記ケイ素含有前駆物質と炭素含有前駆物質が共通のオルガノシラン前駆物質から誘導される、前記ステップ;
    前記オルガノシラン前駆物質を酸素ガスフローの約6倍の速度で供給するステップ;
    前記チャンバ内でプラズマを開始するステップ;
    前記プラズマの存在下に前記ケイ素含有前駆物質と前記炭素含有前駆物質を反応させるステップ;
    炭化ケイ素キャッピング層を前記ELK膜上に堆積するステップ;
    を含む、前記方法。
  2. 誘電率が約5未満である炭化ケイ素層を与えるステップを更に含んでいる、請求項1記載の方法。
  3. 前記炭化ケイ素キャッピング層が、誘電率が約3.5未満である炭素ドープ酸化物キャッピング層である、請求項1記載の方法。
  4. 銅拡散抵抗性のある炭化ケイ素キャッピング層を与えるステップを更に含んでいる、請求項1記載の方法。
  5. 前記炭化ケイ素キャッピング層を堆積するための堆積プロセスは、前記ELK膜の誘電特性をかなり低下させる前記ELK膜と不利な反応をしない、請求項1記載の方法。
  6. 前記炭化ケイ素キャッピング層の前記ELK膜に対する接着力が35MPaより大きい、請求項1記載の方法。
  7. 水分がほとんど浸透しない炭化ケイ素キャッピング層を更に含んでいる、請求項1記載の方法。
  8. 3.0未満である、前記ELK膜と前記炭化ケイ素キャッピング層からなる積層の合計誘電率を与えるステップを更に含んでいる、請求項1記載の方法。
  9. 2.5未満である、前記ELK膜と前記炭化ケイ素キャッピング層からなる積層の合計誘電率を与えるステップを更に含んでいる、請求項1記載の方法。
  10. 前記ELK膜の誘電率が約3.0以下である、請求項1記載の方法。
  11. 前記ELK膜の誘電率が約2.5以下である、請求項1記載の方法。
  12. 前記ケイ素含有前駆物質がシランを含んでいる、請求項記載の方法。
  13. 前記炭化ケイ素キャッピング層を約100°〜450℃の温度で堆積するステップを更に含んでいる、請求項記載の方法。
  14. 前記炭化ケイ素キャッピング層を約375°〜425℃の温度で堆積するステップを更に含んでいる、請求項記載の方法。
  15. 前記炭化ケイ素キャッピング層を約1〜15トルの圧力で堆積するステップを更に含んでいる、請求項記載の方法。
  16. 前記炭化ケイ素キャッピング層を約2〜5トルの圧力で堆積するステップを更に含んでいる、請求項記載の方法。
  17. 前記ケイ素と炭素を反応させるステップが、約100〜900ワットの電力を供給するRF電源を用いて前記ケイ素と炭素を反応させる段階を含んでいる、請求項記載の方法。
  18. 前記ケイ素と炭素を反応させるステップが、約300〜600ワットの電力を供給するRF電源を用いて前記ケイ素と炭素を反応させる段階を含んでいる、請求項記載の方法。
  19. 前記ケイ素と炭素を反応させるステップが、約500〜600ワットの電力を供給するRF電源を用いて前記ケイ素と炭素を反応させる段階を含んでいる、請求項記載の方法。
  20. 前記メチルシランを約5〜2400sccmの速度で供給するステップを更に含んでいる、請求項記載の方法。
  21. 前記メチルシランを約100〜650sccmの速度で供給するステップを更に含んでいる、請求項記載の方法。
  22. 前記酸素を約0〜400sccmの流速で供給するステップを更に含んでいる、請求項記載の方法。
  23. 前記酸素を約0〜150sccmの流速で供給するステップを更に含んでいる、請求項記載の方法。
  24. 前記ヘリウムを約0〜5000 sccmの流速で供給し、前記窒素を約0〜5000sccmの流速で供給するステップを更に含んでいる、請求項記載の方法。
JP2000318090A 1999-10-18 2000-10-18 極限低誘電率膜のためのキャッピング層 Expired - Fee Related JP4689026B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16005099P 1999-10-18 1999-10-18
US60/160050 1999-10-18

Publications (2)

Publication Number Publication Date
JP2001185547A JP2001185547A (ja) 2001-07-06
JP4689026B2 true JP4689026B2 (ja) 2011-05-25

Family

ID=22575305

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000318090A Expired - Fee Related JP4689026B2 (ja) 1999-10-18 2000-10-18 極限低誘電率膜のためのキャッピング層

Country Status (4)

Country Link
US (1) US6583071B1 (ja)
EP (1) EP1094506A3 (ja)
JP (1) JP4689026B2 (ja)
KR (1) KR100751990B1 (ja)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
GB2398168B (en) * 2000-01-19 2004-09-15 Trikon Holdings Ltd Methods and apparatus for forming a film on a substrate
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
US6753563B2 (en) * 2000-12-05 2004-06-22 Texas Instruments Incorporated Integrated circuit having a doped porous dielectric and method of manufacturing the same
US8235919B2 (en) * 2001-01-12 2012-08-07 Celleration, Inc. Ultrasonic method and device for wound treatment
US7914470B2 (en) 2001-01-12 2011-03-29 Celleration, Inc. Ultrasonic method and device for wound treatment
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
JP4124315B2 (ja) * 2001-05-01 2008-07-23 東京応化工業株式会社 被膜の処理方法およびこの方法を用いた半導体素子の製造方法
US6541361B2 (en) * 2001-06-27 2003-04-01 Lam Research Corp. Plasma enhanced method for increasing silicon-containing photoresist selectivity
JP2003017487A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017485A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP4953523B2 (ja) * 2001-06-29 2012-06-13 ローム株式会社 半導体装置およびその製造方法
JP2003017484A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
WO2003003440A1 (en) 2001-06-29 2003-01-09 Rohm Co., Ltd. Semiconductor device and production method therefor
JP2003017483A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
JP2003017486A (ja) * 2001-06-29 2003-01-17 Rohm Co Ltd 半導体装置およびその製造方法
US6797605B2 (en) * 2001-07-26 2004-09-28 Chartered Semiconductor Manufacturing Ltd. Method to improve adhesion of dielectric films in damascene interconnects
AU2002343029A1 (en) 2001-11-16 2003-06-10 Trikon Holdings Limited Forming low k dielectric layers
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
JP4110952B2 (ja) * 2002-01-16 2008-07-02 株式会社村田製作所 誘電体薄膜の形成方法
JP4391240B2 (ja) * 2002-03-04 2009-12-24 ローム株式会社 薄膜形成方法および半導体装置
US7077916B2 (en) * 2002-03-11 2006-07-18 Matsushita Electric Industrial Co., Ltd. Substrate cleaning method and cleaning apparatus
US6747123B2 (en) * 2002-03-15 2004-06-08 Lucent Technologies Inc. Organosilicate materials with mesoscopic structures
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
KR20030093721A (ko) * 2002-06-05 2003-12-11 삼성전자주식회사 금속간 절연막의 패턴을 형성하는 방법
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
KR100541185B1 (ko) 2003-07-28 2006-01-11 삼성전자주식회사 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7300480B2 (en) * 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
US7303985B2 (en) * 2003-11-17 2007-12-04 Intel Corporation Zeolite-carbon doped oxide composite low k dielectric
JP4715207B2 (ja) * 2004-01-13 2011-07-06 東京エレクトロン株式会社 半導体装置の製造方法及び成膜システム
US7592192B2 (en) * 2004-03-05 2009-09-22 Konica Minolta Holdings, Inc. White light emitting diode (white LED) and method of manufacturing white LED
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7773365B2 (en) * 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
JP5848862B2 (ja) * 2004-06-25 2016-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated カプセル化膜の遮水性能の改善
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP2006093445A (ja) * 2004-09-24 2006-04-06 Toshiba Corp 酸化膜形成方法
US7446055B2 (en) * 2005-03-17 2008-11-04 Air Products And Chemicals, Inc. Aerosol misted deposition of low dielectric organosilicate films
US7785277B2 (en) * 2005-06-23 2010-08-31 Celleration, Inc. Removable applicator nozzle for ultrasound wound therapy device
US7713218B2 (en) * 2005-06-23 2010-05-11 Celleration, Inc. Removable applicator nozzle for ultrasound wound therapy device
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
KR100749068B1 (ko) 2005-12-28 2007-08-13 한국생산기술연구원 전자기 및 초음파를 이용한 광폭 복합코팅 장치 및 공정
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20080081007A1 (en) * 2006-09-29 2008-04-03 Mott Corporation, A Corporation Of The State Of Connecticut Sinter bonded porous metallic coatings
US9149750B2 (en) 2006-09-29 2015-10-06 Mott Corporation Sinter bonded porous metallic coatings
US8318407B2 (en) 2006-11-01 2012-11-27 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for their use and manufacture
KR101207381B1 (ko) * 2006-11-01 2012-12-05 더 스테이트 오브 오레곤 액팅 바이 앤드 쓰루 더 스테이트 보드 오브 하이어 에쥬케이션 온 비해프 오브 오레곤 스테이트 유니버시티 용액 처리된 박막들 및 적층체들, 상기 박막들 및적층체들을 포함하는 장치들, 및 그들의 사용 방법 및 제조방법
WO2008079242A1 (en) * 2006-12-19 2008-07-03 Nanogram Corporation Hollow silica nanoparticles as well as synthesis processes and applications thereof
WO2008079379A1 (en) * 2006-12-22 2008-07-03 Celleration, Inc. Apparatus to prevent applicator re-use
US20080214965A1 (en) * 2007-01-04 2008-09-04 Celleration, Inc. Removable multi-channel applicator nozzle
US8491521B2 (en) 2007-01-04 2013-07-23 Celleration, Inc. Removable multi-channel applicator nozzle
US7972866B2 (en) * 2007-06-18 2011-07-05 Nipro Diagnostics, Inc. Biosensor and ultrasonic method of making a biosensor
TWI452620B (zh) * 2007-08-20 2014-09-11 Chemical Art Technology Inc Etching apparatus and etching apparatus
WO2009085241A2 (en) * 2007-12-28 2009-07-09 Celleration, Inc. Methods for treating inflammatory skin disorders
US8282999B2 (en) * 2008-04-04 2012-10-09 Micron Technology, Inc. Spin-on film processing using acoustic radiation pressure
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8557877B2 (en) * 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
EP2571607A4 (en) * 2010-05-21 2016-12-21 Adrian Brozell SURFACE ASSISTING SURFACE STRUCTURES
US10259723B2 (en) 2010-05-21 2019-04-16 Znano Llc Self-assembled surfactant structures
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
KR101881894B1 (ko) * 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법
JP2012188668A (ja) * 2012-05-07 2012-10-04 Hitachi Chemical Co Ltd シリカ系被膜、シリカ系被膜形成用組成物、シリカ系被膜の製造方法及び電子部品
US9530531B2 (en) 2013-02-21 2016-12-27 Nanotek Instruments, Inc. Process for producing highly conducting and transparent films from graphene oxide-metal nanowire hybrid materials
US10468152B2 (en) 2013-02-21 2019-11-05 Global Graphene Group, Inc. Highly conducting and transparent film and process for producing same
US20140272199A1 (en) * 2013-03-14 2014-09-18 Yi-Jun Lin Ultrasonic spray coating of conducting and transparent films from combined graphene and conductive nano filaments
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
EP3074089A4 (en) 2013-11-26 2017-07-26 Alliqua Biomedical, Inc. Systems and methods for producing and delivering ultrasonic therapies for wound treatment and healing
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9844767B2 (en) 2015-08-28 2017-12-19 Korea Institute Of Science And Technology Catalyst filter comprising nano metallic catalyst sprayed on the surface of support
CN108351594B (zh) 2015-10-13 2021-07-09 因普里亚公司 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
SE2130201A1 (en) 2021-07-16 2023-01-17 Absolicon Solar Collector Ab Antireflective coatings

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144677A (ja) * 1996-11-14 1998-05-29 Tokyo Electron Ltd 半導体装置及びその製造方法
JPH10284476A (ja) * 1997-04-07 1998-10-23 Fujitsu Ltd 絶縁膜及びその形成方法

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE81106C (ja)
US4059929A (en) 1976-05-10 1977-11-29 Chemical-Ways Corporation Precision metering system for the delivery of abrasive lapping and polishing slurries
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
JPH0682704B2 (ja) * 1989-06-27 1994-10-19 株式会社東芝 半導体装置
US5658387A (en) * 1991-03-06 1997-08-19 Semitool, Inc. Semiconductor processing spray coating apparatus
US5527872A (en) * 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
JP2670211B2 (ja) 1992-07-10 1997-10-29 東京応化工業株式会社 現像液の調整方法
US5488015A (en) 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US5785787A (en) 1994-05-23 1998-07-28 General Electric Company Processing low dielectric constant materials for high speed electronics
EP0701277B1 (en) 1994-05-27 2008-02-27 Texas Instruments Incorporated Interconnection method using a porous insulator for line to line capacitance reduction
US5548159A (en) 1994-05-27 1996-08-20 Texas Instruments Incorporated Porous insulator for line-to-line capacitance reduction
AUPM634794A0 (en) 1994-06-21 1994-07-14 Pacific Inks (Australia) Pty Ltd System for mixing liquids
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5645891A (en) 1994-11-23 1997-07-08 Battelle Memorial Institute Ceramic porous material and method of making same
US5478435A (en) 1994-12-16 1995-12-26 National Semiconductor Corp. Point of use slurry dispensing system
US5818071A (en) * 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5948482A (en) 1995-09-19 1999-09-07 University Of New Mexico Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
US5834845A (en) 1995-09-21 1998-11-10 Advanced Micro Devices, Inc. Interconnect scheme for integrated circuits
TW308719B (ja) * 1995-10-23 1997-06-21 Dow Corning
US6063714A (en) 1995-11-16 2000-05-16 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification
US5736425A (en) 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US6159295A (en) 1995-11-16 2000-12-12 Texas Instruments Incorporated Limited-volume apparatus for forming thin film aerogels on semiconductor substrates
US5807607A (en) 1995-11-16 1998-09-15 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US5750440A (en) 1995-11-20 1998-05-12 Motorola, Inc. Apparatus and method for dynamically mixing slurry for chemical mechanical polishing
US5914508A (en) * 1995-12-21 1999-06-22 The Whitaker Corporation Two layer hermetic-like coating process for on-wafer encapsulation of GaAs MMIC's
US5814370A (en) 1996-06-11 1998-09-29 Sandia Corporation Encapsulation of nanoclusters in dried gel materials via an inverse micelle/sol gel synthesis
US5857893A (en) 1996-10-02 1999-01-12 Speedfam Corporation Methods and apparatus for measuring and dispensing processing solutions to a CMP machine
US6011123A (en) 1996-11-20 2000-01-04 Jsr Corporation Curable resin composition and cured products
US5922299A (en) * 1996-11-26 1999-07-13 Battelle Memorial Institute Mesoporous-silica films, fibers, and powders by evaporation
US5868278A (en) 1996-12-09 1999-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminating microbubbles in developer solutions to reduce photoresist residues
JP3159093B2 (ja) * 1996-12-25 2001-04-23 日本電気株式会社 半導体装置およびその製造方法
US6255156B1 (en) 1997-02-07 2001-07-03 Micron Technology, Inc. Method for forming porous silicon dioxide insulators and related structures
JP3354431B2 (ja) 1997-03-31 2002-12-09 東レ・ダウコーニング・シリコーン株式会社 電気絶縁性薄膜形成用組成物および電気絶縁性薄膜の形成方法
US6015457A (en) 1997-04-21 2000-01-18 Alliedsignal Inc. Stable inorganic polymers
US6070600A (en) 1997-07-01 2000-06-06 Motorola, Inc. Point of use dilution tool and method
TW401376B (en) 1997-07-15 2000-08-11 Asahi Chemical Ind An alkoxysilane/organic polymer composition for use in producing an insulating thin film and uses thereof
US5858457A (en) 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
US6120891A (en) 1997-10-29 2000-09-19 Board Of Regemts. The University Of Texas System Mesoporous transition metal oxide thin films and methods of making and uses thereof
TW439197B (en) * 1997-10-31 2001-06-07 Dow Corning Electronic coating having low dielectric constant
US6126733A (en) 1997-10-31 2000-10-03 Alliedsignal Inc. Alcohol based precursors for producing nanoporous silica thin films
IL136479A0 (en) 1997-12-09 2001-06-14 Univ California Block polymer processing for mesostructured inorganic oxide materials
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6168737B1 (en) 1998-02-23 2001-01-02 The Regents Of The University Of California Method of casting patterned dielectric structures
US6162583A (en) 1998-03-20 2000-12-19 Industrial Technology Research Institute Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
AU756688B2 (en) 1998-06-05 2003-01-23 Georgia Tech Research Corporation Porous insulating compounds and method for making same
US6395651B1 (en) 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
DE19834265A1 (de) 1998-07-30 2000-02-17 Thomas Gesner Verfahren zur Herstellung von Aerogelen und Anlage zur Herstellung von Aerogelschichten auf Substraten oder aus Aerogelen bestehenden Produkten
US6335296B1 (en) 1998-08-06 2002-01-01 Alliedsignal Inc. Deposition of nanoporous silica films using a closed cup coater
EP1118110A1 (en) 1998-08-27 2001-07-25 AlliedSignal Inc. Process for optimizing mechanical strength of nanoporous silica
US6037275A (en) 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6187248B1 (en) 1998-11-19 2001-02-13 Air Products And Chemicals, Inc. Nanoporous polymer films for extreme low and interlayer dielectrics
US6098843A (en) 1998-12-31 2000-08-08 Silicon Valley Group, Inc. Chemical delivery systems and methods of delivery
US6048256A (en) 1999-04-06 2000-04-11 Lucent Technologies Inc. Apparatus and method for continuous delivery and conditioning of a polishing slurry
US6172128B1 (en) 1999-04-09 2001-01-09 Honeywell International Inc. Nanoporous polymers crosslinked via cyclic structures
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
US6251473B1 (en) * 1999-05-12 2001-06-26 The Trustees Of The University Of Pennsylvania Preparation of ceramic thin films by spray coating
US6277765B1 (en) 1999-08-17 2001-08-21 Intel Corporation Low-K Dielectric layer and method of making same
US6197913B1 (en) 1999-08-26 2001-03-06 Dow Corning Corporation Method for making microporous silicone resins with narrow pore-size distributions
US6171687B1 (en) 1999-10-18 2001-01-09 Honeywell International Inc. Infiltrated nanoporous materials and methods of producing same
US6107357A (en) 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6143360A (en) 1999-12-13 2000-11-07 Dow Corning Corporation Method for making nanoporous silicone resins from alkylydridosiloxane resins
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144677A (ja) * 1996-11-14 1998-05-29 Tokyo Electron Ltd 半導体装置及びその製造方法
JPH10284476A (ja) * 1997-04-07 1998-10-23 Fujitsu Ltd 絶縁膜及びその形成方法

Also Published As

Publication number Publication date
KR100751990B1 (ko) 2007-08-28
KR20010051102A (ko) 2001-06-25
EP1094506A2 (en) 2001-04-25
US6583071B1 (en) 2003-06-24
EP1094506A3 (en) 2004-03-03
JP2001185547A (ja) 2001-07-06

Similar Documents

Publication Publication Date Title
JP4689026B2 (ja) 極限低誘電率膜のためのキャッピング層
US6875687B1 (en) Capping layer for extreme low dielectric constant films
JP4545973B2 (ja) シリコン系組成物、低誘電率膜、半導体装置および低誘電率膜の製造方法
JP4048112B2 (ja) 半導体装置の製造方法および半導体装置
US7538353B2 (en) Composite barrier/etch stop layer comprising oxygen doped SiC and SiC for interconnect structures
JP4812838B2 (ja) 多孔質絶縁膜の形成方法
JP4616154B2 (ja) 半導体装置の製造方法
KR100974042B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
JP2003508895A (ja) Ulsi用途のためにシロキサンポリマーで処理されたナノポーラスシリカ
JP2004538637A (ja) Msq系多孔質低k膜材料のプラズマ硬化
JP2005236285A (ja) 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
JP2007273494A (ja) 絶縁膜形成用組成物及び半導体装置の製造方法
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
EP1420439B1 (en) Non-thermal process for forming porous low dielectric constant films
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US7009280B2 (en) Low-k interlevel dielectric layer (ILD)
US20070087124A1 (en) Composition for forming porous film, porous film and method for forming the same, interlevel insulator film, and semiconductor device
KR101013483B1 (ko) 반도체 장치의 제조 방법
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
CN102047411B (zh) 半导体装置及其制造方法
KR102409869B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
US20040188675A1 (en) Semiconductor device and method of manufacturing the same
JP2005175396A (ja) ダマシン法を用いた配線の形成方法及び該配線形成に用いるシリカ系被膜形成用塗布液
JP2005175394A (ja) ダマシン法を用いた配線の形成方法及び該配線形成に用いるシリカ系被膜形成用塗布液

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071010

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100727

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101014

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

TRDD Decision of grant or rejection written
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110118

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110216

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140225

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees