CN108351594B - 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化 - Google Patents

有机锡氧化物氢氧化物图案化组合物、前驱物及图案化 Download PDF

Info

Publication number
CN108351594B
CN108351594B CN201680060193.7A CN201680060193A CN108351594B CN 108351594 B CN108351594 B CN 108351594B CN 201680060193 A CN201680060193 A CN 201680060193A CN 108351594 B CN108351594 B CN 108351594B
Authority
CN
China
Prior art keywords
coating
precursor
solution
group
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680060193.7A
Other languages
English (en)
Other versions
CN108351594A (zh
Inventor
S·T·迈耶斯
J·T·安德森
B·J·卡迪诺
J·B·埃德森
K·蒋
D·A·凯斯勒
A·J·特莱茨基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Inpria Corp
Original Assignee
Inpria Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=58500023&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN108351594(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Inpria Corp filed Critical Inpria Corp
Priority to CN202110706883.8A priority Critical patent/CN113534609A/zh
Publication of CN108351594A publication Critical patent/CN108351594A/zh
Application granted granted Critical
Publication of CN108351594B publication Critical patent/CN108351594B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/086Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/031Organic compounds not covered by group G03F7/029
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Abstract

本发明描述了用于形成基于金属氧化物氢氧化物化学的高分辨率微影图案化涂层的有机金属前驱物。该前驱物组合物通常包含在适当条件下可易于被水蒸气或其他OH源组合物水解的配位体。该有机金属前驱物通常包含对锡的辐射敏感的有机配位体,其可产生在相对较低辐射剂量下对高分辨率图案化有效,且特别适用于EUV图案化的涂层。该前驱物组合物在商业适合条件下易于处理。可利用原位水解或基于蒸气的沉积的溶液的相处理来形成涂层。

Description

有机锡氧化物氢氧化物图案化组合物、前驱物及图案化
相关申请的交叉引用
本申请要求了Meyers等人于2015年10月13日提交的名称为“具有前体气相沉积的有机锡氧化物氢氧化物图案化组合物(Organotin Oxide Hydroxide PatterningCompositions With Precursor Vapor Deposition)”的共同待审的美国临时专利申请62/240,812的优先权,还要求了Cardineau等人于2月19日提交的名称为“用于有机锡氧化物氢氧化物光刻胶膜的前体组合物(Precursor Compositions for Organotin OxideHydroxide Photoresist Films)”的共同待审的美国临时专利申请62/297,540的优先权,这两个申请通过引用并入本文。
技术领域
本发明涉及可经涂布和经原位水解以形成包含有机锡氧化物氢氧化物的涂层的前驱物组合物。本发明进一步涉及辐射敏感有机锡氧化物氢氧化物涂层,其可用UV光、EUV光或电子束辐射来有效地图案化以形成具有低线宽粗糙度的高分辨率图案。
背景技术
对于基于半导体的器件以及其他电子器件或其他复杂精细结构的形成,材料通常经图案化以整合结构。因此,通常通过依序沉积的迭代过程和蚀刻步骤(图案化通过该等步骤由各种材料形成)来形成该结构。以这种方式,可将大量器件形成至较小区域中。本领域中的一些发展可涉及减小器件的占据面积,其对于提高效能是令人满意的。
可将有机组合物用作辐射图案化抗蚀剂以使得使用辐射图案来更改与图案对应的有机物组合物的化学结构。举例而言,用于图案化半导体晶片的过程可能需要从有机辐射敏感材料的薄膜微影转印所需的影像。抗蚀剂的图案化通常涉及若干步骤,该等步骤包括将抗蚀剂暴露于所选能量源(诸如通过光罩)以记录潜影,且接着显影并移除所选抗蚀剂区域。对于正抗蚀剂,转换暴露的区域以使此类区域可选择性地移除,而对于负抗蚀剂,未暴露区域更易于移除。
一般而言,可采用辐射、反应气体或液体溶液来显影图案以移除抗蚀剂的选择性敏感部分,而抗蚀剂的其他部分充当保护性耐蚀刻层。液体显影剂对显影该潜影可尤其有效。可通过保护性抗蚀剂层的其余区域中的窗或空隙选择性地蚀刻基板。替代性地,可通过保护性抗蚀剂层的剩余区域中的显影窗口或空隙将材料沉积至底层基板的暴露区域中。最后,移除保护性抗蚀剂层。可重复该过程以形成图案化材料的额外层。可使用化学气相沉积、物理气相沉积或其他所需方法来沉积该材料。可使用额外处理步骤,诸如沉积导电材料或注入掺杂剂。在微米和纳米制造的领域中,集成电路的特征大小已变得极小以获得高整合密度并改良电路功能。
发明内容
在第一方面中,本发明涉及一种涂层溶液,其包含有机溶剂、第一有机金属组合物和具有可水解配位体金属键的金属化合物。在一些实施例中,第一有机金属组合物可由下式表示:式RzSnO(2-(z/2)-(x/2))(OH)x,其中0<z≤2且0<(z+x)≤4;式RnSnX4-n,其中n=1或2,或其混合物,其中R为具有1至31个碳原子的烃基,且X为具有可水解M-X键的配位体。可水解金属化合物可由式MX'n表示,其中M为选自元素周期表的第2族至第16族的金属,X'为具有可水解M-X'键的配位体或其组合,且n由金属价态和配位体电荷决定。
在另一方面中,本发明涉及一种涂层溶液,其包含有机溶剂,相对于总金属含量至少约10摩尔百分比的第一有机金属组合物,且相对于总金属含量至少10摩尔百分比的第二有机金属组合物。在一些实施例中,第一有机金属组合物可由下式表示:式RzSnO(2-(z/2)-(x/2))(OH)x,其中0<z≤2且0<(z+x)≤4;式RnSnX4-n,其中n=1或2,或其混合物,其中R为烃基且Sn-X为可水解化学键。第二有机金属组合物可由式R'ySnX'4-y表示,其中y=1或2,其中R'为与R不同的烃基,且X'为与X相同或不同的具有可水解Sn-X'键的配位体。
在另一方面中,本发明涉及于一种用于形成可辐射图案化涂层的方法,该方法包含将基板上的前驱物涂层暴露于水蒸气,其中该前驱物涂层包含第一有机金属组合物和第二可水解组合物。第一有机金属组合物可由下式表示:式RzSnO(2-(z/2)-(x/2)(OH)x,其中0<z≤2且0<(z+x)≤4;或R'nSnX4-n,其中n=1或2,且R和R'独立地为具有1至31个碳原子的烃基。第二可水解组合物可为:由式R”ySnX'4-y表示的第二有机金属组合物,其中y=1或2且R”与R'不同且X'为与X相同或不同的具有可水解Sn-X'键的配位体;或无机组合物MLv,其中v为2≤v≤6且L为具有与X和X'相同或不同的可水解M-L键的配位体。在一些实施例中,暴露步骤导致前驱物涂层化合物水解以形成涂层,该涂层包含((R或R')aR”b)SnO(2-((a+b)/2)-(w/2))(OH)w,其中0<(a+b)≤2且0<(a+b+w)<4;或包含y((R或R')aR”b)SnO(2-((a+b)/2)-(w/2))(OH)w·zMO((m/2)-l/2)(OH)l,其中0<(a+b)≤2,0<(a+b+w)<4,m=Mm+的形式价态(formal valence),0≤l≤m,y/z=(0.05至0.6),且M=M'或Sn,其中M'为周期表的第2族至第16族的非锡金属。
在额外方面中,本发明涉及一种用于形成包含具有金属阳离子的金属氧代-羟基(oxo-hydroxo)网状结构(其具有带有金属碳键和金属氧键的有机配位体)的可辐射图案化涂层的方法,该方法包含分别将以下各者输入至经封闭与环境氛围隔离的沉积腔室中:第一前驱物蒸气,其包含化合物RnSnX4-n,其中n=1或2,其中R为具有1至31个碳原子的烃基,且X为可水解或可氧化配位体;和第二前驱物蒸气,其包含含有能够在沉积腔室中的条件下水解或氧化的第一前驱物蒸气的氧气,以形成水解或氧化的组合物。一般而言,基板可配置有一表面以接收水解或氧化的组合物。
在其他方面中,本发明涉及包含具有表面和表面上的涂层的基板的涂布基板,该表面包含由y(RzSnO(2-(z/2)-(w/2))(OH)w·z MO((m/2)-l/2)(OH)l表示的有机金属组合物,其中0<z≤2,0<(z+w)≤4,m=Mm+的形式价态,0≤l≤m,y/z=(0.05至0.6),且M=M'或Sn,其中M'为周期表的第2族至第16族的非锡金属,且R为具有1至31个碳原子的烃基。
另外,本发明涉及基板和辐射敏感涂层,该涂层包含具有不超过约6.125mJ/cm2的成胶凝剂量(dose-to-gel)(Dg)的烷基金属氧化物氢氧化物。
此外,本发明涉及一种沿表面包含无机半导体层和辐射敏感涂层材料的基板。在一些实施例中,可用波长为13.5nm的EUV光以32nm间距的16nm线的图案来图案化辐射涂层材料以利用约8mJ/cm2至约25mJ/cm2的剂量和不超过约4nm的线宽粗糙度达成16nm的临界尺寸。该辐射敏感涂层材料可包含金属(诸如Sn),且可包含至少5重量百分比的金属,且在其他实施例中至少20重量百分比的金属。
附图说明
图1为具有潜影的辐射图案化结构的示意性透视图。
图2为图1的结构的侧视平面图。
图3为在显影潜影以移除未辐射涂层材料以形成图案化结构后的图1的结构的示意性透视图。
图4为图3的图案化结构的侧视图。
图5为在显影潜影以移除辐射涂层材料以形成图案化结构后的图1的结构的示意性透视图。
图6为图5的图案化结构的侧视图。
图7为形成于具有由56mJ/cm2的EUV剂量形成的16.7nm的线间距的基板上的规则图案的扫描电子(SEM)显微图。
图8为暴露和显影后的薄膜厚度随EUV剂量而变化的曲线图,所述EUV剂量由如本文中所描述的辐射抗蚀剂涂布的基板以原位水解的阶梯剂量暴露的直径为500微米的50个环形垫形成。
图9为具有两个FTIR光谱的曲线图,比较了涂层中基于溶液的水解与原位水解所形成的薄膜。
图10为涉及用于在原位水解之前在辐射敏感涂层中由三种不同量的Sn(NMe2)4所形成的涂层的剂量的函数的一组EUV对比度曲线图。
图11为由指示组合物和辐射剂量形成的五种图案化涂层的一组SEM显微图。
图12为线宽粗糙度(LWR)随6种抗蚀剂组合物的达到大小的剂量(dose-to-size)而变化的曲线图,该组合物基于在波长为13.5nm的EUV光下以32nm间距上的16nm线的图案化与达到大小的剂量的值来获得16nm的临界尺寸。
图13为涉及由不同量甲基配位体作为辐射敏感基团所形成的5个涂层的剂量的函数的一组EUV对比度曲线图。
图14为空间临界尺寸随用于具有三种不同量甲基配位体的涂层的辐射剂量而变化的一组曲线图。
图15为由不同EUV辐射剂量所形成的图案的三种SEM显微图集合。
具体实施方式
可使用具有所选比率的辐射敏感烷基-Sn键和/或选定量的不含辐射敏感配位体的锡前驱物的有机锡图案化组合物获得较低辐射剂量下的改良的图案化性能,且可使用前驱物组合物的原位溶剂分解(例如,水解)来达成可辐射图案化涂层的改良处理。可辐射图案化涂层通常包含RzSnO(2-(z/2)-(x/2))(OH)x组合物,其中0<z≤2且0<(z+x)<4,且R为辐射敏感烷基配位体,在一些实施例中,当由所选量的SnX4前驱物化合物形成以修改整个组合物之z值时,其可展现改良的低剂量辐射图案化。使用原位水解允许通过基于溶液的处理有效使用前驱物组合物,其将难以或不可能通过直接溶解和沉积烷基锡氧代-羟基组合物来达成。如本文中所描述,通过原位溶剂分解来改良处理以形成可图案化RzSnO(2-(z/2)-(x/2))(OH)x组合物。作为基于溶液处理的替代方案,气相沉积可适用于沉积某些前驱物涂层以形成有机锡氧化物氢氧化物前驱物。图案化组合物特别适用于呈减少剂量的EUV图案化,且可获得用于较小特征的低线宽粗糙度。
当沉积为较薄涂层且暴露于紫外线(UV)、极远紫外线(EUV)或电子束辐射且用合适溶剂显影时,已发现作为图案化材料(通常称为光阻)的具有通式RzSnO(2-(z/2)-(x/2))(OH)x(其中0<(x+z)<4且z>0)的有机锡氧化物氢氧化物提供极佳性能。前述操作已表明有机锡氧化物氢氧化物可为稳定前驱物溶液的形成提供基础,该稳定前驱物溶液可形成提供良好辐射吸收和显影速率对比的抗蚀剂层。有效地将有机锡组合物用作负抗蚀剂或正抗蚀剂。用于EUV和电子束抗蚀剂的化合物的效果描述于Meyers等人的名称为“基于有机金属溶液的高分辨率图案化合成物(Organometallic Solution Based High Resolution PatterningCompositions)”的美国专利9,310,684B2中,该专利以引用的方式并入本文中。基于当前的合成方法,将这些化合物延伸以延伸至(x+z)=4的值以使得0<(x+z)≤4似乎为合适的。发现具有烷基氧化锡氢氧化物组合物的支链烷基配位体和掺合物(blends)的改良图案化性能描述于Meyers等人的名称为“基于有机金属溶液的高分辨率图案化组合物及其对应方法(Organometallic Solution Based High Resolution Patterning Compositions andCorresponding Methods)”的公布美国专利申请2016/0116839A1(以下称作'839申请)中,该申请案以引用的方式并入本文中。
前文参考文献描述由涂布前驱物溶液沉积有机锡氧化物氢氧化物光阻膜,该前驱物溶液含有RzSnO(2-(z/2)-(x/2))(OH)x组合物,该组合物由预水解一种或多种RnSnX(4-n)组合物(其中n=1或2)、分离和纯化有机锡水解产物,且将氧化物氢氧化物溶解于适合的溶剂或其混合物中制备。然而,预水解的有机锡氧化物氢氧化物的溶解和涂布可能对通过避免一或多种水解产物的不佳可溶性所要求的可获取的配位体识别和化学计量,以及用于具有引入不适宜污染物的可能性的一些实施例的复杂水解过程具有实质性限制。此外,即使可溶性抗蚀剂前驱物溶液可由有机锡氧化物氢氧化物前驱物组合物来制备,也可以需要非所需的溶剂,或可使薄膜形态受损。
已发现可通过制备由溶解于合适溶剂或溶剂的混合物中的一或多种适合的RnSnX(4-n)化合物组成的抗蚀剂前驱物溶液来克服多个这些限制,其中X为具有可水解Sn-X键的配位体。若该前驱物RnSnX(4-n)与水蒸气充分反应,则其可进行原位-M-X水解且在水存在下缩合以产生如以下通用反应中所说明的相应的氧化物氢氧化物:
RnSnXx+x H2O→RnSn(OH)x+x HX
RnSn(OH)x→RnSnO(2-(n/2)-(x/2))OHx+(x/2)H2O
其中(0<(x+z)≤4)。因此,通过使用包含RnSnX(4-n)化合物之涂层溶液,可以在切实可行的步骤中将较大范围的RzSnO(2-(z/2)-(x/2))(OH)x组合物形成为光阻涂层。在这些方法中,R-Sn部分水解和缩合过程至少部分得以保留,且所得薄膜具有M-C和M-O键。
在原位水解过程中的一个实施例中,将前驱物RnSnX(4-n)溶解于溶剂中,视情况在水蒸气(诸如潮湿空气)存在下直接涂布于基板上以产生涂层,且接着在水蒸气存在下另外地或替代地进一步烘烤以形成有机锡氧化物氢氧化物涂层。因此,在涂层沉积期间和/或在预图案化烘烤步骤期间可存在用于水解的水蒸气以进行原位水解。另外,通过将多种RnSnX(4-n)化合物(其中n=0、1或2)(诸如SnX4、RSnX'3、R'SnX”3和R”2SnX2,其中R、R'和R”相同或不同且X、X'和X”相同或不同)掺合于适合的溶剂中,所选化学计量范围在0<z≤2且0<(x+z)≤4中的RzSnO(2-(z/2)-(x/2))(OH)x薄膜可易于沉积。类似地,可通过此方法以类似方式制备包含具有一种或多种不同有机锡氧化物R'bSnO(2-(b/2)-(a/2))(OH)a(其中0<(a+b)<4且b>0)的有机锡氧化物氢氧化物RzSnO(2-(z/2)-(x/2))(OH)x(其中0<(x+z)<4且z>0)且其中R'≠R的混合物的混合配位体水解产物。可将可水解RnSnX(4-n)和R'bSnX(4-b)化合物溶解于常用溶剂或溶剂的混合物中且旋涂于用于原位水解的基板上。在两种情况下,适合分子有机锡前驱物化合物的高可溶性和快速水解有利地避让目标有机锡氧化物氢氧化物水解产物的潜在的可溶性限制且消除对复杂和敏感合成步骤的需求以异地分离水解且部分缩合的抗蚀剂前驱物。以这种方式,可显著地简化抗蚀剂前驱物制备且允用具有改良性能的所需组合物。
在另一实施例中,许多分子RnSnX(4-n)化合物的相对较高的蒸气压和反应性使得能够使用用于沉积有机锡氧化物氢氧化物薄膜光阻的气相沉积法。可能的沉积法包括,例如物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)或其变形。举例而言,可将一或多种气态RnSnX(4-n)化合物引入至反应腔室并使其在气相中或在基板表面上与诸如H2O的共前驱物或其相关的分解产物反应,由此产生辐射敏感有机锡氧化物氢氧化物涂层。如果通过后续水解反应将该可水解化合物沉积于表面上,则可将此过程视为具有原位水解的PVD沉积,但若该水解发生在持续沉积过程期间,则可将其视为CVD过程。同样,若在基板表面上依序吸附、化学吸附或分解该可水解前驱物,且残余薄膜通过多个沉积/反应循环与第二反应性前驱物反应以沉积相应有机锡氧化物氢氧化物,则其可视为ALD过程。气相沉积法的优点可包括减小的抗蚀剂膜缺陷密度、改良的厚度及组合均匀度以及基板表面构形的保形和侧壁涂层。
已发现包括例如成影剂量、最终分辨率和线宽粗糙度(LWR)的有机锡氧化物氢氧化物光阻性能将取决于光阻涂层的组合物。对于具有组合物RzSnO(2-(z/2)-(x/2))(OH)x(其中0<(x+z)≤4且z>0)的这些光阻薄膜而言,辐射敏感配位体R的标识以及由z表示之R:Sn化学计量均为显著变量。一般而言,光阻薄膜可包含足量辐射敏感配位体R,以使得该薄膜具有约0.1至约2的辐射敏感配位体与金属阳离子(z)的摩尔浓度比。具有在此范围中的配位体比率的有机锡氧化物氢氧化物抗蚀剂薄膜可通过以合适化学计量预水解多种RnSnX(4-n)前驱物(其中z=1或2),并将所得水解产物溶解于经受可溶性和稳定性限制的涂层溶剂中来制备。某些化学计量,尤其0.1<z<1的那些,已发现光阻组合物展现有利的光阻特性。然而,对于z<1的光阻组合物而言,前述处理限制可为繁琐的,因为无机SnO(2-(x/2))(OH)x水解产物(z=0)的可溶性在有机溶剂中通常极低,超出有助于共同水解并集群缩合特异性有机锡RSnX3或R2SnX2部分的极其受限的条件的范围。此外,即使当已识别这些条件且将水解产物分离并溶解时,相对于用于如EUV光阻的操作的所需数值,前驱物溶液稳定性、化学计量、配位体标识和溶剂可不利地受限。
可通过迅速地将可水解SnX4化合物直接添加至前驱物涂层溶液且在水或氧和氢的另一适合来源存在下焙烤来克服这些处理及组合限制,所述前驱物涂层溶液含有一或多种预水解的有机锡氧化物氢氧化物,或选择以进行实质上完全水解且随后缩合以及涂层上的HX副产物挥发的一或多种RSnX3和/或R2SnX2化合物。以这种方式,前驱物涂层溶液和光阻膜中的多个辐射敏感配位体的标识和相对化学计量均可跨越广泛范围的配体与金属阳离子的总比率独立地受放宽的溶液稳定性和可溶性限制以及简化的前驱物合成的控制。因此,可将适当选择的SnX4组合物并入至前驱物混合物或过程中以使得有机锡氧化物氢氧化物能够与相当的组合物进行气相沉积。
通过放宽具有M-C和M-O键的有机金属化合物的固有稳定性和可溶性限制,也可将替代金属物质添加至呈MX'n形式的前驱物涂层溶液或反应气体混合物中,其中M为选自第2族至第16族金属的金属阳离子,且n由金属阳离子的价数及配位体电荷来确定,但通常为3至6。当M≠Sn时,X'配位体可与用于相同制剂中的RnSnX(4-n)化合物中的X相同或不同。在两种情况下,这些配位体和MX'n取决于相似条件;在H2O和来自氧化物氢氧化物薄膜的X(X')配位体水解产物的扩散和挥发存在下,快速且基本上完全水解。以这种方式并入至有机锡氧化物氢氧化物涂层中的替代金属阳离子可有利于调谐辐射吸收、薄膜密度、金属配位体热分解、较佳显影剂的显影速率或其他所需光阻特性。
此前已发现存在于有机锡氧化物氢氧化物抗蚀剂膜中的多个R-Sn部分的标识和相对化学计量以提供如‘839申请中所描述的改良图案化性能。尽管至少部分使用溶解于溶剂中的预水解的有机锡氧化物氢氧化物化合物可获得本文中所描述的支链烷基配位体和相关掺合组合物,但已在适合于商业用途的切实可行处理的情形下发现关于配位体标识和化学计量的明显的限制。许多这些限制与水解产物可溶性相关联。尽管一些单有机锡水解产物(诸如正丁基锡氧化物氢氧化物(n-butyltin oxide hydroxide))在大范围的有机溶剂中具有极佳可溶性,但难以再生和/或控制通常不能充分溶于有用的溶剂和/或所需溶液浓度的单叔丁基锡部分的水解产物(例如,tBuSnO(3/2-(x/2))(OH)x,其中(0<x<3))。如'839申请中所展现的,尽管有可能用甲醇和由其衍生的溶剂掺合物来制备tBuSnO(3/2-(x/2))(OH)x的溶液,但甲醇的挥发性、闪燃点及毒性使其无法成为供半导体制造使用的所需溶剂。此外,较低最大浓度限制了可获得的薄膜厚度范围和可能的掺合前驱物制剂和涂层的组合物。在下文的实施例中避免这些限制,其中在水蒸气存在下,通过旋涂tBuSn(NEt2)3于4-甲基-2-戊醇中的溶液来展现高性能的tBuSnO(3/2-(x/2))(OH)x光阻薄膜。
类似地,单甲基锡部分的水解产物(例如,MeSnO(2-(z/2)-(x/2))(OH)x)的较低可溶性限制制剂和涂层的薄膜厚度和组合物范围。然而,通过制备包含可易于水解且高度可溶的MeSnX3化合物的抗蚀剂前驱物溶液,已沉积包含具有tBuSnO(3/2-(x/2))(OH)x的掺合制剂中的所得甲基-锡氧化物氢氧化物的抗蚀剂薄膜并发现其提供优越的微影性能。显著地,使用本文中所揭示的方法和前驱物溶液,抗蚀剂前驱物溶液溶剂限制实质上得以放宽,且可更加容易地调整抗蚀剂膜化学计量以达成适用的微影特性。因此可通过混合多种可水解有机锡化合物RnSnX(4-n)+R'zSnX'(4-z)+R”aSnX”(4-a)+...(其中0≤(n、z、a)≤2且n、z、a等中的至少一者>0)来获取所需光阻前驱物溶液和包含有机锡部分(其具有呈关于彼此的大范围摩尔比的有机配位体(R、R'、R”等))及金属阳离子的混合物的后续薄膜组合物。
替代地,可将所选的RnSnX(4-n)化合物(其中n=0、1或2)添加至前驱物涂层溶液,该溶液含有溶解于合适溶剂中的一种或多种独立合成的有机锡氧化物氢氧化物水解产物。因此,所添加的RnSnX(4-n)化合物可在暴露于水蒸气或氢氧化物部分时水解,从而在涂布和烘烤步骤期间与起始有机锡氧化物氢氧化物缩合以形成具有烷基配位体与金属比率的涂层,该比率最初由前驱物涂层溶液中的前驱物化合物的化学计量来决定。
前述化合物中具有可水解Sn-X键的配位体(X)的选择对于溶合、涂布和成功的原位水解的效果而言是重要的。合适的配位体应在无路易斯(Lewis)酸存在下与Sn形成稳定的键且通常为快速与酸性质子反应以产生易于从缩合氧化物氢氧化物薄膜上解吸附或挥发的物质的物质,由此减少空隙、区域分隔或其他不均匀性。对于RnSnX(4-n)化合物而言,X可为单个独特的配位体,然而在某些实施例中,其可指多种不同配位体的组合,例如RnSnX1 aX2 bX3 cX4 d,其中a+b+c+d-n=4,且0≤n≤2。此类型的化合物的实例为:tBuSn(NEt2)2(OtBu)tBuSn(NEt2)(NH2)(OtBu)、tBuSn(NEt2)(OtBu)2、MeSn(NEt2)(OtBu)2、MeSn(NEt2)2(OtBu)、(tBu)2Sn(NEt2)(OtBu)、Me2Sn(NEt2)(OtBu)、(Me)(tBu)Sn(NEt2)2、(Me)(tBu)Sn(NEt2)(OtBu)、(iPr)(tBu)Sn(NMe2)(OtBu)及其混合物。
可部分由烃基配位体R的标识、其他可水解配位体和化学计量比率(R:Sn)来判定-X配位体的选择,因为就空间(动力学)和静电(热力学)效果二者而言,关于水解或溶剂分解的给定Sn-X部分的反应性将由金属周围的总配位体环境来修改。
已发现包含一种或多种RnSnX(4-n)化合物(其中-X为短链脂族二烷基酰胺-NR'2或烷氧化物-OR'配位体且其中R'含有<10个碳原子)的制剂尤其适用于这些应用。当在涂布和烘烤过程期间暴露于大气湿度时,这些材料快速水解并与如上文所描述的其他有机锡前驱物组分缩合,从而释放挥发性二烷基胺和醇类并形成具有极佳光阻性能的有机锡氧化物氢氧化物。此类型的其他适用配位体包括:酰胺基、烷基酰胺基、二烷基酰胺基、烷基氧代基(alkoxo)、芳基氧代基(aryloxo)、叠氮基、酰亚胺基和本领域技术人员已知的其他配位体。
在一些实施例中,例如,将有机锡二烷基酰胺溶解于的质子溶剂(诸如醇类)中,锡前驱物化合物可与溶剂反应。当溶剂为醇类或类似反应物时,通过诸如醇解的溶剂分解可出现如下反应中所说明的完全或部分配位体复分解。
RnSn(NR'2)(4-n)+(4-n)R”OH→RnSn(OR”)(4-n)+(4-n)HNR'2。 (2)
假如产物锡物质(诸如锡(IV)烷氧化物、RnSn(OR”)(4-n))具有关于水反应性、水解副产物挥发性、扩散性和本文中所论述的其他特质的必需特质以在适当潮湿的环境中涂布和烘烤后产生合适的氧化物氢氧化物薄膜,则反应式2中的此类溶剂分解和复分解反应为预期的和可接受的以及潜在地甚至有益的。
本文中所描述的改良前驱物为基于合理的涂层溶液和原位水解的可图案化涂层的组合物开启更多的可能性。原位水解提供作为基于处理的溶液的合适替代物的一系列气相沉积方法的能力。通过该能力调整具有辐射敏感配位体的可图案化涂层的组合物,已达成具有更低辐射剂量和良好图案质量的改良图案化。
前驱物组合物
用于形成抗蚀剂涂层的前驱物组合物通常包含具有合适辐射敏感烃基稳定配位体和具有经选择用于处理的可水解Sn键的额外配位体的锡阳离子。为处理成可图案化涂层,通常将前驱物组合物形成为具有溶剂的溶液,通常可由溶液涂布或基于蒸气的沉积过程将有机溶剂形成为涂层。最终抗蚀剂涂层是基于金属氧化物的化学反应,且具有烷基配位体的锡阳离子的前驱物溶液提供具有良好抗蚀剂特性的稳定溶液。通常选择前驱物溶液的配位体以促进溶液形成和相关处理功能。如上文所提及,可将具有可水解Sn键的配位体的前驱物组合物引入至前驱物溶液中以改良可形成为稳定溶液的组合物的范围,该稳定溶液预期后续水解可为可图案化涂层提供有机锡氧化物氢氧化物材料。已发现具有烷基配位体的掺合物(通常具有至少一个支链烷基配位体)的组合物提供所需图案化特性。
烷基配位体提供辐射敏感性,且相对于金属的配位体和化学计量的特定选择可影响辐射敏感性。此外,前驱物溶液可设计以基于金属阳离子以及相关联配位体的选择针对所选辐射能量达成所需辐射吸收水平。尽管以上论述概述适合于本文中所描述的改良处理的前驱物组合物范围的重要细节,但更多细节呈现于用于原位水解的烷锡酰胺基/烷氧基前驱物组合物的用途上。如上文所提及,描述了可以在所需溶剂中提供改良的可溶性和对辐射敏感涂层具有良好的可处理性的各种化合物。通过涉及具有一些蒸气水解/氧化反应物的至少一些原位水解的新类别的前驱物来可能制造大范围的前驱物改造,以形成可辐射图案化涂层。
一般而言,前驱物溶液可包含:
a1R1 z1SnO(3/2-z1/2-x1/2)(OH)x1+a2R2 z2SnO(3/2-z2/2-x2/2)(OH)x2+...+b1R1'y1SnX1 4-y1+b2R2'y2SnX2 4-y2+...+c1SnX1'4+c2SnX2'4+...+d1M1X1n1、d2M2X2n2+..., (1)
其中a1+a2+...+b1+b2+...+c1+c2+...+d1+d2+...=1,亦即,这些参数对应于溶液中的前驱物组合物中的金属的摩尔分数,(0≤(a1、a2、...)≤0.99)、(0≤(b1、b2、...)≤1)、(0≤(c1、c2、...)≤0.6)、(0≤(d1、d2、...)≤0.5),其中0.01<(b1+b2+...+c1+c2+...),R(R1、R2、…)和R'(R1'、R2'、…)独立地为烃基或其组合,X(X1、X2、...)、X'(X1'、X2'、…)和X”(X1”'、X2”、…)独立地为具有相关金属的可水解键的配位体或其组合,M1、M2、...为非锡金属离子,(0<(x1、x2、...)<3)、(0<(z1、z2、...)≤2)、(1<(y1、y2、...)≤3),且n1、n2、...是由M1、M2、...离子的价数和X1”、X2”…上的电荷来决定。一般而言,M为第2族至第16族金属,且对于诸多金属而言,n的范围在2至6之间。M的所需金属可包括Hf、Zr、W、Ta、Co、Ni、In、Sb、Bi、Te或其他。代表性的适合ML”n化合物包括,例如Zr(OtBu)4、Hf(NMe)4、In(OiPr)3和Sb(OEt)3,该等化合物可购自Sigma-Aldrich、Alfa Aesar、Gelest、StremChemical及其他供货商。在一些实施例中,全部“a”参数值为零,以使得全部配位体是原位水解的。在另外的实施例中,0.1≤(a1、a2、...)≤0.90,或0.2≤(a1、a2、...)≤0.85或0.25≤(a1、a2、...)≤0.75。在一些实施例中,0.25≤(b1、b2、...)≤1或0.3≤(b1、b2、...)≤0.95或0.35≤(b1、b2、...)≤0.9。在额外实施例中,0≤(c1、c2、...)≤0.4或0.025≤(c1、c2、...)≤0.4或0.05≤(c1、c2、...)≤0.35或0.1≤(c1、c2、...)≤0.3,且0≤(d1、d2、...)≤0.5或0.025≤(d1、d2、...)≤0.4或0.05≤(d1、d2、...)≤0.3。本领域技术人员将认识到,本发明上述明确范围内的“a“、“b”、“c”和“d”参数的额外范围涵盖且在本发明内。如本文所使用,符号“<”和“≤”隐含地携带相应范围限制的概念为“约”的在实验误差内的指定值。
概言之,前驱物组合物可包含一种或多种化合物,该化合物中至少一种具有可水解金属键的配位体且一种或多种具有烃基配位体以提供辐射敏感性。通常将组合物改造为可使用适合有机溶剂处理以形成如以下部分中所描述的前驱物溶液。前驱物通常经改造以提供所需图案化特性以及良好的可处理性。
在一些实施例中,前驱物组合物可包含具有不同烃基配位体的两种有机锡化合物、具有不同烃基配位体的三种有机锡化合物或具有不同烃基配位体的多于三种有机锡化合物的混合物。此外,前驱物组合物可包含无金属碳键的化合物和有具有金属碳键的辐射敏感烷基配位体的一或多种化合物的混合物。通常,对于第二或第三混合物而言,该混合物包含至少约5摩尔百分比的具有不同烃基配位体的各组分、在一些实施例中为至少约10摩尔百分比,且在另外的实施例中为至少约20摩尔百分比的具有不同烃基配位体的各组分。本领域技术人员将认识到,上述明确范围内的组分的摩尔百分比的额外范围涵盖且在本发明内。
在一些实施例中,前驱物组合物包含具有烃基配位体的R-Sn部分和无直接连接至金属的烷基配位体的无机金属SnX4或MXn化合物的混合物。通常,这些混合物包含至少约0.5摩尔百分比的各金属组分,在一些实施例中为至少约5摩尔百分比,且在另外的实施例中为至少约10摩尔百分比的各组分。本领域技术人员将认识到,上述明确范围内的混合物组分的额外范围涵盖且在本发明内。前驱物组合物的组分可并入溶液中且在(例如)形成涂层的前不分别形成为固体掺合物。
无论是否存在一种或多种不同烃基配位体,R基团可为直链、支链(即,金属连结的仲碳原子或叔碳原子)或环状烃基。各R基团通常独立地具有1至31个碳原子,其中对于键合的仲碳原子为3至31个碳原子,且对于键合的叔碳原子的实施例为4至31个碳原子,例如,甲基、乙基、丙基、丁基和支链烷基。具体地,当化合物在另一表述中可由R1R2R3CSnX3表示时,支链烷基配位体是所需的,其中R1和R2独立地为具有1至10个碳原子的烷基,且R3为氢或具有1至10个碳原子的烷基。在一些实施例中,R1和R2可形成环烷基部分,且R3也可接合环状部分中的其他基团。适合的支链烷基配位体可为,例如异丙基(R1和R2为甲基且R3为氢)、叔丁基(R1、R2和R3为甲基)、叔戊基(R1和R2为甲基且R3为-CHCH3)、仲丁基(R1为甲基、R2为-CHCH3且R3为氢)、环己基、环戊基、环丁基和环丙基。适合的环状基团的实例包括,例如,1-金刚烷基(-C(CH2)3(CH)3(CH2)3或在叔碳处键结至金属的三环(3.3.1.13,7)癸烷)和2-金刚烷基(-CH(CH)2(CH2)4(CH)2(CH2)或在仲碳处键结至金属的三环(3.3.1.13,7)癸烷。在其他实施例中,烃基可包括芳基或烯基,例如苯甲基、烯丙基,或炔基。在其他实施例中,烃基配位体R可包括单独由C和H组成且含有1至31个碳原子的任何基团。举例而言:直链或支链烷基(iPr、tBu、Me、nBu)、环烷基(环丙基、环丁基、环戊基)、烯烃(烯基、芳基、烯丙基)或炔基或其组合。在另外的实施例中,适合的R-基团可包括被杂原子官能基(包括氰基、硫基、硅烷基、醚、酮、酯或卤化基团或其组合)取代的烃基团。
具有所需配位体结构的一些适合的金属组合物可购自商业来源,诸如Alfa Aesar(MA,USA)和TCI America(OR,USA),且可如下文所描述来合成其他金属配位体组合物。可基于使用适当地受污染较低的起始材料和合适的纯化,使用本文中所描述的方法来合成低金属污染物前驱物组合物。
使用具有支链烷基配位体的前驱物化合物获得所需图案化结果。但配位体选择的更全面优势已经由使用混合的烷基配位体达成,这是因为可通过本文中的教示由掺合所提供的实例中所说明的多种烷基配位体来获得由不同配位体赋予的个别有利图案化特性(诸如剂量和线宽粗糙度)。采用原位水解的前驱物的处理为有效使用锡化合物提供了基于所要溶剂的前驱物溶液中的甲基配位体。具有叔丁基配位体和甲基配位体的混合物的有效图案化以及包含具有叔丁基配位体的可水解化合物和可水解SnX4化合物(X=NMe2或X=OtBu)的混合物的前驱物在以下实例中描述。
已发现对于基于对应个别前驱物化合物的辐射剂量的具有不同烷基配位体的前驱体化合物的混合物而言,辐射固化量可大致成直线地缩放。归因于可与支链烷基配位体一起使用的较低辐射剂量,其对于混合物而言通常为所需的,以包含至少一种支链有机配位体。但相应地,已发现可用具有不同有机配位体的前驱体化合物的混合物来改良线宽粗糙度。尽管不希望受理论限制,针对混合物组合物所观测到的改良线宽粗糙度数值有可能可归因于用于混合物组合物的促进蚀刻而图案中的对比度无明显减少。在此情形下,观测可延伸至含有携有支链或非支链烷基的有机锡化合物的组合的混合物组合物。
X、X'和X”配位体通常为可通过M-X、M-X'和M-X”键的水解或溶剂分解适当地与水或其他路易斯酸的酸性质子反应以形成易挥发产物的路易斯碱。可替代地,这些配位体可通过氧化或还原反应与合适的反应剂反应以形成易挥发产物。配位体通常可由其共轭酸的酸解离常数(pKa)来分类,其中一些实施例的所需配位体具有大于约4的共轭酸pKa。因此,X、X'和X”通常包含结合至可进行涉及H2O和-OH的亲核取代的金属(例如,锡)的原子。所得M-OH或M-OH2配位体可接着通过后续缩合或脱水步骤反应以形成氧化物氢氧化物网状结构。
适合的配位体包含:烷基酰胺基或二烷基酰胺基(-NR1R2,其中R1和R2独立地为具有1至10个碳原子的烃基或氢);硅氧代基(siloxo)(-OSiR1R2R3,其中R1、R2独立地为具有1至10个碳原子的烃基);硅烷基酰胺基(-N(SiR1 3)(R2),其中R1和R2独立地为具有1至10个碳原子的烃基);二硅烷基酰胺基(-N(SiR1 3)(SiR2 3),其中R1和R2独立地为具有1至10个碳原子的烃基);烷基氧代基和芳基氧代基(-OR,其中R为具有1至10个碳原子的烷基或芳基);叠氮基(-N3);炔基(-C≡CR,其中R为具有1至9个碳原子的烃基);酰胺基(-NR1(COR2),其中R1和R2独立地为具有1至7个碳原子的烃基或氢);脒基(-NR1C(NR2)R3),其中R1和R2独立地为具有1至8个碳原子的烃基或氢);亚胺基(-N(COR1)(COR2),其中R1和R2独立地为具有1至8个碳原子的烃基或氢)或其氟化类似物。
无机或有机金属材料中的金属可明显地影响辐射吸收。锡提供13.5nm处的极远紫外光的强吸收。与烷基配位体组合,金属也提供193nm波长处的紫外光的强吸收。锡也提供电子束辐射的良好吸收。所吸收的能量由金属有机物相互作用来调节,所述相互作用可导致切断金属配位体键和对材料特性的所需控制。尽管如此,可引入其他金属组合物以进一步影响吸收特性和整体抗蚀剂性能。如上文所提及的,通常引入如MXn的其他非锡金属,其中X为具有可水解金属键的配位体。
使用具有带有可水解金属键的配位体的前驱体化合物可简化前驱物溶液的制备,这是因为原位水解避免产生已定义的水解产物所需的诸多合成和分离步骤。具体地,有机锡氧化物氢氧化物水解产物的溶液相水解和后续缩合和分离可涉及在反应期间的显著的可溶性变化,使得避免基于该溶液的步骤避开潜在地困难过程步骤。在前驱物组合物的成分包含分别水解的组分的某种程度上,该特定组分可使用基于溶液的水解获得,诸如使用碱催化水性溶液,如'839申请中所描述的。通常可购买或由合适的起始材料合成具有具可水解金属键的配位体的组分(例如,由卤化锡组合物或四(二烷基酰胺基)锡组合物),如实施例中所描述的。
前驱物溶液形成和涂层特性
可基于前述部分中所描述的组合物来配置一系列前驱物溶液。前驱物组合物通常具有涉及一定程度的水解敏感金属配位体键的共性。对于具有充足蒸气压的前驱体化合物而言,可替代性地在涂层中进行原位水解或作为气相沉积过程的部分。用于溶液沉积的前驱物溶液通常包含锡阳离子和视情况选用的有机溶剂中的一或多种非锡金属阳离子。
可选择溶液中的配位体稳定的金属阳离子的浓度以提供用于特定溶液沉积方法的的适合溶液特性,所述方法诸如旋涂、狭缝涂布(slot coating)、浸涂、喷涂或喷雾涂布或打印,且设计以在移除至少部分溶剂后形成涂层组合物且在辐射和/或热处理、暴露于等离子体或类似过程后形成由锡氧化物主导的最终无机固体。
采用基于烷基稳定化配位体和有机溶剂的前驱物溶液,可将氧化的进展控制为用于首先将溶液处理成涂层材料且接着通过在涂布期间用环境水蒸气水解和缩合反应物和/或在涂布的后水解和缩合来处理成具有有机配位体的最终金属氧化物组合物的程序的部分。如本文所描述的,烷基配位体,尤其支链烷基配位体和/或呈相对于金属的特定化学剂量的烷基配位体的组合,可用以提供显著控制以将溶液处理成有效辐射抗蚀剂组合物。基于醇类的溶剂的处理可涉及具有可水解金属键的起始配位体的醇部分的或完全的取代烷氧基配位体,但这种取代可以不以任何明显的方式更改后续处理。
可基于锡离子摩尔浓度且可通过相对于锡的金属的摩尔分数值相应指定的任何其他金属的浓度来适宜地指定前驱物溶液浓度。一般而言,前驱物溶液包含约0.005M至约1.4M的锡阳离子,在另外的实施例中为约0.02M至约1.2M,且在额外实施例中为约0.1M至约1.0M的锡阳离子。前驱物溶液中的总的非锡金属通常可在总金属离子的约0.025摩尔%至约10摩尔%、且在另外的实施例中为在总金属离子的约10摩尔%至约50摩尔%的范围内。本领域技术人员将认识到,上述明确范围内的锡阳离子的额外范围涵盖且在本发明内。
一般而言,可将所需水解产物化合物溶解于有机溶剂中,例如,醇类、芳族和脂族烃、酯类或其组合中。具体地,适合的溶剂包括,例如,芳族化合物(例如,二甲苯、甲苯)、醚类(苯甲醚、四氢呋喃)、酯类(丙二醇单甲醚乙酸酯、乙酸乙酯、乳酸乙酯)、醇类(例如,4-甲基-2-丙醇、1-丁醇、甲醇、异丙醇、1-丙醇)、酮类(例如,甲基乙基酮)、其混合物等。一般而言,有机溶剂的选择可受其他处理材料的可溶性参数、挥发性、可燃性、毒性、黏度和可能的化学相互作用影响。在溶解且合并溶液的组分之后,由于部分的原位水解、水合作用和/或缩合,物质的特征可变化。当在本文中提及溶液的组合物时,参考是指添加至溶液的组分,由于复杂调配物可进行溶剂分解和配位体复分解,或在溶液中产生可能未良好表征的金属多核物质。对于某些应用而言,需要有机溶剂具有不低于约10℃的闪燃点、在另外的实施例中不低于约20℃,且在另外的实施例中不低于约25℃的闪燃点,和在20℃下不超过约10kPa、在一些实施例中不超过约8kPa,且在另外的实施例中不超过约6kPa的蒸气压。本领域技术人员将认识到,上述明确范围内的闪燃点和蒸气压的额外范围涵盖且在发明内。
可选择前驱物溶液中的物质的浓度以达成溶液的所需物理特性。具体的,更低的浓度总体而言可导致用于诸如旋涂的某些涂布方法的溶液的所需特性,所述方法可使用合理的涂布参数达成更薄的涂层。使用更薄的涂层以达成超精细图案化以及以减少材料成本可以为所需的。一般而言,可选择浓度以使其适用于所选的涂布方法。在下文中进一步描述涂层特性。
一般而言,可使用适合于形成的材料的体积的合适混合装置来较佳地混合前驱物溶液。适合的过滤可用于移除任何污染物或不适当溶解的其他组分。在一些实施例中,形成可经合并以组合形成前驱物溶液的单独溶液(separate solutions)可能是所需的。具体而言,可形成包含上文式(1)中所指示的化合物中的一种或多种的单独溶液。一般而言,可很好地混合单独溶液或合并溶液。可将所得溶液称作稳定的金属阳离子溶液。
可相对于与起始溶液相关的变化来评估前驱物溶液的稳定性。具体而言,若相分离伴随较大溶剂颗粒的产生而出现或若溶液失去其进行形成所需图案的能力,则溶液已失去稳定性。基于本文中所描述的改良的稳定方法,可在不进行额外混合的情况下稳定溶液至少约一周、在另外的实施例中为至少约2周、在其他实施例中为至少约4周。本领域技术人员将认识到,稳定时间的额外范围涵盖且在本发明内。通常可配制具有足够的稳定时间的适合溶液以使该溶液可在商业上发布为具有合适的保存期。
如本文所描述的,已研发供用于减少金属污染的处理方法。因此,可配制具有极低含量的非锡金属的前驱物溶液。一般而言,可将全部非故意的金属浓度分别减少至按重量计不超过约百万分之1(ppm)、在另外的实施例中按重量计不超过约十亿分之200(ppb)、在额外实施例中不超过约50ppb且在其他实施例中不超过约10ppb的值。在一些实施例中,可能需要添加其他金属元素以影响处理,且一般可由至少约1重量百分比且在一些实施例中至少约2重量百分比的含量来判定这些元素,且因此可区别于污染物金属(若适宜)。具体地,待减少的金属污染物包括碱金属和碱土金属、Au、Ag、Cu、Fe、Pd、Pt、Co、Mn和Ni。本领域技术人员将认识到,上述明确含量内的金属含量的额外范围涵盖且在本发明内。
产生前驱物溶液和具有低含量金属污染物的涂层的前述成果描述于'839申请中。使用用于水解的水蒸气提供大体上不含金属污染物的水解反应物,其可进一步有效地形成基于低含量污染物锡组合物的低含量污染物可图案化涂层。可商业地或通过纯化来获得具有低含量金属污染物的适合起始材料。
涂层处理和原位水解
可通过沉积和随后将前驱物溶液处理至所选基板上来形成涂层材料。使用本文中所描述的前驱物溶液,通常在涂布期间进行一些水解和缩合,且通过由诸如在空气中加热的后续处理步骤来完成或进一步后涂布。基板通常存在涂层材料可沉积于其上的表面,且该基板可包含多个层,其中该表面与最上层有关。在一些实施例中,可处理该基板表面以制备用于黏附涂层材料的表面。此外,可在适当时清洁和/或平整该表面。适合的基板表面可包含任何合理的材料。一些备受关注的基板包括,例如硅晶圆、二氧化硅基板、其他无机材料(诸如陶瓷材料)、聚合物基板(诸如有机聚合物)、其复合物和跨越表面和/或在基板的层中的其组合。尽管可使用任何合理的成形结构,但诸如相对较薄的圆柱体结构的晶圆可为适宜的。对于基于其低成本和灵活性的某些应用而言,非聚合物结构上的聚合物基板或具有聚合物层的基板会是所需的,且可基于可用于处理本文中所描述的可图案化材料的相对较低处理温度来选择适合的聚合物。适合的聚合物可包括,例如,聚碳酸酯、聚酰亚胺、聚酯、聚烯烃、其共聚物及其混合物。一般而言,需要具有平坦表面的基板,尤其是对于高分辨率的应用而言。然而,在特定实施例中,基板可具有大体的表面形态,其中抗蚀剂涂层意在填充或平面化用于特定图案化应用的特征。可替代地,使用本文中所描述的气相沉积法,现有表面形态和特征可用针对特定图案化应用的有机锡氧化物氢氧化物光阻进行保形涂布(conformally coated)。
一般而言,除本文中所公开的气相沉积过程以外,也可使用任何适合的溶液涂布过程以将前驱物溶液递送至基板。适合的涂布方法可包括,例如,旋涂、喷涂、浸涂、刀口涂布、打印方法(诸如喷墨打印和网板印刷)等。尽管当前可由打印等获得的分辨率明显比自如本文中所描述的基于辐射的图案化获得的分辨率的等级更低,但这些涂布方法中的一些也在涂布过程期间形成涂层材料的图案。
若使用辐射来进行图案化,则旋涂可为相对均匀地覆盖基板的所需方法,尽管可存在边缘效应。在一些实施例中,可以约500rpm至约10,000rpm,在另外的实施例中约1000rpm至约7500rpm,且在其他实施例中约2000rpm至约6000rpm的速率旋转晶圆。可调整旋转速度以获得所需涂层厚度。可进行约5秒至约5分钟,且在另外的实施例中为约15秒至约2分钟的时间的旋涂。起始低速旋转(例如,以50rpm至250rpm)可用以进行跨越基板的起始体积的组合物的涂布。可用水或其他适合的溶剂进行背侧冲洗、边缘珠粒移除步骤等以移除任何边缘珠粒。本领域技术人员将认识到,上述明确范围内的旋涂参数的额外范围涵盖且在本发明内。
涂层厚度通常可为前驱物溶液浓度、黏度和旋涂的旋转速度的函数。对于其他涂布过程而言,通常也可以通过选择涂布参数来调整厚度。在一些实施例中,使用较薄涂层以促进在后续图案化过程中形成较小且高解析特征可为所需的。举例而言,干燥后的涂层材料可具有以下平均厚度:不超过约10微米、在其他实施例中不超过约1微米、在另外的实施例中不超过约250纳米(nm)、在额外实施例中约1纳米(nm)至约50nm、在其他实施例中约2nm至约40nm,且在一些实施例中约3nm至约25nm。本领域技术人员将认识到,上述明确范围内的厚度的额外范围涵盖且在本发明内。可使用x射线反射的非接触方法和/或基于薄膜的光学特性的椭圆偏振测量法来评估厚度。一般而言,涂层相对均匀以有利于处理。在一些实施例中,涂层厚度的变量不超过平均涂层厚度的±50%、在另外的实施例中不超过±40%,且在其他实施例中不超过相对于平均涂层厚度的约±25%。在一些实施例中,诸如较大基板上的高均匀度涂层,可用1厘米的边缘排除来评估涂层均匀度的评估,即,不针对在1厘米边缘内的涂层的部分来评估涂层均匀度。本领域技术人员技术者将认识到,上述明确范围内的额外范围涵盖且在本发明内。
涂布过程自身可导致部分溶剂的蒸发,这是由于诸多涂布过程形成具有较大表面积和/或刺激蒸发的溶液的移动的涂层材料的液滴或其他形式。随着材料中的物质的浓度增加,溶剂的损耗意在增加涂层材料的黏度。涂布过程期间的目标可以是移除足够的溶剂以稳定用于进一步处理的涂层材料。反应性物质可在涂布或后续加热期间缩合以形成水解产物涂层材料。
一般而言,在辐射暴露之前,可在大气湿度的存在下暴露和视情况加热涂层材料以水解前驱物组合物中的可水解金属键,和/或进一步馏出溶剂并促进涂层材料的密化。原位水解后的涂层材料通常可基于将氧代-氢氧配位体结合至金属来形成聚合金属氧代基-羟基网状结构,其中所述金属也具有一些烷基配位体或由具有烷基配位体的多核金属氧代基/羟基物质构成的分子固体。
可相对于或可不相对于加热的涂层材料的精确化学计量和/或涂层材料中剩余溶剂的特定量来定量地控制水解/溶剂移除过程。另外,本文所表达的制剂和组合物可含有一些额外的水,无论直接键结至Sn或作为的网状结构的氢键。通常可进行所得涂层材料特性的实验评估以选择对图案化过程有效的处理条件。尽管该过程的成功应用可以不需要加热,但加热涂布基板以加速处理和/或增加过程的可再生性和/或促进水解副产物(诸如胺类和或醇类)的气化可以是所需的。在将加热应用于移除溶剂的实施例中,可将涂层材料加热至约45℃至约250℃,且在另外的实施例中为约55℃至约225℃的温度。用于溶剂移除的加热通常可进行至少约0.1分钟、在另外的实施例中为约0.5分钟至约30分钟,且在额外实施例中为约0.75分钟至约10分钟。本领域技术人员将认识到,上述明确范围内的加热温度和时间的额外范围涵盖且在本发明内。作为热处理、水解和致密化涂层材料的结果,涂层材料可展现出折射率和辐射吸收增加而无明显的对比度损失。
基于蒸气的涂层形成
包含具有实质上不可水解Sn键的R基团和具有可水解Sn键的X配位体的前驱体化合物的研发经开发用于可辐射图案化有机锡氧化物氢氧化物涂层的气相沉积的研发。具体地,相对高的蒸气压和诸如列于表1中的那些诸多RnSnX(4-n)化合物的反应度,使得使用用于沉积有机锡氧化物氢氧化物薄膜光阻的气相沉积法成为可能。通过环境大气压将呈气相的可水解前驱物引入封闭的反应器中,可将水解作为沉积过程的部分来进行,即化学气相沉积。可能的气相沉积法包括化学气相沉积(CVD)、原子层沉积(ALD)及其变形,此前已采用诸多这些气相沉积法以沉积无机金属氧化物和具有金属烷基酰胺、烷氧化物和卤化物前驱物的氮化物薄膜,[1至4]包括来自S Sn(NMe2)4.[5]的SnO2。为进行气相沉积,通常一种或多种含金属的前驱物与诸如H2O、H2O2、O3、O2或CH3OH的小分子气相试剂反应或多次反应,这些试剂充当用于产生氧化物和氧化物氢氧化物的O和H来源。必要时,也可进行物理气相沉积法,其中具有带有可水解Sn键的配位体的前驱物组合物在涂层形成后由气相沉积且随后这些键水解,但对于气相处理而言,在沉积期间水解/氧化通常可以更加有效。
表1
化合物 蒸气压(托) 温度(℃)
<sup>t</sup>BuSn(NEt<sub>2</sub>)<sub>3</sub> 0.3 95
<sup>t</sup>BuSn(NMe<sub>2</sub>)<sub>3</sub> 0.3 55
<sup>t</sup>BuSn(O<sup>t</sup>Bu)3 3.5 ~82
<sup>i</sup>PrSn(NMe<sub>2</sub>)<sub>3</sub> 1.4 53
Sn(NEt<sub>2</sub>)<sub>4</sub> 0.5 110
Sn(NMe<sub>2</sub>)<sub>4</sub> 0.1 ~54
Sn(OtBu)<sub>4</sub> 0.3 65
Sn(O<sup>t</sup>Am)4 2 120
MeSn(O<sup>t</sup>Bu)<sub>3</sub> 0.1 ~57
<sup>n</sup>BuSn(O<sup>t</sup>Bu)<sub>3</sub> 0.9 100
<sup>n</sup>BuSn(NMe<sub>2</sub>)<sub>3</sub> 0.05 80
在CVD方法中,两种或多种反应气体通常混合在基板表面附近的腔室中。因此,可将足够的稳定性设计至反应条件中以控制不适宜的气相反应和成核。分别并依序引入至反应腔室的ALD前驱物通常与化学吸附的共前驱物或浸透基板表面的分解产物反应。RnSnX(4-n)前驱物的所需特征包括,例如,用于该系统中的气相输送的足够的挥发性,防止过早分解的热稳定性,和与共前驱物反应以在指定过程条件下产生目标产物的合适反应性。可选择反应腔室中的压力和温度以控制反应过程。
一般而言,可使用蒸气的流动、喷雾和/或直接液体注入将具有相对较低蒸气压的前驱物引入至气化腔室中。可使用闪蒸器以将受控量的前驱物蒸气引入至反应腔室中以相应地控制腔室中的反应过程。可通过单独进口将驱动水解/氧化的第二反应物引入至腔室中。商用CVD装置可适合于该用途或可使用特定设备。为促进沉积,可根据前驱物特性而加热或冷却基板。可采用依序和连续流动方案以合适的容量将诸如N2、Ar等的惰性气体用作载气、纯化气体或压力调节气体。
如所展示的,一系列RnSnX(4-n)化合物(其中n=0、1或2)或其组合如本文中所论述通过在溶液中水解或原位水解来产生有机锡氧化物氢氧化物光阻,其也可以适合于气相沉积具有所需特性的有机锡氧化物氢氧化物光阻。适用的X配位体包括烷基酰胺基和二烷基酰胺基、氯、烷基氧代基、或烃基、硅氧代基、硅烷基酰胺基、二硅烷基酰胺基、芳基氧代基、叠氮基、酰胺基、脒基或与烃基R基团组合的其氟化类似物,这些基团包括直链和支链烷基、环烷基、芳基、烯基、炔基、苯甲基及其氟化衍生物。适合的前驱物可包括,例如(CH3)3CSn(NMe2)3、(CH3)2CHSn(NMe2)3、(CH3)2(CH3CH2)CSn(NMe2)3、(CH2)2CHSn(NMe2)3、CH3Sn(NMe2)3、(CH2)3CHSn(NMe2)3、(CH2)4CHSn(NMe2)3、(C6H5)CH2Sn(NMe2)3、(C6H5)(CH3)CHSn(NMe2)3、(C6H5)(CH3)CHSn(NMe2)3、(CH3)2(CN)CSn(NMe2)3、(CH3)(CN)CHSn(NMe2)3、或(CH3)3CSn(OtBu)3、(CH3)2CHSn(OtBu)3、(CH3)2(CH3CH2)CSn(OtBu)3、(CH2)2CHSn(OtBu)3、CH3Sn(OtBu)3、(CH2)3CHSn(OtBu)3、(CH2)4CHSn(OtBu)3、(C6H5)CH2Sn(OtBu)3、(C6H5)(CH3)CHSn(OtBu)3、(C6H5)(CH3)CHSn(OtBu)3、(CH3)2(CN)CSn(OtBu)3、(CH3)(CN)CHSn(OtBu)3或本领域技术人员已知的其他前驱物。另外,诸如Sn(NMe2)4或Sn(OtBu)4的=0的一种或多种气相前驱体化合物可依序或同时与含有机锡的前驱物反应以更改薄膜中的R:Sn比率以达成所需图案化属性。
因此可通过气相水解将可水解化合物直接沉积为相应的烷基锡氧化物氢氧化物涂层,其可接着适当地图案化。气相沉积的优点可包括,例如,减小的抗蚀剂膜缺陷密度、改良的厚度和组合均匀度,以及基板表面形状的保形和侧壁涂布。
气相沉积法用于直接沉积具有通式RSnO(3/2-x/2)(OH)x(0<x<3)的有机锡氧化物氢氧化物且可在一些实施例中包含连接至单独的加热鼓泡器容器的惰性气体源。第一容器含有足够蒸气压的液态烷基三(二烷基酰胺基)锡(alkyl tris(dialkylamido)tin)化合物以产生用于在惰性载气中输送的适合分压。第二容器含有液态水或水/醇类混合物。通过控制容器温度、惰性气体流动速率和总的系统压力,将气相RSn(NR'2)3和H2O分别输送至腔室,该腔室抽空至<约0.1托、更一般而言约0.01托至约25托,且在一些常压CVD压力中>25托。将本文中的前驱物混合并反应以在基板上沉积有机锡氧化物氢氧化物。可加热基板和/或腔室和/或蒸气以促进基板表面上的反应和沉积。在一些实施例中,反应温度低于约200℃是所需的,以限制锡化合物的脱烷和/或防止氧化物氢氧化物的过度脱水和缩合。这些氧化物氢氧化物的形成可降低暴露与未暴露区域的间的光阻溶解速率对比度。在各种实施例中,通常可将气体、腔室壁和/或基板加热至约40℃至约175℃,且在另外的实施例中为约50℃至约160℃的温度。本领域技术人员将认识到,上述明确范围内的压力和温度的额外范围涵盖且在本发明内。在类似的关联的过程中,可交替使用合适的持续时间和频率的水蒸气、惰性气体和RSn(NR'2)3的脉冲,以使得能够实现ALD法的受限表面吸附和常用反应方案。
图案化和图案化的结构特性
水解、缩合和干燥后,可使用辐射精细地图案化涂层材料。如上文所提及的,前驱物溶液的组合物且因此相应涂层材料可设计用于充分吸收辐射的所需形式。辐射的吸收产生可破坏金属与烷基配位体之间的键的能量,以使得至少一些烷基配位体不再可用以使材料稳定。视过程变量及此类产物的标识而定,包括烷基配位体或片段的辐射分解产物可扩散出薄膜或不可扩散出薄膜。随着足够量的辐射的吸收,暴露的涂层材料缩合,即形成增强型金属氧代基-羟基网状结构,其可包括从环境大气压吸收的额外的水。通常可根据所选图案递送辐射。在具有辐射区域和未辐射区域的涂层材料中将辐射图案转换成相应图案或潜影。辐射区域包含化学改性的涂层材料,且未辐射区域通常包含成形涂层材料。如下文所提及的,可在显影涂层材料时形成极光滑边缘,同时移除未辐射的涂层材料,或可选地选择性移除辐射的涂层材料。
通常可通过光罩将辐射导引至涂布基板或可跨越基板可控制地扫描辐射束。一般而言,辐射可包含电磁辐射、电子束(β辐射)或其他适合辐射。一般而言,电磁辐射可具有所需波长或波长的范围,诸如可见光辐射、紫外线辐射或x射线辐射。对于辐射图案而言,有用的分辨率通常取决于辐射波长,且通常可以更短的波长辐射来达成更高分辨率的图案。因此,使用紫外光、x射线辐射或电子束以达成尤其高分辨率的图案可以为所需的。
遵循以引用的方式并入本文中的国际标准ISO 21348(2007),紫外光在大于或等于100nm和小于400nm的波长之间延伸。可将氟化氪激光用作248nm紫外光的光源。可在公认的标准下以若干方式细分紫外线范围,诸如极远紫外线(EUV)(大于或等于10nm至小于121nm)和远紫外线(FUV)(大于或等于122nm至小于200nm)。可将来自氩氟化物激光的193nm线用作FUV中的辐射源。已将EUV光用于13.5n处m的微影,且此光产生来自使用高能量激光或放电脉冲激发的Xe或Sn等离子源。可从大于或等于0.1nm至小于10nm来界定软x射线。
电磁辐射的量可由通量或剂量表征,该通量或剂量由历经暴露时间的整合辐射通量界定。适合的辐射通量可为约1mJ/cm2至约150mJ/cm2、在另外的实施例中为约2mJ/cm2至约100mJ/cm2,且在另外的实施例中为约3mJ/cm2至约50mJ/cm2。本领域技术人员将认识到,上述明确范围内的辐射通量的额外范围涵盖且在本发明内。
伴随电子束微影,电子束通常诱发二次电子,所述二次电子通常改质(modify)辐射的材料。分辨率可至少部分随材料中的二次电子的范围而变化,其中通常认为较高分辨率由更短范围的二次电子引起。基于使用本文中所描述的无机涂层材料用电子束微影可达成的高分辨率,无机材料中的二次电子的范围受到限制。电子束可由射束的能量表征,且适合的能量可为约5V至约200kV(千伏),且在另外的实施例中为约7.5V至约100kV的范围内。30kV下的接近度校正射束剂量可在约0.1微库仑/平方厘米至约5微库仑/平方厘米(mC/cm2)、在另外的实施例中为约0.5μC/cm2至约1mC/cm2,且在其他实施例中为约1μC/cm2至约100μC/cm2的范围内。本领域技术人员可基于本文中的教示在其他射束能量下计算相应的剂量且将认识到上述明确范围内的电子束特性的额外范围涵盖且在本发明内。
基于涂层材料的设计,在具有缩合涂层材料的辐射区域与具有实质上完整有机配位体的未辐射涂层材料之间可存在较大的材料特性的对比度。已发现可用后辐射加热处理来改良给定剂量下的对比度,尽管在一些实施例中可在无后辐射加热处理的情况下达成令人满意的结果。暴露后的热处理似乎使辐射涂层材料退火以增加其缩合而不基于有机配位体-金属键的热破坏明显地缩合涂层材料的未辐射区域。对于使用后辐射热处理的实施例而言,可在约45℃至约250℃、在额外实施例中为约50℃至约190℃,且在另外的实施例中为约60℃至约175℃的温度下进行后辐射热处理。后暴露加热通常可进行至少约0.1分钟、在另外的实施例中为约0.5分钟至约30分钟,且在额外实施例中为约0.75分钟至约10分钟。本领域技术人员将认识到,上述明确范围内的后辐射加热温度和时间的额外范围涵盖且在本发明内。材料特性中的该高对比度进一步促进如以下部分中所描述的显影之后的图案中的具有光滑边缘的高分辨率线的形成。
在用辐射暴露后,涂层材料采用辐射区域和未辐射区域进行图案化。参见图1和图2,示出了包含基板102、薄膜103和图案化涂层材料104的图案化结构100。图案化涂层材料104包含辐射涂层材料的区域110、112、114、116和未辐射涂层材料的未缩合区域118、120、122。通过缩合区域110、112、114、116和未缩合区域118、120、122所形成的图案呈现涂层材料中的潜影,且在以下部分中论述潜影的显影。
显影和图案化结构
影像的显影涉及使包括潜影的图案化涂层材料与显影剂组合物接触以移除未辐射涂层材料来形成负像或移除辐射涂层来形成正像。使用本文中所描述的抗蚀剂材料,通常可使用合适的显影溶液且通常基于同一涂层进行具有所需分辨率的有效负图案化或正图案化。具体地之,至少部分缩合辐射区域以增加金属氧化物特征,以使得辐射材料对有机溶剂的溶解具有耐受性,同时未辐射组合物保持可溶于有机溶剂中。提及缩合涂层材料是指在相对于原始材料增加该材料的氧化物特征的意义上至少部分缩合。另一方面,由于该材料的疏水性,未辐射材料较少溶于弱碱水溶液或弱酸水溶液,因此碱性水溶液可用以移除辐射材料,同时维持未辐射材料的正图案化。
具有有机稳定配位体的涂层组合物产生固有疏水的材料。辐射以破坏至少一些有机金属键将材料转化成疏水性较差,即更亲水的材料。特征中的这些变化在辐射涂层与未辐射涂层之间提供明显的对比度,其提供对同一抗蚀剂组合物执行正型图案化和负型图案化的能力。具体而言,使辐射涂层材料在一定程度下缩合成更多的金属氧化物组合物;然而,通常在无明显加热情况下的缩合程度是中度的,以致辐射材料可相对简单地利用适宜的显影试剂显影。
参见图3和图4,相对于负成影(negative tone imaging),已通过与显影剂接触来显影展示于图1和图2中的结构的潜影以形成图案化结构130。在该影像显影的后,通过开口132、134、135沿顶部表面暴露基板102。开口132、134、135分别地位于未缩合区域118、120、122的位置处。参见图5和图6,相对于正成影(positive tone imaging),已显影示于图1和图2中的结构的潜影以形成图案化结构140。图案化结构140具有图案化结构130的共轭像。图案化结构140具有暴露在辐射区域110、112、114、116的位置处的基板102,该位置经显影以形成开口142、144、146、148。
对于负成影而言,显影剂可为有机溶剂,诸如用以形成前驱物溶液的溶剂。一般而言,显影剂选择可受到与涂层材料有关的可溶性参数、辐射和未辐射,以及显影剂挥发性、可燃性、毒性、黏度和与其他处理材料的可能的化学相互作用的影响。具体地,适合的显影剂包括,例如,芳族化合物(例如,苯、二甲苯、甲苯)、酯类(例如,丙二醇单甲基乙酸酯、乙酸乙酯、乳酸乙酯、乙酸正丁酯、丁内酯)、醇类(例如,4-甲基-2-戊醇、1-丁醇、异丙醇、1-丙醇、甲醇)、酮类(例如,甲基乙基酮、丙酮、环己酮、2-庚酮、2-辛酮)、醚类(例如,四氢呋喃、二恶烷、苯甲醚)等。可进行显影约5秒至约30分钟、在另外的实施例中为约8秒至约15分钟,且在额外实施例中为约10秒至约10分钟。本领域技术人员将认识到,上述明确范围内的额外范围涵盖且在本发明内。
对于正成影而言,显影剂通常可为酸性水溶液或碱性水溶液。在一些实施例中,可以使用水性碱以获得更清晰的影像。为减少来自显影剂的污染,使用并不具有金属原子之显影剂可以为所需的。因此,季铵氢氧化物组合物(诸如四乙基铵氢氧化物、四丙基铵氢氧化物、四丁基铵氢氧化物或其组合)作为显影剂是令人满意的。一般而言,备受关注的季铵氢氧化物可由式R4NOH表示,其中R=甲基、乙基、丙基、丁基或其组合。通常可用当前常用于聚合物抗蚀剂的同一显影剂来显影本文所描述的涂层材料,尤其是四甲基铵氢氧化物(TMAH)。商业TMAH可以2.38重量百分比获得,且此浓度可用于本文中所描述的处理。另外,可使用混合的四烷基季铵氢氧化物。一般而言,显影剂可包含约0.5重量百分比至约30重量百分比、在另外的实施例中为约1重量百分比至约25重量百分比,且在其他实施例中为约1.25重量百分比至约20重量百分比的四烷基铵氢氧化物或类似的季铵氢氧化物。本领域技术人员将认识到,上述明确范围内的显影剂浓度的额外范围涵盖且在本发明内。
除基本显影剂组合物以外,显影剂可包含额外的组合物以促进显影处理。适合的添加剂包括,例如,具有选自由铵、d-封端(d-block)金属阳离子(铪、锆、镧等)、f-封端金属阳离子(铈、镏等)、p-封端金属阳离子(铝、锡等)、碱金属(锂、钠、钾等)及其组合组成的组中的阳离子的溶解盐;和具有选自由氟离子、氯离子、溴离子、碘离子、硝酸盐、硫酸盐、磷酸盐、硅酸盐、硼酸盐、过氧化物、丁醇盐、甲酸盐、草酸盐、乙二胺四乙酸(EDTA)、钨酸盐、钼酸盐等及其组合组成的组中的阴离子的溶解盐。其他可能适用的添加剂包括,例如,分子螯合剂,诸如多元胺、醇胺、胺基酸、羧酸或其组合。若存在视情况选用的添加剂,则显影剂可包含不超过约10重量百分比的添加剂,且在另外的实施例中不超过约5重量百分比的添加剂。一本领域技术人员将认识到,上述明确范围内的添加剂浓度的额外范围涵盖且在本发明内。可选择添加剂以改良对比度、敏感度和线宽粗糙度。显影剂中的添加剂也可抑制金属氧化物颗粒的形成和沉积。
对于较弱显影剂(例如,较低浓度的水性显影剂、稀释的有机显影剂或其中涂层具有较低显影速率的组合物),可使用更高温度的显影处理提高处理的速率。对于较强的显影剂,显影处理的温度可更低以降低速率和/或控制显影的动力学。一般而言,可将显影的温度调整在符合溶剂的挥发性的合适值之间。另外,可在显影期间用超声波来分散靠近显影剂涂层界面的具有溶解涂层材料的显影剂。
可使用任何合理的方法将显影剂应用于图案化的涂层材料。举例而言,可将显影剂喷涂至图案化的涂层材料上。此外,可使用旋涂。对于自动化处理而言,可使用覆液法,该方法涉及以固定形式将显影剂倾倒至涂层材料上。视需要,可采用旋转冲洗和/或干燥以完成显影处理。适合的冲洗溶液包括,例如,用于负图案化的超纯水、甲醇、乙醇、丙醇及其组合,和用于正图案化的超纯水。在显影影像之后,将涂层材料放置于基板上作为图案。
在完成显影步骤后,可将涂层材料热处理以进一步缩合材料和以进一步脱水、密化或从材料移除残余显影剂。对于将氧化物涂层材料并入至最终设备中的实施例而言,该热处理可以是其合乎需要的,尽管对于将涂层材料用作抗蚀剂的一些实施例而言,可能需要进行热处理,且若促进进一步图案化的涂层材料的稳定性为合乎需要的,则最终移除。具体地,可在图案化的涂层材料呈现蚀刻选择性的所需水平的条件下进行图案化涂层材料的烘烤。在一些实施例中,可将图案化涂层材料加热至约100℃至约600℃、在另外的实施例中为约175℃至约500℃,且在额外实施例中为约200℃至约400℃的温度。加热可进行至少约1分钟、在其他具体实施例中为约2分钟至约1小时、在另外的实施例中为约2.5分钟至约25分钟。可在空气、真空或惰性气体环境(诸如Ar或N2)中进行加热。本领域技术人员应认识到,在上述明确范围内的用于热处理的温度和时间的额外范围涵盖且在本发明内。同样,出于类似目的,也可采用包括毯覆式UV暴露或暴露于诸如O2的氧化等离子体的非热处理。
对于常规的有机抗蚀剂,若结构的纵横比(高度除宽度)变得太大,则结构易发生图案崩坏。图案崩坏可与较高纵横比结构的机械不稳定性相关以使得与处理步骤相关的力(例如,表面张力)扭曲结构组件。较低纵横比结构相对于潜在的扭曲力更加稳定。对于本文中所描述的可图案化涂层材料,由于其较高抗蚀刻性和有效地处理具有涂层材料的更薄层的结构的能力,可在不需要较高纵横比的图案化涂层材料的情况下完成改良的图案化。因此,在不借助图案化涂层材料中的较高纵横比形状的情况下已形成极高分辨率特征。
所得结构可具有具极低线宽粗糙度的锐边。具体地,除了减少线宽粗糙度的能力以外,高对比度度也允许在特征之间形成小特征和空间,以及形成极好解析的二维图案(例如,尖锐拐角)的能力。因此,在一些实施例中,邻近结构的邻接线性段可具有不超过约60nm(30nm半间距(half-pitch))、在一些实施例中不超过约50nm(25nm半间距),且在另外的实施例中不超过约34nm(17nm半间距)的平均间距(半间距)。间距可通过设计来评估并用扫描电子显微法(SEM)(诸如采用自上而下的影像)来确认。如本文中所使用,间距是指空间间隔,或重复结构组件的中心至中心的距离,且如本领域中通常所使用,半间距为间距的一半。也可相对于特征的平均宽度来描述图案的特征尺寸,通常脱离拐角等来评估该尺寸。此外,特征可指材料组件之间和/或材料组件的空隙。在一些实施例中,平均宽度可以为不超过约25nm、在另外的实施例中不超过约20nm,且在额外实施例中不超过约15nm。平均线宽粗糙度可以为不超过约5nm、在一些实施例中不超过约4.5nm,且在另外的实施例中约2.5nm至约4nm。通过自上而下的SEM影像的分析来评估线宽粗糙度以推导距平均线宽的3σ偏差。平均值分别地含有高频和低频粗糙度,即短相关长度(short correlation lengths)和长相关长度。有机抗蚀剂的线宽粗糙度主要由长相关长度表征,而本发明的有机金属涂层材料展现出明显地更短的相关长度。在图案转印过程中,可在蚀刻过程期间平滑短相关粗糙度,从而产生更高保真度的图案。本领域技术人员将认识到,在上述明确范围内的间距、平均宽度和线宽粗糙度涵盖且在本发明内。基于这些过程,可调试图案化以形成诸如电子集成电路的各种设备,通常通过重复的图案化过程以形成适当地层状结构,诸如晶体管或其他组件。
晶圆产量实质上是针对在大量半导体制造中实施的EUV微影术的限制因素且与图案化给定特征的所需剂量直接相关。然而,尽管存在化学策略以减少成影剂量,但在特征大小和间距<50nm的EUV光阻下,通常观测到打印目标特征所需的成影剂量与特征大小均匀度(诸如LWR)之间的负相关性,从而限制最终器件的可操作性和晶圆产率。然而,已发现本文中所描述的前驱物、前驱物溶液和光阻膜避免此限制且能够在大致的剂量范围内减少EUV成影剂量而没有增加LWR。当在类似条件下处理时,通过利用包含如以下实施例中详述的在涂布和烘烤步骤期间的原位水解的RnSnX(4-n)和SnX4的掺合物的前驱物溶液,相对于衍生自预水解的有机锡氧化物氢氧化物化合物的混合物(根据'839申请中所描述的方法制备)的光阻薄膜观测到在相等或更低LWR下>30%的剂量减少。
基于以下实施例中所描述的改良过程,可相应地表征涂层材料的改良特性。举例而言,沿表面包含无机半导体层和辐射敏感涂层材料的基板可用波长为13.5nm的EUV光以32nm间距上16nm线的图案进行图案化。为评估涂层材料,用以获得16nm的临界尺寸的剂量可连同可达成的线宽粗糙度(LWR)一起评估。改良涂层可以约8mJ/cm2至约25mJ/cm2的剂量和不超过约4nm的线宽粗糙度来达成16nm的临界尺寸。使用SuMMIT分析软件(EUV技术公司)从SEM影像中提取抗蚀剂临界尺寸(CD)和线宽粗糙度(LWR)。
在另外的实施例中,可根据成胶凝剂量值来表示改良的图案化能力。包含基板和辐射敏感涂层(其包含烷基金属氧化物氢氧化物)的结构可具有不超过约6.125mJ/cm2,且在另外的实施例中为约5.5mJ/cm2至约6mJ/cm2的成胶凝剂量(Dg)。在以下实施例中解释成胶凝剂量的评估。
参考文献(以引用的方式并入本文中):
1)Maeng,W.J.;Pak,S.J.;Kim,H.J.Vac.Sci.Tech B.2006,24,2276.
2)Rodríguez-Reyes,J.C.F.;Teplyakov,A.V.J.Appl.Phvs.2008,104,084907.
3)
Figure BDA0001627990040000241
M.;Ritala,M.Thin Solid Films 2002,409,138.
4)
Figure BDA0001627990040000242
M.;Ritala,M.J.Phvs.IV 1999,9,Pr8-852.
5)Atagi,L.M.;Hoffman,D.M.;Liu,J.R.;Zheng,Z.;Chu,W.K.;Rubiano,R.R.;Springer,R.W.;Smith,D.C.Chem.Mater.1994,6,360.
实施例
实施例1-用于原位水解的前驱物的制备
此实施例涉及适合于原位水解以形成有机锡氧化物氢氧化物涂层的有机锡酰胺基化合物的形成。
仿效报告于
Figure BDA0001627990040000243
D.;Puff,H.;Beckerman,N.J.Organomet.Chem.1985,293,191(其以引用的方式并入本文中)中的方法合成前驱物叔丁基三(二乙酰胺基)锡(tBuSn(NEt2)3,下文P-1)。四(二乙酰胺基)锡和tBuLi试剂购自Sigma-Aldrich且不经进一步纯化即使用。在-78℃下在无水己烷(Sigma-Aldrich)中使试剂以化学计量定量反应。通过过滤移除沉积的胺化锂盐(lithium amide salts)并用己烷冲洗产物,且在真空下去除溶剂。在真空(95℃下约0.3托)下蒸馏粗产物。
称重30mL琥珀色玻璃瓶中的1.177g(3.0mmol)的P-1在填充有Ar的手套工作箱中制备溶液,接着添加15mL的无水4-甲基-2-戊醇(在3A分子筛上干燥24h)。封盖并搅动瓶子。在涂布之前将1份储备溶液稀释在2.85份(体积)无水4-甲基-2-戊醇中。
在惰性氛围下合成前驱物异丙基三(二甲基胺基)锡(iPrSn(NMe2)3,下文P-2)且随后溶解于甲苯中以形成抗蚀剂前驱物溶液。在氩气下,向1 LSchlenk-调适的(Schlenk-adapted)圆底烧瓶装入LiNMe2(81.75g,1.6mol,Sigma-Aldrich)和无水己烷(700mL,Sigma-Aldrich)以形成浆液。添加较大的搅拌棒且密封容器。正氩气压下的加料漏斗冲洗充有iPrSnCl3(134.3g,0.5mol,Gelest)且接着连接至反应瓶。使反应瓶冷却至-78℃,接着逐滴添加iPrSnCl3历经2小时的时间段。使反应升温至室温同时搅拌过夜。反应产生副产物固体。在静置之后,通过串联套管过滤器在正氩气压下过滤固体。接着在真空下移除溶剂,且在减压下蒸馏残余物(50℃至52℃,1.4mmHg)以得到淡黄色液体(110g,75%产率)。在Bruker DPX-400(400MHz,BBO探针)光谱仪上收集C6D6溶剂中的馏出物的1H和119Sn NMR光谱。所观测到的1H谐振(s,2.82ppm,-N(CH3)2;d,1.26ppm,-CH3;m,1.60ppm,-CH)匹配所预测的用于iPrSn(NMe2)3的光谱。-65.4ppm的基本119Sn谐振与具有单锡环境的主要产物一致;化学位移与报告的单烷基三(二烷基酰胺基)锡化合物类似。
称重30mL琥珀色玻璃瓶中的0.662g(2.25mmol)的P-2在填充有Ar的手套工作箱中制备溶液。接着添加15mL体积的无水甲苯(在3A分子筛上干燥24h)以制作储备溶液(SOL-2)。接着封盖并搅动瓶子。在涂布之前将1份储备溶液稀释在3份(体积)无水甲苯中。
实施例2-原位水解的光阻涂层的图案化
此实施例示出由实施例1的组合物形成的涂层的成功原位水解和后续的EUV图案化。
将薄膜沉积于具有天然氧化物表面的硅晶圆(100mm直径)上。在沉积酰胺基前驱物之前,用六甲基二硅氮烷(HMDS)蒸气底漆处理Si晶圆。以1500rpm在空气中将在4-甲基-2-戊醇中的P-1溶液旋涂于基板上并在空气中在100℃下在加热板上烘烤2min以蒸发残余溶剂和挥发性水解产物。采用椭圆偏振测量法量测涂布和烘烤后的薄膜厚度应为约31nm。
将涂布基板暴露于远紫外线辐射(劳伦斯伯克利国家实验室微曝光工具(Lawrence Berkeley National Laboratory Micro Exposure Tool))下。使用13.5nm波长辐射、偶极子照明和0.3的数值孔径将34nm间距上的17nm线的图案投射至晶圆上。接着使图案化抗蚀剂和基板在加热板上在170℃下在空气中经受暴露后烘烤(PEB)2min。接着将暴露的薄膜浸渍于2-庚酮中15秒,接着用同一显影剂再冲洗15秒以形成负影像,即,移除涂层的未暴露的部分。在显影之后,在150℃下在空气中进行最后5min加热板烘烤。图7示出了16.7nm抗蚀剂线的SEM影像,该16.7nm抗蚀剂线产自由56mJ/cm2的EUV剂量和计算2.6nm的LWR下的34nm间距上的4-甲基-2-戊醇所浇铸的P-1。
使用与上所述一致的涂布和烘烤条件用P-2的甲苯溶液浇铸第二薄膜。使用EUV光将直径为约500um的50个圆形板的线性数组投射至晶圆上。调节板暴露时间以用7%的梯度指数将针对各板递送的EUV剂量自1.38mJ cm-2增加至37.99mJ cm-2。在上文所述的PEB、显影和最终烘烤过程之后,将J.A.Woollam M-2000光谱椭偏仪用于量测暴露的板的残余厚度。在图8中,绘制随所递送的EUV剂量而变化的各板的厚度。所得曲线清楚地示出了暴露时所生成的负对比度,残余薄膜厚度在约0处开始并在大致15.8mJ cm-2递送剂量下达到最大值(成胶凝剂量,Dg)。
实施例3-原位水解的涂层的评估
此实施例提供通过用于具有可水解Sn键的前驱物的原位水解方法实质上完全水解的证据。
为作对比,制备用于形成辐射敏感涂层的异丙基氧化锡氢氧化物。将6.5g(24mmol)的异丙基三氯化锡(isopropyltin trichloride)快速添加至150mL的0.5M NaOH(水溶液)并剧烈搅拌,从而即刻产生沉淀来制备异丙基三氯化锡(iPrSnCl3,Gelest)的固态水解产物。在室温下搅拌所得混合物1h,接着采用1号滤纸(Whatman)抽滤。用~25mL份的DI H2O将保留的固体洗涤三次,接着在真空下(约5托)在室温下干燥12h。干燥粉末的元素分析(18.04%C、3.76%H、1.38%Cl;Microanalysis,Inc.;Wilmington,DE)表明在异丙基三氯化锡水解时基本移除氯离子和iPrSnO(3/2-(x/2))(OH)x(其中x≈1)的近似水解产物经验式(下文P-3)。(C3H8O2Sn的计算值为:18.50%C、4.14%H、0.00%Cl)。
通过将干燥的粉末溶解在2-丁酮至约0.25M的总Sn浓度来制备固态水解产物iPrSnO(3/2-(x/2))(OH)x的溶液。如先前所描述的,将1.47g(5.0mmol)的P-2添加至10mL的4-甲基-2-戊醇来制备无水4-甲基-2-戊醇中的P-2溶液。通过将各溶液旋涂至25mm×25mm的Si晶圆上来铸造薄膜。以2000rpm涂布P-2的溶液,同时以1250rpm涂布P-3的溶液。涂布后,在150℃下在空气中烘烤各薄膜2分钟。醇类可与P-2反应以形成烷氧化物配位体,但在任何情况下,若烷氧化物配位体形成,则这些配位体似乎被进一步水解以形成氧化物氢氧化物组合物。
使用背景技术的裸露基板在Nicolet 6700光谱仪上收集两个薄膜的傅里叶变换红外(FTIR)透射光谱。两个薄膜(图8)的代表性光谱在性质上极其类似,从而表明在两种情况下大体上水解并消除来自P-2溶液的酰胺基/烷基氧代基且沉积氧化物氢氧化物。具体地,2800-3000cm-1和1100-1500cm-1的区域几乎一致,从而表明两个薄膜之间的类似CHx组合物,且不存在实质性的C-N物质。
实施例4-具有四二烷基酰胺基或叔丁氧基锡化合物的可水解前驱物的制备
此实施例描述混合的可水解前驱体化合物的形成以提供相对于辐射敏感涂层中的金属的辐射敏感配位体的化学计量的控制。
如实施例1中所描述的,合成叔丁基三(二乙酰胺基)锡。四(二甲基胺基)锡(Sn(NMe2)4,FW=295.01)购自Sigma-Aldrich且不经进一步纯化即使用。
仿效Hampden-Smith等人Canadian Journal of Chemistry,1991,69,121.(其以引用的方式并入本文中)的方法制备锡(IV)叔丁醇盐(Tin(IV)tert-butoxide)(Sn(OtBu)4,FW=411.16,下文P-5):将氯化亚锡(152g/0.8mol)和戊烷(1L)添加至安装有磁性搅拌棒的3L烘干的圆底烧瓶并用氮气吹扫。装配有氮气压进口的1L平衡压力的加料漏斗装有二乙胺(402mL/3.9mol)和戊烷(600mL),接着连接至烧瓶,并将该烧瓶浸没于冰浴中。接着逐滴添加胺类溶液以维持缓慢回流。在完成添加胺类后,将含2-甲基-2-丙醇(290g/3.9mol)的戊烷(50mL)添加至加料漏斗并此后逐滴至烧瓶。在搅拌18小时之后,将浆液转移至不含空气的烧结过滤瓶中,沉淀以移除盐。在减压下移除溶剂并蒸馏目标化合物(B.P.=60-62C@1托)。1H NMR(C6D6):1.45ppm(s);119Sn NMR(C6D6):-371.4ppm(s)。
采用套管将每种相应化合物转移至含有无水4-甲基-2-戊醇(在4A分子筛上干燥24h)的单独烧瓶中来制备P-1(tBuSn(NEt2)3,下文S-1)、P-4(Sn(NMe2)4,下文S-2)和P-5(Sn(OtBu)4,下文S-3)的储备溶液。接着添加额外的干燥4-甲基-2-戊醇以将溶液稀释至0.25M(Sn)的最终浓度。
将41g的P-1插入至浸没于异丙醇/干冰浴中且含有250mL的甲醇的圆底烧瓶中,同时在磁性搅拌板上搅拌来制备另一储备溶液S-4。在转移tBuSn(NEt2)3等分试样之后,从冰浴移除含有混合物的烧瓶并使其达到室温。然后,将装有混合物的烧瓶在连接至旋转式蒸发器的水浴中加热至50℃,并在减压(10毫托)下去除溶剂直至基本完成溶剂蒸发并获得黏稠的黄色油状物。最后,将黄色油状物溶解于1.0L的4-甲基-2-戊醇中。在溶剂蒸发且后续热分解残余SnO2固体之后,基于溶液的残余质量测定所得溶液具有0.097M[Sn]的摩尔浓度。
以0、5:1和9:1的体积比将S-1储备溶液与S-2储备溶液混合来制备前驱物涂层溶液CS-a、CS-b和CS-c以产生涂层溶液,其中该混合物中的0(a)摩尔%、10(b)摩尔%和20(c)摩尔%的总Sn浓度来源于Sn(NMe2)4。在旋涂之前,接着进一步用4-甲基-2-戊醇将这些溶液稀释至0.070M(总Sn)。举例而言,为制备200mL的CS-b,将由Sn(NMe2)4(S-2)制备的5.6mL的储备溶液添加至由tBuSn(NEt2)3(S-1)制备的50.4mL的溶液,剧烈地混合,且用干燥4-甲基-2-戊醇稀释至200mL总体积。前驱物涂层溶液、浓度和组合物的概述在表2中示出。
以合适体积比将储备溶液S-4与储备溶液S-2和S-3混合以使得10mol%和20mol%的总Sn浓度来源于Sn(NMe2)4(分别为CS-e、CS-f)和Sn(OtBu)4(CS-g,CS-h)并用干燥4-甲基-2-戊醇稀释来制备总Sn浓度为0.044M的前驱物涂层溶液CS-e-h。采用干燥4-甲基-2-戊醇将储备溶液S-4直接稀释至0.042M Sn的最终浓度来制备前驱物涂层溶液CS-d。作为一实施例,将72.6mL的S-4与7.04mL的S-3混合并用干燥4-甲基-2-戊醇稀释至200mL的总体积来制备200mL的前驱物涂层溶液CS-e。
表2
Figure BDA0001627990040000271
将含有预水解的叔丁基氧化锡氢氧化物水解产物的甲醇溶液与预水解的异丙基氧化锡氢氧化物水解产物的4-甲基-2-戊醇溶液混合,并用如'839申请中所描述的纯溶剂将所得混合物稀释至0.03M[Sn]来制备前驱物涂层溶液CS-i。将所得溶液表征为iPrSnO(3/2-(x/2))(OH)xtBuSnO(3/2-(x/2))(OH)x水解产物的掺合物,其中相对于Sn的总摩尔,t-BuSnO(3/2-(x/2))(OH)x的分数为为40%。
实施例5-具有所选含量的辐射敏感配位体的改造涂层的图案化
此实施例呈现通过图案化用如实施例4(其展现具有更低辐射剂量的改良图案化)中所描述的制备的涂层溶液形成的涂层所获得的结果。
通过由tBuSn(NEt2)3和一些涂层溶液Sn(NMe2)4或Sn(OtBu)4制备的实施例4的前驱物涂层溶液来沉积叔丁基锡氧化物氢氧化物光阻薄膜,且接着用EUV辐射暴露。将用于EUV对比度曲线的薄膜沉积于具有天然氧化物表面的硅晶圆(100mm直径)上。在沉积之前用六甲基二硅氮烷(HMDS)蒸气底漆处理Si晶圆。根据表1中的说明由tBuSn(NEt2)3制备前驱物涂层溶液CS-a、CS-b和CS-c(0.070M Sn),并在空气中以1500rpm将0、10和20mol%的Sn(NMe2)4旋涂于Si基板并在加热板上在空气中在100℃下烘烤2min以除去残余溶剂和挥发性水解产物。在涂布和烘烤后采用椭圆偏振测量法量测的薄膜厚度应为约25-28nm。
使用劳伦斯伯克利国家实验室微曝光工具用EUV光将直径为约500μm的50个圆形板的线性数组暴露于各晶圆上。调节板暴露时间以通过7%的梯度指数将针对各板递送的EUV剂量自1.38mJ cm-2增加至37.99mJ cm-2。在暴露之后,使晶圆在加热板上在空气中在170℃下经受暴露后烘烤(PEB)持续2min。接着将暴露的薄膜浸渍于2-庚酮中15秒并用同一显影剂冲洗额外15秒以形成负影像,即,移除涂层的未暴露的部分。在显影之后,在150℃下在空气中进行最后5min的加热板烘烤。采用AJ.A.Woollam M-2000光谱椭偏仪(pectroscopic ellipsometer)测量暴露的板的残余厚度。将测量的厚度标准化至测量的最大抗蚀剂厚度并相对于暴露剂量的对数绘制以形成一系列暴露后烘烤温度下的各抗蚀剂的特征曲线。参见图10。将标准化厚度相对于(vs)对数剂量曲线的最大斜度定义为光阻对比度(γ),且将在该处切线通过等于1的点绘制的剂量值定义为光阻成胶凝剂量(Dg)。以此方式,可遵循Mack,C.Fundamental Principles of Optical Lithography,John Wiley&Sons,Chichester,U.K;pp 271-272,2007估计用于光阻特征的常用参数。
所得曲线清楚地说明暴露时所生成的负对比度,这是因为各抗蚀剂膜的残余板厚度在约0处开始并达到接近Dg的最大值。清楚地观测到引发显影速率变化的所需剂量减少,因为对应于Sn(NMe2)4的前驱物涂层溶液中的Sn的摩尔分率自0(Dg=13.8mJ cm-2)增加至10%(Dg=10.6mJ cm-2)且最终为20%(Dg=5.8mJ cm-2)。
以同样的方式使用EUV扫描仪打印高分辨率的线条-空白图案且由前驱物涂层溶液CS-d、CS-e和CS-f铸造叔丁基锡氧化物氢氧化物光阻薄膜。将具有天然氧化物表面的硅晶圆(300mm直径)用作未经额外表面处理的基板。由tBuSn(NEt2)3制备前驱物涂层溶液CS-d-h,并以1000rpm或1500rpm在空气中将如上文所描述的0、10%或20mol%的Sn(NMe2)4或Sn(OtBu)4,以及将CS-i旋涂于Si基板上(仅CS-d)并在加热板上在空气中在100℃下烘烤2min。
使用具有偶极子60×照明和0.33的数值孔径的NXE:3300B EUV扫描仪将涂布基板暴露于极远紫外线辐射下。在2分钟100℃的涂布后烘烤(PAB)后,将32nm间距上的16nm线的图案投射于涂布晶圆上。接着使暴露的抗蚀剂薄膜和基板在加热板上在170℃下在空气中经受PEB持续2min。接着将暴露的薄膜在2-庚酮中显影15秒,接着用同一显影剂额外冲洗15秒以形成负影像,即移除涂层的未暴露的部分。在显影之后,在150℃下在空气中进行最后5min的加热板烘烤。图11示出了从叔丁基锡氧化物氢氧化物光阻薄膜显影的所得抗蚀剂线的SEM图像。示出了由tBuSn(NEt2)3(CS-d)和10mol%或20mol%Sn(NMe2)4(分别为CS-e、CS-f)或Sn(OtBu)4(CS-g,CS-h)制备的前驱物涂层溶液铸造的各薄膜的成影剂量、临界尺寸和线宽粗糙度。同样,随着增加添加至前驱物涂层溶液的SnX4的分数,观测到成影剂量减少。相对于计算的LWR绘制达成16nm的临界尺寸所需的成影剂量,来自前驱物涂层溶液d-i铸造的各薄膜绘制于图12中。明显地,相对于不伴随增加线宽粗糙度(LWR)的CS-i,针对由CS-e和CS-f铸造的薄膜获得所需成像剂量的>30%的降低,表明了对预水解的混合的烷基配位体制剂的实质性改良和在图案化剂量与LWR的间通常观测到的倒数关系的重要的规避(超出该剂量范围)。
实施例6-
对用叔丁基和甲基辐射敏感配位体的混合物制备的涂层评估图案化性能。
具体而言,在包含通过原位水解包含tBuSnX3和MeSnX3化合物的前驱物溶液制备的tBuSnO(3/2-(x/2))(OH)x和MeSnO(3/2-(x/2))(OH)x的混合物的有机锡氧化物氢氧化物光阻薄膜的情形下,检测前驱物溶液制备、薄膜涂层和微影性能。
如下由MeSnCl3(Gelest)合成MeSn(OtBu)3(FW=353.1,下文P-6),使装备有加料漏斗和磁性搅拌棒的烘干RBF装有0.8M的含MeSnCl3的戊烷。当用冰浴冷却时,通过加料漏斗逐滴添加4摩尔当量的含二乙胺的戊烷(5.5M)。在完成添加后,添加以3.25:1(vol)混合于戊烷中的4摩尔当量的叔丁醇,并使溶液在室温下搅拌30min。接着过滤反应混合物并在真空下移除挥发物,从而留下如轻油的产物。随后在55℃至60℃下在约0.1托下蒸馏该产物。
通过溶解在无水4-甲基-2-戊醇中来制备P-6的储备溶液。以各种体积比以一致的方式将MeSn(OtBu)3的溶液与由4-甲基-2-戊醇中的tBuSn(NEt2)3制备的第二储备溶液混来合成上述溶液S-4,并用同一溶剂稀释以达成0.05M的总Sn浓度。通过此方法,由添加作为MeSn(OtBu)3的范围为0-60mol%的总烷基-Sn浓度来制备一系列前驱物溶液。将这些前驱物溶液涂布在100mm Si基板上,在100℃下烘烤,并接着暴露于产生如先前所描述的对比度数组的变化剂量下的EUV辐射。
暴露后,在170℃下在空气中烘烤涂布的晶圆,并在2-庚酮中显影15s,用含有同一溶剂的洗涤瓶冲洗15s,接着在N2下干燥,并在空气中在150℃下烘烤5min。在图13中测量每个曝光板的残余厚度并作图为剂量的函数。。所提取的抗蚀剂度量值(参见实施例5)列于表2中。自图13观测到,随着前驱物溶液中的MeSn(OtBu)3mol%的增加,Dg明显地减少,然而即使在相对较低的Dg值下,对比度仍保持较高。重要地,残余厚度<<Dg始终接近零,表明了在具有最少残余物(浮渣)的未暴露区域中清除抗蚀剂。
表2
Figure BDA0001627990040000301
使用劳伦斯伯克利国家实验室微曝光工具(其使用13.5nm波长辐射、偶极子照明和0.3的数值孔径)将36nm间距上的18nm线的图案暴露于类似地经处理的晶圆上。用SEM测量线宽(CD)且相对于图14中的成影剂量绘制线宽(CD)。同样,发现随着前驱物溶液中的MeSn(OtBu)3的摩尔分数增加,达成给定线宽所需的成影剂量强有力地减少。图15示出了含有a)20%、b)40%和c)60%P-6的前驱物溶液的来自同一晶圆的代表性SEM影像。
以上实施例意在说明性而非限制性的。额外实施例在权利要求范围内。此外,尽管已参考特定实施例描述本发明,但本领域技术人员将认识到,可在不脱离本发明的精神和范围的情况下在形式和细节上作出改变。以引用的方式对以上文献的任何并入为限制性的,以使得并未并入与本文的明确揭示内容相反的主题。在与组分、元素、成分或其他部分一起描述本文中的特定结构、组合物和/或过程的程度上,除非以其他方式特定地指定,否则在某种程度上,应理解本发明涵盖特定实施例、包含特定组分、元素、成分、其他部分或其组合的实施例以及主要由可包括并不改变主题的基本性质的额外特征的此类特定组分、成分或其他部分或其组合组成的实施例。

Claims (15)

1.一种涂层溶液,其包含:
有机溶剂;
由下式表示的第一有机金属组合物:式RzSnO(2-(z/2)-(x/2))(OH)x,其中0<z≤2且0<(z+x)≤4;其中R为具有1-31个碳原子的烃基,且R通过C-Sn键结合至Sn;和
由式MX'v表示的可水解金属化合物,其中M为金属,选自由Hf、Zr、W、Ta、Co、Ni、In、Bi、Te和Sn组成的组,v=2至6的数字;且X'是通过可水解M-O或M-N键与M结合的配位体或其组合。
2.如权利要求1所述的涂层溶液,其中M为Sn且v=4。
3.如权利要求2所述的涂层溶液,其中2摩尔百分比至40摩尔百分比的Sn存在于所述可水解金属化合物中。
4.如权利要求1所述的涂层溶液,所述涂层溶液中具有0.0025M至1.5M的锡。
5.如权利要求1-4中任一项所述的涂层溶液,其中X'选自由以下各者组成的组:烷基酰胺基或二烷基酰胺基(-NR1R2,其中R1和R2独立地为具有1至10个碳原子之烃基或氢)、硅氧代基(-OSiR1R2 R3,其中R1和R2独立地为具有1至10个碳原子的烃基)、硅烷基酰胺基(-N(SiR1 3)(R2),其中R1和R2独立地为具有1至10个碳原子的烃基)、二硅烷基酰胺基(-N(SiR1 3)(SiR2 3),其中R1和R2独立地为具有1至10个碳原子的烃基)、烷基氧代基和芳基氧代基(-OR,其中R为具有1至10个碳原子的烷基或芳基)、叠氮基(-N3)、酰胺基(-NR1(COR2),其中R1和R2独立地为具有1至7个碳原子的烃基或氢)、脒基(-NR1C(NR2)R3),其中R1和R2独立地为具有1至8个碳原子的烃基或氢)、酰亚胺基(-N(COR1)(COR2),其中R1和R2独立地为具有1至8个碳原子的烃基或氢)或其氟化类似物或其组合。
6.如权利要求1-4中任一项所述的涂层溶液,其中X'为-NR1R2或-OR3配位体,其中R1、R2和R3为C1-C10烷基或环烷基或其组合。
7.如权利要求1-4中任一项所述的涂层溶液,其中R为甲基、乙基、异丙基、正丁基、仲丁基或叔丁基。
8.如权利要求1-4中任一项所述的涂层溶液,其中所述有机溶剂为醇类、酯类或其混合物。
9.如权利要求1或2所述的涂层溶液,其中第一有机金属组合物与可水解金属化合物的摩尔比大于1。
10.一种用于形成可辐射图案化涂层的方法,所述方法包含:
将基板上的前驱物涂层暴露于水中,其中所述前驱物涂层包含:
第一有机金属组合物RzSnO(2-(z/2)-(x/2))(OH)x,其中0<z≤2且0<(z+x)≤4,或R'nSnX4-n,其中n=1或2,且R和R'独立地为具有1至31个碳原子的烃基,且R和R'通过C-Sn键结合至Sn,且X是通过可水解Sn-X键结合至Sn的配位体或其组合,或其混合物;和
由式MX’v表示的无机组合物,其中v为2≤v≤6,且X'是通过可水解M-X'键与M结合的配位体,或其组合;
其中所述暴露导致所述前驱物涂层水解以形成涂层,所述涂层包含y[RzSnO(2-(z/2)-(w/2))(OH)w或R’nSnO(2-(z/2)-(w/2))(OH)w或其混合物]·zMO((m/2)-l/2)(OH)l,m=Mm+的形式价态,0≤l≤m,y/z=(0.05至0.6),且M=M'或Sn,其中M'为周期表的第2族至第16族的非锡金属。
11.如权利要求10所述的方法,其中所述暴露步骤包含将该基板加热至45℃至250℃的温度持续0.5分钟至30分钟。
12.如权利要求10所述的方法,其中使用在有机溶剂含有0.0025M至1.5M锡的溶液来形成所述前驱物涂层。
13.如权利要求12所述的方法,其中旋涂所述溶液以形成所述前驱物涂层。
14.如权利要求10-13中任一项所述的方法,其中所述第一有机金属组合物包含R'nSnX4-n
15.如权利要求10-13中任一项所述的方法,其中所述无机组合物包含SnX'4
CN201680060193.7A 2015-10-13 2016-10-12 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化 Active CN108351594B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110706883.8A CN113534609A (zh) 2015-10-13 2016-10-12 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562240812P 2015-10-13 2015-10-13
US62/240,812 2015-10-13
US201662297540P 2016-02-19 2016-02-19
US62/297,540 2016-02-19
PCT/US2016/056637 WO2017066319A2 (en) 2015-10-13 2016-10-12 Organotin oxide hydroxide patterning compositions, precursors, and patterning

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110706883.8A Division CN113534609A (zh) 2015-10-13 2016-10-12 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化

Publications (2)

Publication Number Publication Date
CN108351594A CN108351594A (zh) 2018-07-31
CN108351594B true CN108351594B (zh) 2021-07-09

Family

ID=58500023

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680060193.7A Active CN108351594B (zh) 2015-10-13 2016-10-12 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化
CN202110706883.8A Pending CN113534609A (zh) 2015-10-13 2016-10-12 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202110706883.8A Pending CN113534609A (zh) 2015-10-13 2016-10-12 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化

Country Status (7)

Country Link
US (8) US10228618B2 (zh)
EP (4) EP4089482A1 (zh)
JP (3) JP6805244B2 (zh)
KR (4) KR20230035713A (zh)
CN (2) CN108351594B (zh)
TW (6) TWI744252B (zh)
WO (1) WO2017066319A2 (zh)

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI639179B (zh) 2014-01-31 2018-10-21 美商蘭姆研究公司 真空整合硬遮罩製程及設備
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102450113B1 (ko) * 2014-10-23 2022-09-30 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
GB201517273D0 (en) 2015-09-30 2015-11-11 Univ Manchester Resist composition
JP6805244B2 (ja) * 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017156388A1 (en) 2016-03-11 2017-09-14 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10627719B2 (en) 2016-08-12 2020-04-21 Inpria Corporation Methods of reducing metal residue in edge bead region from metal-containing resists
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US20180347039A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Aerosol Assisted CVD For Industrial Coatings
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CA2975104A1 (en) 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI719360B (zh) * 2017-11-20 2021-02-21 美商英培雅股份有限公司 有機錫簇,有機錫簇之溶液,及於高解析度圖案化之應用
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
TWI814552B (zh) * 2018-04-05 2023-09-01 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
JP7305671B2 (ja) * 2018-04-11 2023-07-10 インプリア・コーポレイション ポリアルキル汚染が少ないモノアルキルスズ化合物、それらの組成物及び方法
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
KR20200144580A (ko) * 2018-05-11 2020-12-29 램 리써치 코포레이션 Euv 패터닝 가능한 하드 마스크들을 제조하기 위한 방법들
KR102207893B1 (ko) * 2018-05-25 2021-01-25 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102211158B1 (ko) * 2018-06-08 2021-02-01 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11054742B2 (en) * 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2019246254A1 (en) * 2018-06-21 2019-12-26 Inpria Corporation Stable solutions of monoalkyl tin alkoxides and their hydrolysis and condensation products
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102296793B1 (ko) * 2018-07-06 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102306444B1 (ko) * 2018-07-31 2021-09-28 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092889B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
KR102307977B1 (ko) * 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
JP6865794B2 (ja) * 2018-07-31 2021-04-28 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体レジスト用組成物およびこれを用いたパターン形成方法
KR102307981B1 (ko) * 2018-08-10 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102229623B1 (ko) * 2018-08-10 2021-03-17 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11031244B2 (en) * 2018-08-14 2021-06-08 Lam Research Corporation Modification of SNO2 surface for EUV lithography
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP6950662B2 (ja) 2018-10-30 2021-10-13 信越化学工業株式会社 基板保護膜形成用材料及びパターン形成方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
WO2020102085A1 (en) * 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020132281A1 (en) * 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11498934B2 (en) * 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) * 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
EP3953767A4 (en) * 2019-04-12 2023-06-07 Inpria Corporation ORGANOMETALLIC PHOTORESIN DEVELOPER COMPOSITIONS AND METHODS OF TREATMENT
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR102606844B1 (ko) * 2019-04-30 2023-11-27 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11327398B2 (en) 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
US11609494B2 (en) 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20220025020A (ko) * 2019-06-26 2022-03-03 램 리써치 코포레이션 할로겐 화학 물질들을 사용한 포토레지스트 현상
WO2020263750A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Apparatus for photoresist dry deposition
EP3990983A4 (en) * 2019-06-28 2023-07-26 Lam Research Corporation BAKING STRATEGIES TO INCREASE THE LITHOGRAPHIC PERFORMANCE OF A METAL CONTAINING RESIST
KR20220031647A (ko) * 2019-06-28 2022-03-11 램 리써치 코포레이션 복수의 패터닝 복사-흡수 엘리먼트들 및/또는 수직 조성 경사 (composition gradient) 를 갖는 포토레지스트
US20220365448A1 (en) 2019-07-02 2022-11-17 Oji Holdings Corporation Pattern forming method, resist material, and pattern forming apparatus
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
WO2021016229A1 (en) 2019-07-22 2021-01-28 Inpria Corporation Organometallic metal chalcogenide clusters and application to lithography
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11651961B2 (en) * 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7149241B2 (ja) 2019-08-26 2022-10-06 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP7264771B2 (ja) 2019-08-30 2023-04-25 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR102446362B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102446459B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR102480432B1 (ko) * 2019-11-18 2022-12-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
US11934101B2 (en) * 2019-11-27 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist composition and method of forming photoresist pattern
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN114200776A (zh) * 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102555497B1 (ko) * 2020-01-21 2023-07-12 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021178302A1 (en) * 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202147454A (zh) 2020-03-24 2021-12-16 日商東京威力科創股份有限公司 熱處理裝置及熱處理方法
US20210302839A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR102573327B1 (ko) * 2020-04-02 2023-08-30 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR102577300B1 (ko) * 2020-04-17 2023-09-08 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102538092B1 (ko) * 2020-04-17 2023-05-26 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
WO2021226016A1 (en) 2020-05-06 2021-11-11 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
KR102619719B1 (ko) * 2020-05-12 2023-12-28 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3919979A1 (en) 2020-06-02 2021-12-08 Imec VZW Resistless patterning mask
TW202205433A (zh) * 2020-06-19 2022-02-01 日商東京威力科創股份有限公司 蝕刻方法、基板處理裝置及基板處理系統
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
JP2023532920A (ja) 2020-07-03 2023-08-01 インテグリス・インコーポレーテッド 有機スズ化合物を調製するための方法
CN115004110A (zh) 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
JP2023534960A (ja) * 2020-07-17 2023-08-15 ラム リサーチ コーポレーション 有機共反応物を含む乾式堆積フォトレジスト
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20230053617A (ko) * 2020-08-25 2023-04-21 인프리아 코포레이션 반응물을 제공하는 편리한 리간드를 갖는 유기주석 조성물의 제조 방법
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR102586112B1 (ko) 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102586099B1 (ko) * 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
KR102598259B1 (ko) * 2020-12-18 2023-11-02 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220197146A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Photoresists by physical vapor deposition
CN116888133A (zh) * 2021-01-28 2023-10-13 恩特格里斯公司 制备有机锡化合物的方法
US11697660B2 (en) * 2021-01-29 2023-07-11 Entegris, Inc. Process for preparing organotin compounds
JP2024506160A (ja) * 2021-02-12 2024-02-09 ラム リサーチ コーポレーション 量子効率の良いフォトレジストおよびその方法
US20220269169A1 (en) * 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
WO2022182473A1 (en) * 2021-02-23 2022-09-01 Lam Research Corporation Halogen-and aliphatic-containing organotin photoresists and methods thereof
JPWO2022202402A1 (zh) * 2021-03-26 2022-09-29
TWI773231B (zh) * 2021-04-07 2022-08-01 國立成功大學 製備金屬奈米粒子的方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR20240012409A (ko) * 2021-05-25 2024-01-29 도쿄엘렉트론가부시키가이샤 극자외선 패터닝을 위한 유기금속 막
US20220397826A1 (en) * 2021-06-15 2022-12-15 Inpria Corporation Organotin patterning materials with ligands having silicon/germanium; precursor compositions; and synthesis methods
TW202304941A (zh) * 2021-06-18 2023-02-01 美商恩特葛瑞斯股份有限公司 製備有機錫化合物的方法
KR20240039029A (ko) 2021-07-30 2024-03-26 메르크 파텐트 게엠베하 디유기주석 디할라이드의 제조
KR102382858B1 (ko) * 2021-08-06 2022-04-08 주식회사 레이크머티리얼즈 트리할로 주석 화합물의 제조방법 및 이를 포함하는 트리아미드 주석 화합물의 제조방법
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11459656B1 (en) 2021-09-13 2022-10-04 Gelest, Inc Method and precursors for producing oxostannate rich films
WO2023043682A1 (en) * 2021-09-14 2023-03-23 Entegris, Inc. Synthesis of fluoroalkyl tin precursors
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
WO2023096894A1 (en) * 2021-11-24 2023-06-01 Entegris, Inc. Organotin precursor compounds
US11827659B2 (en) * 2022-03-31 2023-11-28 Feng Lu Organometallic tin compounds as EUV photoresist
WO2023227564A1 (en) 2022-05-26 2023-11-30 Merck Patent Gmbh Developable resist overlayer composition as well as method for manufacturing resist overlayer pattern and resist pattern
WO2023235534A1 (en) 2022-06-02 2023-12-07 Gelest, Inc. High purity alkyl tin compounds and manufacturing methods thereof
WO2023235416A1 (en) * 2022-06-03 2023-12-07 Entegris, Inc. Compositions and related methods of alkyltintrihalides
US20230408916A1 (en) * 2022-06-06 2023-12-21 Inpria Corpoartion Gas-based development of organometallic resist in an oxidizing halogen-donating environment
WO2023245047A1 (en) * 2022-06-17 2023-12-21 Lam Research Corporation Tin precursors for deposition of euv dry resist
WO2024017921A1 (en) 2022-07-22 2024-01-25 Merck Patent Gmbh Developer tolerance resist underlayer composition and method for manufacturing resist pattern
US20240045332A1 (en) * 2022-08-02 2024-02-08 Tokyo Electron Limited Method of forming photosensitive organometallic oxides by chemical vapor polymerization
US20240085785A1 (en) * 2022-08-17 2024-03-14 Inpria Corporation Additives for metal oxide photoresists, positive tone development with additives, and double bake double develop processing
IL305619A (en) 2022-09-14 2024-04-01 Shinetsu Chemical Co Compound for forming a metal-containing layer, composition for forming a metal-containing layer, printing method, and semiconductor masking sensitizer
WO2024064071A1 (en) * 2022-09-20 2024-03-28 Lam Research Corporation Bake-sensitive underlayers to reduce dose to size of euv photoresist

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1457504A (zh) * 2000-06-06 2003-11-19 Ekc技术公司 电子材料的制造方法
CN1524104A (zh) * 2001-04-09 2004-08-25 积水化学工业株式会社 光反应性组合物
CN101263429A (zh) * 2005-08-29 2008-09-10 东京应化工业株式会社 膜形成组合物、使用此膜形成组合物的图案形成方法以及立体模型
JP2012203061A (ja) * 2011-03-24 2012-10-22 Jnc Corp 金属アルコキシドを含有する感光性組成物及びそれを用いたパターン状透明膜の製造方法
WO2015026482A2 (en) * 2013-08-22 2015-02-26 Inpria Corporation Organometallic solution based high resolution patterning compositions

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385915A (en) 1966-09-02 1968-05-28 Union Carbide Corp Process for producing metal oxide fibers, textiles and shapes
US3635883A (en) 1970-05-07 1972-01-18 Stauffer Chemical Co Stabilized styrene-acrylonitrile polymer compositions
US3949146A (en) * 1973-08-24 1976-04-06 Rca Corporation Process for depositing transparent electrically conductive tin oxide coatings on a substrate
US4104292A (en) 1976-11-02 1978-08-01 M&T Chemicals Inc. Method for preparing organotin compounds
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US4380559A (en) 1980-09-25 1983-04-19 Murata Manufacturing Co., Ltd. Method for producing boundary layer semiconductor ceramic capacitors
JPS57123126A (en) 1981-01-23 1982-07-31 Adeka Argus Chem Co Ltd Stabilized allyl chloride composition
US4380599A (en) * 1981-02-06 1983-04-19 Berger, Jenson And Nicholson Ltd. Organotin polymers method of making them and paints containing them
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4639208A (en) 1984-04-03 1987-01-27 Matsushita Electric Industrial Co., Ltd. Pulse combustion apparatus with a plurality of pulse burners
US4601917A (en) 1985-02-26 1986-07-22 M&T Chemicals Inc. Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings
US4710122A (en) 1986-03-07 1987-12-01 Villanueva Eliseo H Machine for manufacturing flat bodies in a continuous line
US5025094A (en) 1985-07-10 1991-06-18 Union Carbide Chemicals And Plastics Technology Corporation Heterogeneous alkoxylation using anion-bound metal oxides
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
DE3738634C2 (de) 1986-11-13 1996-11-14 Sunstar Engineering Inc Epoxyharzmasse mit darin dispergierten Siliconharzteilchen
JPH07733B2 (ja) 1986-11-13 1995-01-11 サンスタ−技研株式会社 エポキシ樹脂組成物
JPH01175118A (ja) * 1987-12-28 1989-07-11 Central Glass Co Ltd 透明導電膜の形成法
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
JPH03148659A (ja) 1989-11-06 1991-06-25 Fujitsu Ltd 電離放射線感応性ネガ型レジスト材料組成物
US7323581B1 (en) * 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
US5698262A (en) * 1996-05-06 1997-12-16 Libbey-Owens-Ford Co. Method for forming tin oxide coating on glass
US5891985A (en) 1996-10-09 1999-04-06 E. I. Du Pont De Nemours And Company Soluble mono-alkyl stannoic acid catalyst and its use in preparing high molecular weight polyesters
WO2002057812A2 (en) * 2001-01-17 2002-07-25 Neophotonics Corporation Optical materials with selected index-of-refraction
US6183716B1 (en) 1997-07-30 2001-02-06 State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method
EP0959496B1 (en) * 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
US6179922B1 (en) 1998-07-10 2001-01-30 Ball Semiconductor, Inc. CVD photo resist deposition
US6060380A (en) 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6197896B1 (en) 1999-07-12 2001-03-06 International Business Machines Corporation Graft polymers and use thereof
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
JP4631011B2 (ja) 2000-12-28 2011-02-16 日産化学工業株式会社 導電性酸化スズ膜のパターニング方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6521295B1 (en) * 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
KR20030057133A (ko) 2001-12-28 2003-07-04 삼성전자주식회사 금속 패턴 형성용 유기금속 전구체 및 이를 이용한 금속패턴 형성방법
JP4110952B2 (ja) * 2002-01-16 2008-07-02 株式会社村田製作所 誘電体薄膜の形成方法
JP4159476B2 (ja) * 2002-03-19 2008-10-01 株式会社 液晶先端技術開発センター 配線金属層の形成方法、金属を選択的に形成する方法、金属を選択的に形成する装置および基板装置
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6946677B2 (en) 2002-06-14 2005-09-20 Nokia Corporation Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same
KR100520961B1 (ko) 2003-05-30 2005-10-17 엘지전자 주식회사 인쇄회로기판의 제조방법
US6927108B2 (en) 2003-07-09 2005-08-09 Hewlett-Packard Development Company, L.P. Solution-processed thin film transistor formation method
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7071121B2 (en) 2003-10-28 2006-07-04 Hewlett-Packard Development Company, L.P. Patterned ceramic films and method for producing the same
US7001821B2 (en) 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
JP4602971B2 (ja) * 2004-02-20 2010-12-22 日本曹達株式会社 光感応性基体及びパターニング方法
WO2005124849A2 (en) * 2004-04-21 2005-12-29 Aviza Technology, Inc. System and method for forming multi-component dielectric films
US7773365B2 (en) 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US7312165B2 (en) * 2004-05-05 2007-12-25 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
US20060088962A1 (en) 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
DE102005002960A1 (de) * 2005-01-21 2006-08-03 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Kompositzusammensetzung für mikrogemusterte Schichten mit hohem Relaxationsvermögen, hoher chemischer Beständigkeit und mechanischer Stabilität
JP2006284947A (ja) 2005-03-31 2006-10-19 Fuji Photo Film Co Ltd 遮光膜用感光性樹脂組成物、遮光膜の作製方法、転写材料及びその製造方法
KR100643570B1 (ko) 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
US8969865B2 (en) 2005-10-12 2015-03-03 Hewlett-Packard Development Company, L.P. Semiconductor film composition
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE102006033280A1 (de) * 2006-07-18 2008-01-24 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Kompositzusammensetzung für mikrostrukturierte Schichten
US20080055597A1 (en) 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
JP4844299B2 (ja) * 2006-09-01 2011-12-28 Tdk株式会社 ホログラム記録材料、その製造方法及びホログラム記録媒体
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
JP2010508560A (ja) 2006-11-01 2010-03-18 ステイト オブ オレゴン アクティング バイ アンド スルー ザ ステイト ボード オブ ハイヤー エデュケーション オン ビハーフ オブ オレゴン ステイト ユニバーシティー 溶液処理薄膜および積層体、薄膜および積層体を備えた装置、その使用および製造方法
TWI334177B (en) 2007-03-29 2010-12-01 Nanya Technology Corp Method for forming a semiconductor device
US7709056B2 (en) 2007-05-16 2010-05-04 Uchicago Argonne, Llc Synthesis of transparent conducting oxide coatings
US7799503B2 (en) 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
CN101441415A (zh) * 2007-10-12 2009-05-27 气体产品与化学公司 抗反射涂层
US20090174036A1 (en) 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
KR100954541B1 (ko) 2008-03-20 2010-04-23 한국화학연구원 신규의 주석 아미노알콕사이드 화합물 및 그 제조 방법
WO2009120169A1 (en) 2008-03-27 2009-10-01 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for the use and manufacture
EP2123659A1 (en) * 2008-05-15 2009-11-25 Arkema France High purity monoalkyltin compounds and uses thereof
JP2010094583A (ja) * 2008-10-14 2010-04-30 Nippon Soda Co Ltd 有機薄膜の製造方法
GB2466486A (en) 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
KR20110064153A (ko) 2009-12-07 2011-06-15 삼성전자주식회사 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법
US8366967B2 (en) 2010-02-22 2013-02-05 Inpria Corporation Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8796483B2 (en) 2010-04-01 2014-08-05 President And Fellows Of Harvard College Cyclic metal amides and vapor deposition using them
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP2014502590A (ja) 2010-12-08 2014-02-03 東レ・ダウコーニング株式会社 金属酸化物ナノ粒子の変性方法
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9477087B2 (en) 2013-03-12 2016-10-25 3DIcon Corporation Holoform 3D projection display
US9005875B2 (en) 2013-03-15 2015-04-14 Intel Corporation Pre-patterned hard mask for ultrafast lithographic imaging
US20140303283A1 (en) 2013-03-15 2014-10-09 The Sherwin-Williams Company Curable compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
JP6167016B2 (ja) 2013-10-31 2017-07-19 富士フイルム株式会社 積層体、有機半導体製造用キットおよび有機半導体製造用レジスト組成物
TWI639179B (zh) * 2014-01-31 2018-10-21 美商蘭姆研究公司 真空整合硬遮罩製程及設備
KR20170059992A (ko) 2014-09-17 2017-05-31 제이에스알 가부시끼가이샤 패턴 형성 방법
KR20170059991A (ko) 2014-09-17 2017-05-31 제이에스알 가부시끼가이샤 패턴 형성 방법
KR102450113B1 (ko) * 2014-10-23 2022-09-30 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US10695794B2 (en) * 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
JP6805244B2 (ja) * 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
KR20180063754A (ko) 2016-12-02 2018-06-12 삼성전자주식회사 주석 화합물, 그의 합성 방법, ald용 주석 전구체 화합물 및 함주석 물질막의 형성 방법
KR20190099429A (ko) 2016-12-28 2019-08-27 제이에스알 가부시끼가이샤 감방사선성 조성물, 패턴 형성 방법 및 금속 산화물
WO2018123388A1 (ja) 2016-12-28 2018-07-05 Jsr株式会社 感放射線性組成物、パターン形成方法並びに金属含有樹脂及びその製造方法
WO2018139109A1 (ja) 2017-01-26 2018-08-02 Jsr株式会社 感放射線性組成物及びパターン形成方法
KR20190124205A (ko) 2017-03-13 2019-11-04 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1457504A (zh) * 2000-06-06 2003-11-19 Ekc技术公司 电子材料的制造方法
CN1524104A (zh) * 2001-04-09 2004-08-25 积水化学工业株式会社 光反应性组合物
CN101263429A (zh) * 2005-08-29 2008-09-10 东京应化工业株式会社 膜形成组合物、使用此膜形成组合物的图案形成方法以及立体模型
JP2012203061A (ja) * 2011-03-24 2012-10-22 Jnc Corp 金属アルコキシドを含有する感光性組成物及びそれを用いたパターン状透明膜の製造方法
WO2015026482A2 (en) * 2013-08-22 2015-02-26 Inpria Corporation Organometallic solution based high resolution patterning compositions

Also Published As

Publication number Publication date
CN113534609A (zh) 2021-10-22
WO2017066319A3 (en) 2017-08-24
JP7179816B2 (ja) 2022-11-29
TW202230049A (zh) 2022-08-01
TW202126669A (zh) 2021-07-16
US10732505B1 (en) 2020-08-04
US20220334488A1 (en) 2022-10-20
TWI761135B (zh) 2022-04-11
US20170102612A1 (en) 2017-04-13
KR102346372B1 (ko) 2021-12-31
US20220299878A1 (en) 2022-09-22
KR102508142B1 (ko) 2023-03-08
TW202212344A (zh) 2022-04-01
US20230004090A1 (en) 2023-01-05
US20190137870A1 (en) 2019-05-09
CN108351594A (zh) 2018-07-31
JP2023027078A (ja) 2023-03-01
WO2017066319A2 (en) 2017-04-20
EP3896520A1 (en) 2021-10-20
US20220334487A1 (en) 2022-10-20
KR102204773B1 (ko) 2021-01-18
TWI777408B (zh) 2022-09-11
EP4273625A2 (en) 2023-11-08
US10228618B2 (en) 2019-03-12
US20200371439A1 (en) 2020-11-26
KR20180054917A (ko) 2018-05-24
EP4273625A3 (en) 2024-02-28
EP3391148A4 (en) 2019-10-02
TW202126670A (zh) 2021-07-16
EP3391148B1 (en) 2021-09-15
TWI783376B (zh) 2022-11-11
US20200257196A1 (en) 2020-08-13
KR20210135647A (ko) 2021-11-15
JP6805244B2 (ja) 2020-12-23
US11809081B2 (en) 2023-11-07
JP2021073367A (ja) 2021-05-13
WO2017066319A8 (en) 2017-05-18
US11537048B2 (en) 2022-12-27
EP3896520B1 (en) 2022-10-05
EP3391148A2 (en) 2018-10-24
JP2019500490A (ja) 2019-01-10
US11754924B2 (en) 2023-09-12
TW201734025A (zh) 2017-10-01
TWI744252B (zh) 2021-11-01
TW202126668A (zh) 2021-07-16
KR20210008151A (ko) 2021-01-20
EP4089482A1 (en) 2022-11-16
US10775696B2 (en) 2020-09-15
KR20230035713A (ko) 2023-03-14

Similar Documents

Publication Publication Date Title
CN108351594B (zh) 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化
JP7227205B2 (ja) 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant