CN1457504A - 电子材料的制造方法 - Google Patents

电子材料的制造方法 Download PDF

Info

Publication number
CN1457504A
CN1457504A CN01812334A CN01812334A CN1457504A CN 1457504 A CN1457504 A CN 1457504A CN 01812334 A CN01812334 A CN 01812334A CN 01812334 A CN01812334 A CN 01812334A CN 1457504 A CN1457504 A CN 1457504A
Authority
CN
China
Prior art keywords
layer
substrate
presoma
pattern
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01812334A
Other languages
English (en)
Other versions
CN1268177C (zh
Inventor
D·J·马洛尼
W·M·李
P·J·小罗曼
M·A·富利
R·H·希尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Simon Fraser University
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Publication of CN1457504A publication Critical patent/CN1457504A/zh
Application granted granted Critical
Publication of CN1268177C publication Critical patent/CN1268177C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及构造硬掩膜。一个实施方案涉及到在直接形成图案的过程中把前驱体转化为顶表面图案层。本发明的另一个实施方案是在基底上形成刻蚀图案的方法。本发明的再一个实施方案是在基底上形成注入区的方法。优选的前驱体由一种金属络合物形成,该金属络合物含有至少一种配位体和至少一种金属,所述配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸基、胺、卤素基、硝基和它们的混合物;所述金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。

Description

电子材料的制造方法
发明领域
本发明涉及电子材料的织构方法,在此方法中涉及形成硬掩膜。在一个实施方案中,涉及在直接形成图案的步骤中,把一种前驱体转化为顶表面影像层。
发明背景
在半导体和封装工业中使用传统的方法在其产品中形成薄的金属和金属氧化物膜。这种方法的例子包括蒸发、溅射沉积或溅射、化学气相沉积(CVD)和热氧化方法等。蒸发是在希望沉积的基底附近加热待沉积金属的一种方法。一般在真空条件下进行,要沉积的材料挥发然后在基底上凝聚,在此基底上产生一个覆盖层,或者说无图案的所需材料的膜。此方法有几个缺点,包括须要把希望成膜的材料加热到高温并需要高真空的条件。除非在蒸发的过程中使用屏蔽或者掩蔽物,用此方法都会导致无图案的覆盖膜。
溅射是一种与蒸发相类似的技术,在此技术中通过用具有足够动能的入射原子轰击用于沉积的材料使之转化为气相,因而该材料的粒子被驱逐进入气相并随后在基底上凝聚。溅射具有和蒸发一样的缺点,另外还需要能够产生足够动能的入射粒子,以驱逐出这些沉积材料的粒子的设备和消耗品。
CVD和蒸发以及溅射相似,但还要求在沉积的过程中使沉积在基底上的粒子经过化学反应,以在此基底上形成膜。虽然在需要化学反应这一点上CVD与蒸发和溅射不同,可是在膜沉积的过程中,CVD方法仍然须要使用复杂的设备以及温度和压力的苛刻条件。
热氧化也需要温度和氧气气氛的苛刻条件。在这种技术中,通过对早先在基底上沉积的未氧化层进行氧化,在基底上产生一层氧化膜覆盖层。
有几种现有的膜沉积方法可以在环境温度和压力下进行,这包括溶胶-凝胶法和其它旋压法。在这些方法中,在基底上涂布含有一种前驱体粒子的溶液,这些颗粒随后可转变为所需的膜成分。可以用旋涂或旋注的方法进行此溶液的涂布,此时将基底围绕一根轴旋转,同时将溶液滴到基底的中间。在进行这样的涂布以后,使涂布好的基底经受高温处理,这样就把前驱体膜转变为所需材料的膜。因此,这些方法不允许直接成像以形成无定形膜的图案。相反,他们会产生由所需材料形成的无图案覆盖膜。这些方法与气相法相比,对设备的要求不那么苛刻,但对外界温度仍然有要求,以影响沉积膜向所需材料的转化。
在一种给覆盖膜形成图案的方法中,用光敏涂层涂布在覆盖膜上(一般是使用旋涂或其它溶液基的涂布方法,或者应用干光敏膜)。通过掩膜用特定波长的光使此光敏层进行选择性地曝光。曝光改变了光敏层曝光区的溶解性,使得能够用显影溶液选择性地除去曝光区或未曝光区。然后使用剩余的材料作为图案转移介质,或者叫刻蚀介质的掩膜,此刻蚀介质在所需材料上形成出图案。在此刻蚀步骤之后,除去剩下的材料(从前的光敏材料),如果需要,清洗掉在刻蚀方法的过程中产生的所有副产物。
在基底上形成有图案薄膜的另一种方法中,可以如上所述为光敏材料形成图案。在形成图案以后,在形成了图案的材料(从前的光敏材料)的表面上可沉积上所需材料的保形覆盖层,然后将带有所形成图案的材料和所需材料覆盖膜的基底进行曝光,对从前的光敏材料进行腐蚀处理。此处理除去残留的原光敏材料,与其一起还除去了在表面上的一部分所需材料的覆盖膜。以这样的方式得到了所需材料的带图案膜,在此“揭层(liftoff)”方法中,刻蚀步骤不是必需的。然而,仍然须要使用中间图案转移介质(光敏材料),这就是本方法的缺点。已知此“揭层”法对分辨率(最小尺寸)有严格的限制,这可由所需材料的图案来确定。此缺点严格地限制了此方法的用途。
因此很明显的是,沉积以后须要形成图案的覆盖膜都需要几个极其昂贵和困难的加工步骤。
在另外一个形成带图案膜的方法中,可以通过比如上述方法之一在一个比如用前面所述的刻蚀方法预先形成了图案的基底上沉积所需材料的覆盖膜。用这样的方法沉积的覆盖膜,使其厚度充满并完全覆盖在基底上现有的图案。然后按照各向同性的方式除去一部分覆盖膜,直到残留的所需材料和预先形成了图案的基底表面处于同一高度。因此,所需材料存在于镶嵌于预先形成了图案的基底中的图案中。可以通过刻蚀的方法来实现将所需材料进行的各向同性地去除;一般在制造半导体器件的情况下,通过已知的方法,比如化学机械平面化(CMP)来实现此去除。这就涉及到使用带有化学试剂的粒子浆液,通过化学作用和机械作用的结合,来除去有足够数量的所需材料,镶嵌在有图案的基底中的所需位置上留下了所需材料。这种形成带有图案膜的方法须要使用昂贵的和复杂的平面化设备和额外的消耗材料,包括平面化的垫子、浆液和化学试剂。另外,使用浆液的小颗粒要求这些颗粒以后要从平面化的表面上被除去,这也需要额外的加工步骤。
虽然这些方法中,某一些比另外一些对设备的要求更高,而且在使用溶液,还是使用气相的方法中是不同的,而对于形成金属膜和金属氧化物膜来说,这样的传统工艺都不是最佳的方法,因为比如它们各自都需要昂贵的设备、操作费时间、要求使用高温来达到希望的结果,而且得到的覆盖膜是没有形成图案的,如果须要形成图案,还需要另一个形成图案的步骤。许多这样的方法还具有另外的缺点,在许多情况下会形成多晶膜,对于许多应用,这种膜是不适用的。这些方法的另外一种有希望的方案,是使用一种前驱体材料,此材料可以涂布在基底上,并选择性地形成出影像和图案,无须中间步骤就能够形成无定形的膜。
在半导体加工中膜的一个用途就是形成薄的顶部表面影像层(在后面称为TSI),一般是一种已经涂布在基底上的有机表层。在此情况下,此有机层无须是光活性的,因为要沉积的膜随后要用常规的方法形成图案。对于TSI来说,使用这样的膜赋予加工方法有几个优点,这包括不用光刻胶掩膜就能够提供耐等离子体刻蚀的性能,以及用很薄的膜提供增大的平版印刷的分辨率。对于TSI典型的膜包括金属和硅的氮化物和氧化物的膜,对已知是甲硅烷基化的方法已经进行了许多研究。此方法涉及在预先沉积的有机层的表面上有蒸汽沉积的方法沉积含硅化合物的膜。然后可在此含硅化合物膜上形成出影像,形成氧化硅膜,在下面的有机层进行氧-等离子体刻蚀图案时,它可作为TSI层。由于方法和成本的限制,半导体和封装工业对甲硅烷基化的接受是无关紧要的。
膜在半导体加工中的另一个应用是形成硬掩膜,比如用于离子注入方法中。离子注入(ion implantation)法是公知使用的技术,比如在半导体织构中用于在基底上形成掺杂区(doped regions)。离子注入经常要求带图案的阻隔层,此层已知作为硬掩膜,此膜使待要注入的离子只导向预先确定的区域。比如,在Shimizu等人的US5,436,176的实施方案1中公开了一种在被氧化硅膜覆盖的硅基底上进行无掩膜注入的技术,据公开是用硼原子进行的三次注入。另外同一个专利在实施方案3中公开了在三次重复法中使用多重硬掩膜进行注入的方法,此方法依次包括如下步骤:在氧化硅膜覆盖的硅基底上形成掩膜、用含磷化合物进行注入,形成二次掩膜、用硼注入,以及最后进行退火。
正如在前面所讨论的,用这些方法中的任何一种形成硬掩膜都要求比较多的加工步骤。取消在刻蚀或离子注入前的某些步骤将是有益的,因为这将比如简化使用的方法,提高其效率以及降低其成本。
解决此问题的一个办法涉及使用光刻胶作为掩膜。然而,众所周知的是,对某些等离子体的刻蚀化学品,特别是用于有机层形成图案时,光刻胶的耐受性很低,这种有机层可作为中间保护层,或者作为低介电常数(低K值)的介电材料和低离子终止能,发现了日益增多的用途。因此,需要难以接受的厚掩膜,使得在遮掩层被完全腐蚀掉以前完成待形成图案的层的刻蚀,或者避免在基底上涂布了掩膜的区域进行了注入。另一个缺点是,被注入了离子的光刻胶非常难以从晶片上除掉。也尝试过用另外的办法解决此问题,比如先涂布一层硬掩膜,然后在硬掩膜的上面再涂布一层光刻胶层,然后在进行刻蚀或离子注入前形成上图案。在等离子体刻蚀或离子注入前,合并现有技术方法中公开的多个步骤中的某一些,或者甚至取消一种或几种,将有助于使这些方法简化。因此,在等离子体形成图案或离子注入方法中取消某些步骤的方法将是我们十分希望的。
作为制造金属和金属氧化物硬掩膜的廉价的方法,开发出了用于金属络合物前驱体沉积的本方法。此方法的一个实施方案,即光化学金属有机化合物沉积法,涉及到使用金属络合物前驱体用的金属有机化合物,还涉及把金属有机化合物转化为金属或金属氧化物膜的方法,比如入射的辐射或热能。具体说,在此方法中,比如通过把金属有机化合物的前驱体溶解于适当的有机溶剂形成前驱体溶液,用任何已知的方法将其沉积在表面上,从而就把金属有机化合物前驱体涂布在表面上。然后通过部分转化方法和/或转化方法,比如在能源,如在光、离子束轰击、电子束轰击下曝光或在热处理或退火下曝光就把此前驱体至少部分转化为金属或金属氧化物层。因此,本方法在例如半导体和封装工业中具有用途。
Hill等人的US5,534,312公开了一种在基底上制造带图案的含金属材料的不用光刻胶的方法,该方法包括在基底表面上沉积一层金属络合物的无定形膜、把膜放置在选择的气氛中以及用电磁辐射,优选用紫外线,任选经过掩膜对此膜被选择的区域进行曝光,以引起在此选择区的金属络合物发生光化学反应等步骤。然而,此参考材料没有设想使用带图案的含金属的材料作为硬掩膜来保护底层不受等离子体刻蚀环境的影响。
Thomson等人的US6,071,676公开,其集成电路的制造方法引起所涂布化合物的降解,此时该化合物与辐射或离子束接触。换句话说,发生化合物降解的沉积层的尺度正比于辐射束的聚焦宽度。据公开,此方法可达到纳米级的尺度。在化合物降解之处就形成了金属或其它导体物质的沉积层,然后可使用该方法直接在基底上制造集成电路。据说此沉积层优选是金属或者金属合金,此金属可以是金、锡或者铬,或者此沉积层可以是非金属或半金属导体,比如锗。在另外一方面,提供一种集成电路的制造方法,此方法包括在基底上涂布一种在辐射或离子束作用下会发生降解,得到导体的,优选是金属的沉积层、在该化合物的选择表面区域上照射辐射或离子束,以及从基底上去掉降解的化合物和未受影响的化合物。
本发明的方法能够提供带图案的硬掩膜,以此代替在传统的TSI法和离子注入法中使用的氧化物层和光刻胶层,而且通过减少必须进行的加工步骤数简化这些方法。本发明的另一个优点是,得到的材料具有更好的耐受等离子体刻蚀化学品的性能。这还赋予本发明另外一个优点,就是允许使用极薄的膜作为掩膜,提高了平版印刷方法的最终分辨率,能够形成更小、更细的印刷外貌。本发明的再一个优点是,得到的材料具有更好的离子注入阻隔性能和终止能。另外,本发明的方法在如下方面是有利的,即使得能够将新材料用于形成图案层,比如用铂、铱、氧化铱、钌和氧化钌,已知在现有技术中这些材料是很难或者根本不能用传统方法刻蚀的。
发明概述
本发明的一个实施方案是在一个基底上形成硬掩膜的方法,该方法包括如下的步骤:
—选择至少一种前驱体材料;
—在基底的顶部形成含有该前驱体的一层;
—覆盖至少一部分该前驱体层;
—给该前驱体层显影,由此在该前驱体层上形成图案;以及
—将该图案转移到基底上,因此在形成图案时就不使用光刻胶。
可以用显影剂把前驱体层的未转化部分显影而去掉。另外,也可以用显影剂把前驱体层的转化部分显影而去掉。显影剂可以是含有至少一种醇和至少一种酮的液体显影剂,其中全部醇的总体积大于在此液体显影剂中所存在的所有醇的体积加上所有酮的体积总和的50%。此显影剂的至少一种醇优选是异丙醇,至少一种酮优选是甲基异丁基酮,异丙醇和甲基异丁基酮的体积比大于大约1∶1,而小于大约40∶1。
本发明的另一个实施方案是在基底上形成硬掩膜的方法,该方法包括如下的步骤:
—选择至少一种前驱体材料;
—在基底顶部任选地形成一层保护层;
—在该保护层上形成含有未转化前驱体的一层;
—将至少部分未转化前驱体层进行部分转化;
—基本上去掉至少部分未转化前驱体层,形成图案;以及
—将至少一部分部分转化的前驱体层进行转化,形成硬掩膜。
可以用选自光、电子束辐照、离子束辐照和它们的组合的能源,经过包括至少一部分对此能源基本上是透明的带图案掩膜来实现部分转化。使用显影剂可以基本上去掉至少部分未覆盖的前驱体层。可以用选自光、电子束辐照、离子束辐照、热退火和它们组合的能源来实现转化。
本发明的再一个实施方案是一种在基底上形成刻蚀图案的方法,该方法包括如下步骤:
—选择至少一种前驱体材料;
—在基底的顶部形成含有未转化前驱体的一层;
—将至少一部分未转化的前驱体层进行部分转化;
—基本上去掉至少一部分未转化前驱体层;
—通过把至少一部分的部分转化的前驱体层进行转化,形成硬掩膜;以及
—通过刻蚀至少部分基本上被硬掩膜覆盖的基底,在基底上形成至少一个图案区。
本发明的又一个实施方案是形成带图案薄顶表面的方法,该方法包括如下步骤:
—选择至少一种含有金属络合物的前驱体材料;
—在基底的顶上形成图案转移层;
—在图案转移层的顶上形成含有未转化前驱体的一层;
—通过包括至少一部分对光基本上透明的部分的带图案掩膜使一部分未转化前驱体层曝光,由此将该曝光部分进行转化;
—基本上去掉至少一部分未转化前驱体层,由此去掉至少一部分图案转移层的覆盖;
—通过将至少一部分的部分转化前驱体层进行转化来形成硬掩膜;
—对至少部分转化的前驱体和图案转移层的未覆盖的部分进行刻蚀,由此从未覆盖的图案转移层形成至少一个刻蚀部分;以及
—基本上去掉剩下的至少部分转化的前驱体层和图案转移层,由此暴露至少一部分基底。
此图案转移层可选自光刻胶、聚酰亚胺、聚甲基丙烯酸甲酯、线型酚醛树脂和环氧树脂。
本发明的另外一个实施方案是在揭起层上形成带图案薄顶表面的方法,此方法包括如下步骤:
—选择至少一种含有金属络合物的前驱体材料;
—在基底顶上形成一个隔离层(release layer);
—在隔离层的顶上形成一个揭起层;
—在此揭起层的顶上形成一层含有未转化前驱体的层;
—通过一个图案掩膜使一部分未转化前驱体层曝光,此掩膜包括至少一部分对光是基本上透明的,由此把曝光部分进行部分转化;
—基本上除去至少一部分未转化前驱体层,由此使至少一部分揭起层曝露出来,而其余部分揭起层被部分转化的前驱体层所覆盖;
—通过将至少一部分的部分转化的前驱体层进行转化来形成硬掩膜;
—使至少部分转化的前驱体和揭起层的暴露部分经受各向异性去除步骤(mean),由此基本上除去揭起层的曝露部分和至少一部分在揭起层曝露部分下面的隔离层,曝露出至少一部分基底;
—将至少部分转化的前驱体、揭起层的覆盖部分和至少一部分在揭起层覆盖部分底下的隔离层经受各向同性去除方法(mean);
—在至少一部分曝露的基底顶上和至少部分转化的前驱体顶上沉积上金属膜;
—基本上除去在至少部分转化的前驱体顶上的金属;以及
—基本上除去残留的至少部分转化的前驱体、揭起层和隔离层,由此在基底顶上形成金属图案。
本发明的另外一个实施方案是在揭起层的上面形成带图案薄顶表面的方法,该方法包括如下步骤:
—选择至少一种含有金属络合物的前驱体材料;
—在基底顶上形成含有未转化前驱体材料的一个层;
—将至少一部分未转化前驱体层进行部分转化;
—基本上除去至少一部分未转化前驱体层,由此在部分转化的前驱体部分形成向里具有锥度的侧壁轮廓并曝露至少一部分基底;
—通过转化至少一部分部分转化的前驱体层来形成硬掩膜;
—在至少一部分曝露的基底上和至少部分转化的前驱体顶上沉积上金属膜;
—基本上除去在至少部分转化的前驱体顶上上的金属;以及
—基本上除去残留的至少部分转化的前驱体,由此在基底顶上形成金属的图案。
本发明的另一个实施方案是一种在基底上形成注入区的方法,该方法包括如下步骤:
—选择至少一种前驱体材料;
—任选地在基底顶上形成一保护层;
—在此保护层的顶上形成一含有至少一种未转化前驱体材料的层;
—通过把至少一部分未转化前驱体层进行部分转化,形成一部分部分转化的前驱体层;
—通过使用显影剂,基本上除去至少一部分未转化前驱体层;
—通过把至少一部分部分转化的前驱体层进行转化,形成硬掩膜;
—通过在至少一部分基本上没有被硬掩膜覆盖的基底上注入离子,在基底上形成至少一个注入区。
可以用选自光、电子束辐照、离子束辐照和它们的组合的能源,通过包括至少一个对这些能源透明部分的带图案掩膜来实现部分转化。可以用选自光、电子束辐照、离子束辐照、热退火和它们组合的能源来实现转化。可通过将未覆盖的基底曝露在离子束下来进行离子注入。
本发明还有一个实施方案是在一个介电层中形成双重波纹构筑(damascene architecture)的方法,此方法包括如下步骤:
—选择至少一种前驱体材料;
—在基底顶上形成具有特征厚度的介电层;
—在此介电层的顶上形成一个含有第一未转化前驱体的层;
—对至少一部分未转化的第一前驱体层使用第一部分转化方法(mean),形成部分转化的第一前驱体层部分;
—使用第一除去方法基本上除去至少一部分第一未转化前驱体层,曝露出至少一部分介电层,形成没有被至少部分转化的第一前驱体层覆盖的第一图案;
—对至少一部分部分转化的第一前驱体层使用第一转化方法,形成硬掩膜;
—在介电层曝露部分的顶上和在至少部分转化的第一前驱体层的顶上形成旋转平面化层;
—在旋转平面化层的顶上形成含有第二未转化前驱体的一个层;
—通过对至少一部分第二未转化前驱体层使用第二部分转化方法,形成一部分部分转化的第二前驱体层;
—通过使用第二除去方法,基本上除去至少一部分第二未转化前驱体层,曝露出至少一部分旋转平面化层,形成没有被至少部分转化的第二前驱体层覆盖发第二图案;
—通过对至少一部分部分转化的第二前驱体层使用第二转化方法,形成硬掩膜;
—通过对至少一部分介电层和在其底下基本上没有被第二硬掩膜覆盖的旋转平面化层使用第一刻蚀方法,在介电层中形成至少一个图案区,使得第一刻蚀方法在深度方向上去掉比厚度小的一层介电层;
—通过使用第三去除方法,基本上除去残留的至少部分未转化第二前驱体层和旋转平面化层,由此曝露出至少部分转化的第一前驱体层;
—通过对至少一部分基本上没有被第一硬掩膜覆盖的介电层使用第二刻蚀方法,在介电层中形成至少一个第一图案区,使得在第一图案区中,通过第二刻蚀方法在介电层的深度方向上去掉比厚度小的一部分;还使得在第二图案区中,用第二刻蚀方法基本上在深度方向除去整个厚度的介电层,由此使至少一部分基底去掉覆盖,以及
—任选地,通过使用第四去除方法,基本上除去残留的至少部分转化第一前驱体层。
此介电层优选是低介电常数的介电材料。第一和第二刻蚀方法中至少一种优选是含氧的各向异性等离子体。
在这些实施方案中的每一种当中,优选的前驱体材料是含有至少一种配位体和至少一种金属的金属络合物,这些配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸基、胺、卤素基、硝基和它们的混合物;而金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。
附图概述
图1是一个方法流程图,该图把方法的步骤看作是方法的变量;
图2说明在本发明方法的一个实施方案中的步骤的基本顺序;
图3说明现有技术的制造方法以及在半导体接插件中使用的硬掩膜的用途;
图4说明按照本发明的制造方法和硬掩膜的用途;
图5说明用TSI形成图案的现有技术;
图6说明按照本发明的制造方法和TSI层的使用;
图7说明实施揭层方法的现有技术方法;
图8说明按照本发明实施揭层的方法;
图9说明按照本发明实施揭层的另一种方法;
图10说明用于双波纹方法集成的现有技术的方法;
图11说明按照本发明用于双波纹方法集成的方法,此方法的步骤比图10的方法更少;
图12说明制造离子注入硬掩膜和使用其注入离子的现有技术方法;
图13说明按照本发明的一个实施方案制造离子注入硬掩膜和使用其进行离子注入的现有技术方法;
图14显示由两种不同前驱体形成的ZrO2膜显示出的不同折射率性能;
图15显示通过热转化和光化学转化形成的不同ZrO2的折射率;
图16描述由在基底上涂布前驱体溶液后使用特殊溶剂引起的条纹;
图17显示对于BST的热对比曲线;
图18显示对于BST的光化学对比曲线;
图19显示对于BST的热/光化学组合对比曲线;
图20是对于线形酚醛树脂的厚度对刻蚀时间的图;
图21是对于完全转化的PZT和TiO2的厚度对刻蚀时间的图。
本发明的详细说明
本方法考虑到其它膜沉积和制造方法所不可能得到的优点。结果,使用户具有更强的控制和操纵所得到的膜,使之适应所需应用的能力。因此,本方法可用于广泛的应用中。
本发明提供一种制造所需材料的带图案膜的方法。重要的是要认识到无定形的膜与多晶膜和结晶膜的区别,同时要认识到无定形膜和更有序的膜的区别,此外还要认识到用不同成膜方法得到的无定形膜彼此是不同的。再有,由不同方法形成的不同无定形膜的不同性能可以得到控制,而且会造成可用于特定应用中的特殊化学、物理和机械性能,比如,作为半导体器件和/或其制造中的各种层。通过包括至少一个转化这样的无定形膜的步骤的本方法形成的硬掩膜优选是带有图案的,因此可用于把图案转移到基底上。硬掩膜包括但不限于注入掩膜、刻蚀掩膜和图案转移层或掩膜,比如揭起掩膜。
本方法实施方案的一个优点是,在形成图案时,它用不着使用传统的形成图案的材料,即光刻胶就能产生带图案的电子材料。本方法的另一个优点是,可以形成的前驱体材料的无定形膜可任选地直接在基底上形成图案,用不着使用中间的形成图案的材料。作为理解本发明实施方案的结果,可得到重要的和独特的性能,尽管此沉积方法没有使用其它的沉积和形成膜的方法。图1用流程图提供了本方法的总体情况,此流程图显示出作为例子的各个步骤,用这些相继的步骤得到对特定应用具有最佳性能的所需材料膜。这些步骤当中有许多都是可根据膜的最终应用而任意选择的。本发明也不限于这些步骤,根据膜的最终用途,可以包括另外一些步骤。本领域的专业人员都会知道,为了达到特定应用的希望的结果,哪些步骤应该包括,哪些不应该包括。
在每一步骤中都存在着不同的选择方案,操作这些选择方案都会对得到的膜有所影响。比如,在图1的步骤1中,选择方案可以包括前驱体材料的组成、在此前驱体材料中使用的溶剂,不管在此前驱体材料中是否使用溶剂,在前驱体材料中可以使用的添加剂和/或可以在此前驱体材料中包括的增强剂(rate enhancer)。
在图1的步骤2中,选择方案可以包括基底的清洗、阻隔层的沉积、粘接促进剂的沉积和/或使用活性层。
在图1的步骤3中,选择方案可以包括涂布前驱体膜的方法、涂布前驱体时的气氛和/或沉积温度。
在图1的步骤4中,选择方案可包括热处理、用电子束进行的处理、用离子束进行处理、用微波进行处理和/或使用特殊的气氛。
在图1的步骤5中,选择方案可包括此膜是覆盖的、曝露的还是带有图案的,或者是彼此混合的,和/或使用特定的气氛。
在图1的步骤6中,选择方案可包括热退火、使用微波处理、用电子束处理、用离子束处理、电镀和/或使用特定的气氛。
在图1的步骤7中,选择方案可包括是使用湿技术还是干技术形成图案。
在图1的步骤8中,选择方案可包括退火和/或使用特定的气氛。在此步骤中,形成的任何无定形膜也可以通过例如应用高温或各种其它成核技术,如光诱发成核转化为多晶或结晶膜,而且对于某些应用,这可能是一个特别希望的步骤。
这些选择方案都是举例的性质,都不是可以操作以影响所得到膜性能的选择方案的穷举性清单。下面将详细叙述本发明的特定的特征和实施方案。
当需要带图案的膜时,在这里叙述的方法可以按光化学的方方式进行,用不着中间形成图案的材料,比如光刻胶,可以在环境条件下进行,也可以在另外的条件下进行,比如在空气或其它组成的气氛中和/或在不同的压力下,比如在环境压力、高于或低于环境压力下,可以结合使用其它的加工步骤,都产生唯一的材料、层和结构。
当按照光分解的方式实施此方法时,此方法基本在环境温度下实施,而其它的现有技术方法则要求使用高温来进行图案转移,此温度经常高于100℃。从制造的观点出发,此限制赋予了严格的加工上的制约,限制了应用这些方法有关的器件组装时对使用材料的选择。
在基本上是环境压力下,本发明的方法一般可以满意地进行。反之,许多现有技术的沉积方法,除了具有上述限制以外,必须在高真空下进行,要使用难以运行和维护的昂贵而复杂的设备。
本发明的方法使得能够很容易在基底上由前驱体材料形成薄层。此前驱体含有专门设计的分子,使其能够在基底上均匀地涂布,得到的膜具有很高的光学性能,在本方法的情况下,就是有很高的光敏感度。前驱体分子的特性是很不同的—各种各样的通式为MaLb的金属络合物,含有至少一种金属(M)和至少一种或几种适当的配位体(L),即a和b都是至少为1的整数,这样的金属络合物是本发明所设想的。
如果使用多种金属,所有的金属原子可以是一样的,也可以不都是一样原子的和/或具有不同价,比如BaNaFe(II)Fe(III),或者一些是一样的,而另外一些是不一样原子的和/或具有不同的价,比如Ba2Fe(II)Fe(III)。在任何情况下,金属M可以是碱金属或碱土金属,比如Ba或Li、过渡金属,比如Cr或Ni、主族金属,比如Al或Sn或锕系元素,比如U或Th。优选每个金属独立地选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce和Mg。
如果使用多种配位体,所有的配位体可以是一样的,也可以是不同的,或者一些是一样的,而另一些是不同的。在任何情况下,选择配位体都要使得可以形成基本上未转化的前驱体络合物,并具有如下的性能:
1)可以以无定形的形式沉积在基底上;
2)无定形的膜是稳定的,或者至少是亚稳定的;
3)在吸收能量,例如所需能量的光子时,通过化学反应,此膜可转化为不同的含金属的材料,以及
4)任何由能量诱发的化学反应的副产物应该是可以除去的,即应该有足够的挥发性,使得能够从膜中除去。
为了得到这些结果中的前两个,此络合物应该具有低的极性和低的分子间力。由于有机基团一般具有低的分子间力,在其外周具有有机基团的配位体倾向于满足前两个要求。如果吸收的能量是光,已知步骤(3)的化学反应就是光诱导反应。
基本上未转化前驱体的沉积膜是无定形的,或者至少是基本上无定形的。因此,为了制造阻碍结晶的金属络合物,配位体L优选使得络合物成为不对称的。使用本身具有两个或多个立体异构形式的配位体就能够把络合物制成不对称的。例如,如果L是外消旋的2-乙基己酸根,得到的金属络合物就是不对称的,因为此络合物具有几种不同的立体异构形式。可以选择配位体有机部分的尺寸和形状,使膜的稳定性达到最佳,并调节了通过选择的膜沉积方法所沉积的膜的厚度。
通过制造在每个金属原子上连接着几个不同配位体的络合物膜,也可以增强无定形膜对结晶的稳定性。这样的金属络合物具有几种异构体的形式。例如CH3HNCH2NHCH3与镍盐(II)和KNCS的混合物反应导致产生各种异构体的混合物。已知不同异构体的化学性能是明显不同的,在膜中有几种异构体存在就削弱了膜中络合物结晶的能力。
络合物必须是稳定的,或者至少是亚稳定的,意思是在此方法的条件下,络合物将不会迅速而自发地分解。对于给定金属络合物的稳定性可能取决于例如在络合物中的金属氧化态。例如已知在空气中Ni(O)络合物是不稳定的,而Ni(II)络合物在空气中是稳定的。因此,用于包含有在空气中加工步骤的沉积Ni基膜的方法,就应该包括优于Ni(O)络合物的Ni(II)络合物。
部分转化和转化是由在膜中的化学反应造成的,这将把部分转化区或转化区变成所需的转化材料。按照理想的方式,至少一个配位体与络合物反应并通过键连接,在络合物受到部分转化方法和/或转化方法的影响而产生激发状态时,该键裂解。在通过光,更优选通过紫外线作为部分转化方法和/或转化方法所引发的光化学反应中,优选从络合物上切下活性基团。为了使方法中这样的光化学步骤更加有效,特别优选中间产物在活性基团被切断而成为不稳定并自发转变为所需的新材料和挥发性副产物时产生。
有几种可以发生适当光化学反应的机理。按照本发明可以单独或组合操作的适当反应机理的例子如下:(a)吸收光子可把络合物置于配位体-金属电荷转移的激发态,在此状态下,金属络合物中的金属-配位体键是不稳定的,键断裂并且络合物的余下部分自发分解;(b)吸收光子可把络合物置于金属-配位体电荷转移激发态,在此状态下,络合物中的金属-配位体键是不稳定的,键回断裂,且络合物的剩下部分自发分解;(c)光子的吸收可把络合物置于d-d激发态,在此状态下,络合物中的金属-配位体键是不稳定的,键断裂而络合物的余下部分自发分解;(d)吸收光子可把络合物置于分子内电荷转移激发态,在此状态下,络合物中的金属-配位体键是不稳定的,键断裂而络合物的余下部分自发分解;(e)吸收光子可把络合物的至少一个配位体置于局部配位体激发态,激发的配位体和络合物之间的键是不稳定的,键断裂而络合物的余下部分自发分解;(f)吸收光子可把络合物置于分子内电荷转移激发态,使得络合物的至少一个配位体是不稳定的,而且发生分解,然后络合物剩下的部分是不稳定的并自发分解;(g)吸收光子可把络合物的至少一个配位体置于局部化配位体激发态,其中受激发的配位体是不稳定的,并且发生了分解,然后络合物剩下的部分是不稳定的,并自发分解;以及(h)吸收光子可把络合物置于金属-配位体电荷转移激发态,在此状态下,络合物的至少一个配位体是不稳定的,并发生分解,然后络合物的剩下部分是不稳定的,并自发分解。然而,在本发明的广泛特征上,构成本发明并不限于这些反应机理。
作为例子,在US 5,534,312中叙述了金属络合物及其金属和配位体组分,该专利整体在该引做参考。优选的金属络合物前驱体包括符合上面标准的各种配位体。更优选的配位体选自乙酰丙酮化物(已知还叫做acac或2,4-戊二酮)及其阴离子、取代的乙酰丙酮化物,即:
Figure A0181233400251
及其阴离子,丙酮基丙酮(已知也叫做2,5-己二酮)及其阴离子、取代的丙酮基丙酮,即:
Figure A0181233400252
及其阴离子、二烷基二硫代氨基甲酸酯,即:及其阴离子、羧酸,即:
Figure A0181233400254
例如己酸,这里R=CH3(CH2)4,羧酸根,即:
Figure A0181233400261
例如己酸根,这里R=CH3(CH2)4、吡啶和/或取代的吡啶,即:
叠氮化合物,即N3 -、胺,即RNH2、二胺,例如H2NRNH2、胂,即:
Figure A0181233400263
二胂,即:膦,即:二膦,即:
Figure A0181233400266
芳烃,即:
Figure A0181233400267
羟基,即OH-、烷氧基配位体如RO-,配位体如(C2H5)2NCH2CH2O-、烷基配位体如R-、芳基配位体和它们的混合物,这里每个R、R’、R”、R和R””独立地选自有机基团,优选独立地选自烷基、烯基、芳烷基和芳烯基。
正如在本文中使用的,术语“烷基”指的是直链或分支的烃链。正如在本文中使用的,直链烃链或分支烃链意味着任何取代的或未取代的非环含碳化合物,包括烷烃、烯烃和炔烃。烷基的例子包括低级烷基,如甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基或异己基;高级烷基,例如正庚基、辛基、异辛基、壬基、癸基等。低级烯烃例如乙烯、丙烯、丙炔、丁烯、丁二烯、戊烯、正己烯或异己烯;以及高级烯烃,例如正庚烯、正辛烯、异辛烯、壬烯、癸烯等。一般专业人员都熟悉许多直链的,即线形的和分支的烷基,它们都在本发明的范围内。此外,这样的烷基还含有各种取代基,其中一个或几个氢原子被官能团或链内(in-chain)官能团取代。
正如在本文中使用的,术语“烯基”指的是其中至少一个碳-碳键是碳-碳双键的直链或分支的烃链。正如在本文中使用的,术语“芳烷基”指的是其端部被至少一个芳基取代的烷基,例如苄基。正如在本文中使用的,术语“芳烯基”指的是其端部被至少一个芳基取代的烯基。正如在本文中使用的,术语“芳基”指的是具有共轭双键系统,经常包括至少6个π电子的环烃。芳基的例子包括但不限于苯基、萘基、茴香基、甲苯基、二甲苯基等。
如相关领域的专业人员所理解的,在本发明的文字中,术语“官能团”普遍指的是具有链内的、侧键的和/或端部官能团的部分。作为链内官能团的例子可以举出酯、醚、酰胺、氨基甲酸酯及它们的硫代衍生物,即其中有至少一个氧原子被硫原子替代。作为侧键和/或端官能团的例子,可以举出卤素、例如氟和氯以及含氢的基团,如羟基、氨基、羧基、巯基和酰胺基、异氰酸酯基、氰基、环氧基和烯键式不饱和基团,例如烯丙基、丙烯酰基和甲基丙烯酰基以及马来酸根和马来酰亚胺基。
为了加强所需的光化学特性,包括光化学反应产物自发热分解的倾向,可以单独或与上面列出的配位体一起使用含有和/或选自下面的一个或几个基团的配位体:氧代,即O2 -、草酸根,即:卤化物、氢、氢化物,即H-、二氢化物,即H2、羟基、氰基,即CN-、羰基、硝基,即NO2、亚硝酸根,即NO2 -、硝酸根,即NO3 -、亚硝基,即NO、乙烯、乙炔,即
R≡R’硫氰酸根,即SCN-、异硫氰酸根,即NCS-、水合,即H2O、叠氮、碳酸根,即CO3 -2、胺和硫代羰基,这里每个R和R’独立地选自有机基团,优选独立地选自烷基、烯基、芳烷基和芳烯基。每个配位体甚至更优选选自乙酰丙酮化物、羧酸根、烷氧基、草酸根、叠氮基、羰基、硝基、硝酸根、胺、氢及它们的阴离子。
优选地,金属络合物前驱体选自含有至少一个配位体和至少一个金属的络合物,其中该配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸根、胺、卤素、硝基和它们的混合物;其中金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。
前驱体可以直接涂布在基底上。另外也是优选地,可以把前驱体溶解于一种或几种溶剂形成前驱体溶液。这样使得可通过各种本领域专业人员公知的方法,很容易地涂布到基底上,例如把溶液旋涂或喷涂到基底上。可以根据几个单独或组合的标准来选择溶剂,这包括溶剂溶解前驱体的能力、溶剂对前驱体的惰性、溶剂的粘度、氧或其他环境或其他气体在溶剂中的溶解度、溶剂的紫外线、可见光和/或红外线的吸收光谱、溶剂相对于电子束和/或离子束的吸收截面、溶剂的挥发性、溶剂通过随后形成的膜的扩散能力、溶剂相对于存在的不同溶剂异构体的纯度、溶剂相对于存在的金属离子的纯度、溶剂的热稳定性、溶剂对随后形成的膜中缺陷点或成核点产生影响的能力、涉及溶剂的环境考虑。溶剂的例子包括烷烃,例如己烷、酮类,例如甲基异丁基酮(MIBK)和甲乙酮(MEK)以及丙二醇但甲醚醋酸酯(PGMEA)。
溶液内前驱体的浓度可以在很宽的范围内变化,可由本领域的专业人员通过尽可能少的常规实验进行选择,使得包括其厚度和/或对光或粒子束辐照的敏感度在内的前驱体膜的性能符合所需的应用。
然而,对前驱体的选择对所需膜的性能可能有明显的影响,是本领域的专业人员不容易预测的。例如,两个前驱体ML和ML’,每个都由金属M和两组不同的配体L或L’中的一个组成,预期它们可形成相同的所需材料的膜,因为例如在该前驱体转化为硬掩膜的过程中,彼此不同的配位体部分将被去掉。实际上,这两个类似试剂假设相同的膜产物在其性能上可能有明显的不同。在该方法中可能被影响的性能的例子包括介电常数和在膜中有/无任何次级或三级结构存在。该差别可能的原因可以涉及无定形材料的形成速度和光逐出配位体从所需材料光致生成膜中除去能量的能力。在曝光过程中有配位体片段的存在可以影响成膜的方法,对例如膜的扩散性能、成核和晶体生长等现像造成影响。
再有,在成膜和光化学曝光时对前驱体的选择可能对所需材料膜对形成所需膜所处的气氛的气体组分的活性产生进一步的影响。这可能会影响到例如所沉积膜的氧化速度,而根据所需产物不同,高速度或低速度都可能是优点。另外,也认识到,通过选择前驱体可能对膜的复原能力,即尽可能减小裂纹的能力和收缩或致密化能力会有基本上的影响,而本领域的专业人员则会看到不同前驱体会产生同样的结果。
在前驱体或前驱体溶液中任选存在着化学添加剂。这可以是出于如下原因中的任何一个或几个:控制随后沉积的前驱体或膜的光敏感性、有助于在基底上沉积出均匀的、没有缺陷的膜、改变溶液的粘度、加大成膜速度、有助于避免在随后的曝光过程中膜开裂、改变溶液的其它整体性能以及以重要的方式改变所需材料膜的性能。除了在选择适当的溶剂时使用的标准以外,要按照这些标准来选择添加剂。优选该前驱体或前驱体溶液基本上不含有颗粒污染,以增强其成膜性能。
对该方法来说,将要涂布前驱体的基底的性质并不是关键的,虽然这会影响前驱体膜的沉积方法和沉积用的溶剂(如果使用的话)。基底可以包括但不限于简单盐,例如CaF2、半导体表面,包括硅、化合物半导体,包括硅-锗和III-V和II-VI半导体、印刷和/或层压电路板基底、金属、陶瓷和玻璃。硅晶片、陶瓷基底和印刷电路板已经得到普遍应用。在将它们使用于本方法之前,基底可以涂布上单层或多层涂层,例如介电层、光刻胶、聚酰亚胺、金属氧化物、热氧化物、导体材料、绝缘材料、铁电体材料或在电子器件的结构中使用的其它材料。在用氧等离子体进行形成图案,以及把前驱体材料用做TSI试剂的情况下,底层适合是有机材料,包括但不限于线形酚醛树脂、聚甲基丙烯酸甲酯(PMMA)、聚甲基戊二酰亚胺(PMGI)、聚酰亚胺和聚对羟基苯乙烯(PHOST)。
一旦形成了硬掩膜,其中的金属原子在一定程度上会在随后的步骤中“撞”到底下的基底上,这可通过仔细选择前驱体的配方条件和/或厚度加以克服。另外,可以在基底和前驱体层之间使用任选的保护层,在硬掩膜形成工艺完成以后,它仍然可以对基底构成保护。在涂布前驱体或前驱体溶液以前,基底上可任选涂布至少一层保护层。可以用本领域专业人员公知的各种方法在基底上涂布该保护层。当该方法包括离子注入步骤时,特别希望该保护层。
在沉积前驱体膜之前制备该基底对所需的硬掩膜的最终性能可能具有明显的影响。因此,例如某些表面性能可以是需要的,反之可能是须要避免的,这取决于所用的特定硬掩膜。基底制备可包括简单的清洗过程,以从基底表面上除去不希望的物质,在形成图案步骤之前,沉积阻隔材料、沉积粘接促进材料或沉积活性材料,用于诱发在所沉积材料膜中的化学变化,例如偶联剂。
前驱体或前驱体溶液的涂布方法可根据基底的情况和打算的应用情况进行选择。为本领域专业人员公知的可用的涂布方法的某些例子包括旋涂、喷涂、滴涂和辊涂、压印、弯月面式涂布和各种油墨印刷方法,例如喷墨(inkjet-type)的方法。可以选择涂布方法的各种变量以控制所沉积膜的厚度和均匀度,尽可能减小边缘效应并减少在膜中形成空隙或针孔,并确保在涂布过程中消耗的前驱体或前驱体溶液体积不比需要的更多。用最佳方法涂布前驱体膜会按照希望得到很光滑的膜。
沉积好的膜,任选经受烘烤或真空的步骤,在这些步骤中,在沉积膜中残留的任何溶剂都可被驱赶掉。当然,如果使用烘烤的步骤,重要的是要保持该步骤的温度低于前驱体分子的热分解温度。本发明的方法允许对前驱体浇注膜进行热覆盖或热处理或退火,以便通过热将其转化为所需材料的均匀覆盖涂层或者膜,其较不用热处理时转化为用于形成图案的部分转化和/或转化的剂量可能更少膜。在该加工步骤中,沉积的膜可任选地经受其它处理,包括但不限于覆盖式光化学曝光或电子束曝光和微波处理。
已经认识到在本方法步骤中的烘烤步骤可以有助于从前驱体膜中排出溶剂,也引起热分解过程。这两种机理都有助于本方法的总体效果,导致例如在随后的部分转化和/或转化步骤中降低所需的剂量。进一步还认识到,在这样的烘烤步骤中,可以形成与沉积的膜或所需材料的膜都不同的新材料。其效果可能明显地改变所需材料以后的性能,这包括介电常数、成核性能、形成材料品种的性能以及结晶性能,这都是本领域的专业人员不容易预见的。例如在某些应用中,优选使用一种双组分系统,其中一种材料在预烘烤步骤中被活化,而选择另一种化合物得以光化学或高能热方法活化。从前驱体混合物中进行沉积将使得能够有效地设计一个系统,该系统具有由烘烤和随后的部分转化和/或转化步骤形成的材料的不同化学性能的优点。
然后将沉积好的膜经受部分转化和/或转化,即能源的作用,使得该前驱体至少被部分转化。沉积好的膜的整体或者选择的部分可以对能源进行曝光。该能源可以是例如特殊波长的光源、特殊一段或几段波长的相干光源、宽带光源和电子束(e-束)源或离子束源。波长范围为大约150~大约600nm的光是适合于使用的。优选的光波长是大约157~大约436nm。
在本发明的某些实施方案中,能源是通过用来在表面上界定影像的光学掩膜而定向的光源。该掩膜由基本上透明和基本上不透明的或光吸收区域构成。该掩膜还可以包括光学增强特征,例如相位移技术。然而,该能源无须一定要通过掩膜照射。例如如果给材料形成图案不是必须的,就可以使用漫射或覆盖式的能源进行曝光,由热能能源或宽的光束来提供曝光能源。
在其中使沉积膜进行至少部分转化的气氛和压力(既包括总压,也包括分压)可以是重要的方法参数。一般说来,作为是空气的气氛是适宜和经济的,但在至少部分转化的过程中,改变气氛的组成可能是优选的。这样做的一个原因是,如果使用短波的光,可以增大曝光光的透过率,因为空气可能削弱这样的光。因此,通过改变光的强度,例如增强光,就能够在膜中引发热反应,产生产物膜。也可能希望改变气氛的组成来改变产物膜的组成或性能。例如,在空气或氧气氛中对铜络合物曝光会导致产生氧化铜。通过从气氛中基本上除去氧,可以形成主要由还原的铜组成的膜。例如如果转化的前驱体必须是介电膜,优选在氧存在下进行部分转化或转化步骤,或者如果转化的前驱体必须是金属膜,就优选在还原性气体,例如氢存在下进行部分转化或转化步骤。另外,通过改变气氛中的湿度,可以改变膜中水的含量。
使用部分转化步骤或者已知也称为“基底预处理”的不同的依次进行的多个步骤,从工艺流的观点出发可以是有利的,例如使在昂贵的设备,例如步进器中,在基底顶上的前驱体进行曝光所需的时间尽可能短。
在沉积好的膜进行至少部分转化之后,前驱体膜可任选地被本领域已知的各种方法中任何一种进行处理,然后再除去至少一部分未转化的前驱体层。这些方法包括但不限于退火处理,例如热退火、激光退火或等离子体退火的步骤、曝露在特殊气氛中,例如氧化性或还原性气氛、离子注入、微波处理和电子束处理。如果部分转化的区域可以作为对前驱体未转化区无电电镀的成核点,在该步骤中可以使用任选的电镀步骤。
然后可以通过使用去除方法(或显影)除去沉积膜的未曝光区或部分未曝光区。例如,显影方法可以包括显影组合物,可将其作为液体或溶液以浆液显影法(puddle development)或浸渍湿法显影法进行涂布。另外,可以使用与半导体工业传统上使用的干法形成图案方法相类似的干法显影法作为显影方法。优选的去除方法包括喷雾显影法、浆液显影法和浸渍湿法显影法。
显影剂的配方和/或使用条件应该使得在膜的已曝光区和未曝光区之间存在着溶解度的差别。用该溶解度上的差别来除去膜的优选选择的区域,使得膜的某些选定区域被显影剂基本上去掉,而希望在基底上保留的区域基本上完整地保留下来。可以需要相当多的实验来优化显影剂的配方。例如,在一个希望把已对入射能曝光的区域在基底上保留下来的方法中,在对入射辐照曝光后使用浇注溶剂(casting solvent)对膜显影是太具有侵蚀性的。提供浇注溶剂在另一种液体中的稀溶液用于改进的显影方法,在该另外的液体中,(a)与浇注溶剂是可混溶的;(b)膜的未曝光区是微溶的(但无须完全溶解);(c)膜的曝光区是基本上不溶的。
例如,在本发明的一个优选实施方案中,可以由酮类溶液浇注出无定形的膜。只使用酮作为显影剂,或者使用富含酮的醇-酮混合物,即含酮高于50vol%的混合物,会导致比醇作为主要成分的显影剂效果更差的显影方法。例如10∶1(v/v)的IPA∶MIBK溶液对于BaxSryTizO3(BST)是比单用MIBK或1∶1(v/v)的IPA∶MIBL更为有效的显影剂,这里IPA表示异丙醇。10∶1的混合物本身不如20∶1的IPA∶MIBK有效。然而,无论10∶1,还是20∶1的溶液都比40∶1(v/v)的IPA∶MIBK更为有效。再有,这些溶液的相对效果与在形成带图案膜时使用的其它方法有很密切的关系,这包括例如入射辐照的类型和能量以及在涂布和形成图案的过程中的基底温度等。因此,为本发明确定适当的显影剂配方须要进行实验,对本领域的普通专业人员来讲并不是显而易见的。例如可以用与如上所述的光刻胶基方法中使用的显影方法相类似的方式,以物理方式涂布液体和/或溶液基的显影剂。
在显影以后,至少部分转化的前驱体可以任选地被本领域专业人员公知的各种方法中任何一种进行处理,然后再经受转化方法。这些方法包括但不限于退火处理,例如热退火、激光退火或等离子体退火。这样的退火的温度和时间都是重要的变量。该退火步骤也可以受到前面的表面处理的影响,例如氧等离子体、激光或快速热退火(RTA)方法等。能够选择适当的条件,使已经退火的至少部分转化的前驱体仍然保持其无定形的性质,而其物理性能或电性能中至少一种已经按照需要被改变了。另外,根据将要使用膜的应用不同,会引起膜转化为结晶状态的退火条件,例如高温可以是希望的。例如,在该阶段可以使用适当的热处理来诱发从无定形或至少基本上无定形的至少部分转化前驱体形成高度取向的结晶膜。以这样的方式,可以对无定形膜的性能进行细调,或者其物理性能可在很宽范围内变化—从一个极端的完全无定形相到半结晶的中间相,再到另一个极端的单取向的晶体相。这样的热处理一般起着进一步使前驱体转化的作用。
如果前驱体还要进行基本上充分的转化,随后前驱体膜就要任选,但是典型地经受转化方法的作用,使得该前驱体被基本上充分转化。可以把前驱体膜的整个膜或者选择的一部分对能源进行曝光。该转化方法可以是能源,该能源与前面使用的任何部分转化方法可以相同或者不同。例如,该转化方法可以是特殊波长的光源、特殊波长的相干光源、宽带光源、电子束源和/或离子束源。在本发明的某些实施方案中,如上面所讨论的能源,或者至少部分能源是通过用来在表面上界定影像的光学掩膜照射的光源。然而,该能源无须一定要通过掩膜照射。例如如果在转化步骤中给材料形成图案不是必须的,例如由于前驱体上已经形成有图案,就可以使用漫射或覆盖式的曝光作为转化方法。优选的转化方法包括光、电子束、离子束和热处理。如在上面对部分转化所讨论的,也是在本文中应用的,在其下进行转化的气氛条件,例如气氛的组成、压力(既包括总压也包括分压),还有湿度,都是重要的工艺变量。在转化的过程中,这些变量可以与在任何前面的部分转化步骤中使用的设定值相同,也可以不同。
当然应该理解,作为一个优选的膜,例如掩膜,可以通过将至少一部分部分转化的前驱体层基本上进行充分转化而得到,术语“基本上充分转化的前驱体”、“充分转化的前驱体”、“转化的前驱体”、“基本上充分转化的部分转化前驱体”、“充分转化的部分转化前驱体”和“已转化的部分转化前驱体”,如在本文中所使用的,都描述了这样的膜。
已经认识到,在把前驱体膜进行部分转化和/或基本上充分转化为所需材料的膜的过程中,膜会发生某些收缩,这就是说,所需材料膜的厚度经常比未转化的前驱体膜更薄。厚度上的这个变化是本发明的一个重要特征,给所需材料的膜赋予了有用的性能。例如,对于使电容最大化来说,形成极薄的膜是有利的,而同时,形成这样的膜从制造的观点出发又是一个挑战。本发明的方法提供了涂布比较薄浇注膜的能力,这样就赋予了制造上的便利,但也提供了比较更薄的所需至少部分转化前驱体材料的膜,这也就给所需材料的膜赋予了改善的性能。可以通过明智地操纵工艺变量来控制和调节沉积膜的收缩性能,这些变量包括选择前驱体、选择溶剂和溶剂的量、前驱体添加剂的种类、如沉积方法所决定的前驱体膜的厚度、在膜形成图案之前、过程中和以后的热处理以及已曝光膜的显影等。本发明的方法允许精确控制所需膜的厚度范围,使总厚度从埃()的级别到微米(μm)级别。
在转化以后,随后任选的操作步骤可以包括转化后处理、包括但不限于如上所述的新显影方法的显影步骤以及显影后的处理步骤。要根据产物的最终应用选择具体的步骤。例如在US5,534,312、5,821,017和6,071,676中都叙述了使用的方法,这些专利的内容在该引做参考。
在本发明的某些实施方案中,在转化后是注入步骤,其中在基本上没有被硬掩膜覆盖的至少一部分基底上,通过使用注入方法,在该基底上形成至少一个注入区。使用离子束作为注入方法是本领域中已知的。然而,本方法不限于使用离子束,任何有效的注入方法都可以使用。适合于注入的离子包括但不限于砷、硼和磷。可以在高能结合低剂量的条件下进行离子注入,即高于大约300KeV的能量和小于约1020个原子/cm2的剂量,或者在低能,即小于大约300KeV,结合高剂量,即大于大约1020个原子/cm2的条件下进行。在注入后可任选除去硬掩膜层。经过注入的基底可任选进行进一步的处理,例如退火,借该将注入的基底区转化为搀杂区(doped region)。如果这两个任选的步骤都进行,可以调节它们进行的次序,以适应本发明所涉及的特定应用。
本发明的其它实施方案设想,通过把本方法形成的至少部分转化前驱体作为耐刻蚀层。在一步或几步刻蚀步骤中,将例如等离子体、活性离子或湿刻蚀溶液等刻蚀方法通过硬掩膜提供的图案,与基底的选择区域相接触,只在这些需要的区域去掉基底。当前通常使用的硬掩膜材料,例如二氧化硅和氮化硅在使用刻蚀的电子制造方法中被用做保护掩膜。
本发明的一个优选实施方案设想,将通过本方法形成的至少部分转化的前驱体或硬掩膜作为耐刻蚀层。在一个或几个刻蚀步骤中,诸如等离子体、活性离子或湿刻蚀溶液的刻蚀方法通过由例如硬掩膜提供的图案与基底的选定区域接触,只在这些需要的区域除去基底。当前通常应用的硬掩膜材料,例如二氧化硅和氮化硅在使用刻蚀的电子制造方法中被用作保护掩膜。
图2说明对于本发明一个优选实施方案的各个步骤的基本顺序,即步骤2A、2B、2C和2D,在加工前在步骤2A中所显示的基底10上进行这些步骤。例如,基底10可以是已经涂布有有机层的硅晶片。在步骤2B中,在基底10上涂布有未转化的前驱体11。在步骤2C中,在至少一部分未转化前驱体11上施加转化方法,如在光化学金属有机沉积法中的光,或热处理,形成已转化的前驱体层12。在步骤2D中,使用去除方法例如显影组合物除去至少一部分,优选基本上全部未转化前驱体层11,剩下完整的已转化前驱体层12,借该形成基底10的硬掩膜。这样的掩膜适当地允许某些形成图案方法通过到达基底的所需区域,同时对形成图案方法遮挡住或阻隔住某些另外的基底区域。
另外,在图2的步骤2C中,可以对至少一部分选择的未转化前驱体11施加部分转化方法,例如光或热处理,以形成部分转化前驱体层12。在步骤2D中,使用去除方法例如显影组合物,除去至少部分,优选基本上全部未转化前驱体层11,剩下完整的部分转化前驱体层12。然后可在至少一部分部分转化前驱体使用在图上没有表示的转化方法,例如光或热处理,使得那部分发生基本上的转化,借该形成硬掩膜。该部分转化方法与转化方法可以相同,也可以不同。图2显示出在通过本发明的方法形成带图案的硬掩膜时很节省步骤。
相反,图3说明制造带图案硬掩膜时冗长的现有技术方法。在步骤3A中,如在图2中所说明的提供基底200。在步骤3B中,在基底上形成硬掩膜层210。该硬掩膜层可以是例如二氧化硅210。在步骤3C中,在硬掩膜层210的顶上涂布光刻胶层220。在步骤3D中,通过掩膜235将光刻胶层220对光230曝光。掩膜235包括透明的玻璃基底240,它具有对光基本上不透明的区域250,因此就阻隔了一部分光,在光刻胶层的已曝光部分222上形成了图案。在步骤3E中,已曝光的光刻胶区222被显影,借该曝露出硬掩膜层210。在步骤3F中,通过用适当的刻蚀组合物刻蚀掉硬掩膜层210的未被保护部分,在硬掩膜层210中形成开口255。在步骤3G中,除去剩下的部分光刻胶220。在步骤3H中,选择使得能够刻蚀基底200而不刻蚀硬掩膜层210的等离子体刻蚀化学品在基底200上形成出图案。这就得到如在步骤3I中所定义的图案特征。因此,从图3可以明显看出,对于制造带图案的硬掩膜,传统的方法,例如通过带图案掩膜的离子注入技术与本发明的方法相比,需要更多的步骤。
图4说明本方法的一个优选实施方案,用来制造硬掩膜,使用的是金属络合物前驱体,形成带图案的硬掩膜,该方案去掉了与硬掩膜刻蚀有关的所有步骤,即上述步骤3C到步骤3G。在步骤4A中,如在图2中所说明供应基底300。在步骤4B中,在基底300的顶上形成了一个前驱体层310,即含有金属络合物的层。在步骤4C中,通过胰脂酶320使前驱体310对部分转化方法,在该为光315曝光。掩膜320包括具有对部分转化方法基本上不透明区域340的透明玻璃基底330。对部分转化方法315曝光的部分前驱体310被至少部分转化或反应,形成部分转化前驱体350的区域。在步骤4D中,整个组装体被曝露于去除方法(未显示),例如液体显影剂中。通过显影剂或去除方法除去基本上未转化前驱体310,曝露出基底310,同时把部分转化而又耐受去除方法的部分转化前驱体350被保留下来。在步骤4E中,对部分转化前驱体施加转化方法(未显示),形成基本上完全转化的前驱体360,即带图案的硬掩膜。该转化可通过例如漫射光曝光步骤或热退火步骤来实现。在步骤4C和4E的每一步中,如果已转化前驱体360是介电膜,优选在氧存在下进行转化;而如果已转化前驱体360是金属膜,则优选在还原性气体存在下进行。在步骤4F中,刻蚀方法给基底形成上图案,在该该刻蚀方法选择是等离子体刻蚀化学品,它能够刻蚀基底300,但不能刻蚀掩膜层360。这样就形成了如在步骤4G中所定义的图案特征390。
图5说明例如通过一般已知作为顶上甲硅烷基化形成影像的方法在光刻胶上形成TSI的现有技术的方法。在步骤5A中,提供一个基底400。在步骤5B中,在基底400上涂布一层适合于进行顶上甲硅烷基化的光刻胶层410。在步骤5C中,通过掩膜435使光刻胶层410对光430曝光。掩膜435包括一个具有对曝光方法基本上不透明的区域450的透明玻璃基底440,从而阻挡一部分光,在光刻胶层的曝光部分432上形成图案。作为该曝光的结果,给予已曝光的光刻胶区不同的化学和/或物理性能。在步骤5D中,基底400、光刻胶层410和已曝光的光刻胶区432都曝露在气体TSI试剂460中,它被选择性地吸收到已曝光的光刻胶区432中,形成改性的光刻胶表面470。TSI试剂460的例子是本领域已知的,包括含硅的气体。
在步骤5E中,把表面曝露在等离子体处理480中,使改性光刻胶表面更加惰性,由此形成耐蚀的硬掩膜490。在步骤5F中,等离子体处理495除去直接在耐蚀硬掩膜表面490底下残留的光刻胶410(。在步骤5G中,使用等离子体处理497给基底400形成图案,使用了由光刻胶层410、改性光刻胶表面470和耐蚀硬掩膜表面490形成的复合堆积层来确定转移到基底400上的图案。通过在步骤5H中的刻蚀区499来说明得到的图案。在步骤5I中,使用了去除方法(未显示)来除去由光刻胶层410、改性光刻胶表面470和耐蚀硬掩膜表面490形成的复合堆积层。同时在图5中说明的方法在形成用于形成图案的薄表面时可赋予其优点的,这有助于改善可得到的分辨率,而在松弛焦深时需要多个等离子体处理的步骤,这就产生需要TSI试剂的缺点,而且涉及到在其他的传统技术中不存在的图案转移时提高成本和复杂性。
反之,图6说明应用于TSI的本方法使用金属络合物形成带图案薄顶表面的一个优选实施方案。在步骤6A中,提供一个涂布有图案转移层505的基底500。图案转移层505可任选地含有有机成膜树脂,这包括光刻胶、聚酰亚胺、PMMA、线形酚醛树脂、环氧树脂和本领域的专业人员已知的其他有机或相关涂层。在步骤6B中,在基底500的顶上形成前驱体层510,直接覆盖在图案转移层505上面。在此情况下,前驱体510含有金属络合物。在步骤6C中,通过掩膜520将前驱体曝露于部分转化方法中,在此说明的是光515。掩膜520包括透明的基底530例如说玻璃基底,该玻璃基底具有对部分转化方法基本上不透明的区域540。对部分转化方法515曝光的部分前驱体510至少被部分转化或反应,形成部分转化前驱体的区域550。在步骤6D中,整个组装体被曝露在去除方法(未显示)例如液体显影剂中。通过去除方法例如显影剂除去了基本上未转化的前驱体510,曝露出图案转移层505,同时留下了被部分转化并耐受去除方法的部分转化前驱体550。在任选的步骤6E中,在部分转化前驱体550上施加转化方法(未显示),形成基本上充分转化的前驱体560。该转化可通过例如漫射光曝光步骤或热退火步骤来实现。
在步骤6F中,表面曝露在刻蚀方法570中,举例说例如等离子体刻蚀化学品中。例如,等离子体刻蚀方法可主要由氧组成。该刻蚀方法除去图案转移层505的已曝光区域,而部分转化或基本上充分转化的前驱体层560被选择和加工,使得对刻蚀方法570基本上是惰性的,这样就形成了刻蚀区580。在步骤6G中,通过刻蚀方法,使用在至少部分转化的前驱体560底下的图案转移层505中形成的图案为基底500形成图案(未显示),形成刻蚀区590。在步骤6H中,去除方法(未显示)去掉了所有残留的图案转移层505和至少部分转化前驱体560,曝露出所需的带有刻蚀区590的带图案基底500。图6的方法优于在图5中显示的方法,因为它需要的步骤较少,等离子体步骤也少,而且不需要TSI试剂。同时,图6的方法保留下使用图5的方法所获得的全部优点。
图7说明在基底顶上沉积带图案金属层的现有技术方法。当所需的金属很难刻蚀时,例如是金或铂时习惯使用该方法。在步骤7A中,提供一个基底600。在步骤7B中,在基底600的顶上涂布一层隔离层605,而在隔离层605的顶上涂布一层揭起层610。在步骤7C中,在揭起层710的顶上沉积上一层任选的硬掩膜层620。在步骤7D中,在由任选的硬掩膜层620、揭起层610和隔离层605组成的复合堆积层的顶上涂布上光刻胶层630。在步骤7E中,通过掩膜635将光刻胶层630对光645曝光。掩膜635包括一个透明的玻璃基底640,该基底具有对曝光方法基本上不透明的区域650,这样就遮断了一部分光,并在光刻胶层的曝光部分630上形成了图案。在步骤7F中,使用去除方法,例如湿显影剂来除去在光刻胶层630上的已曝光部分632。
在步骤7G中,使用等离子体刻蚀化学品660通过任选的硬掩膜层620(如果存在)进行刻蚀。等离子体刻蚀化学品660也可以具有使光刻胶层630的大部分厚度受到侵蚀的作用。在步骤7H中,使用等离子体刻蚀化学品670通过揭起层610和隔离层605进行刻蚀。在该步骤中可以制造出侧壁,这可通过先以各向异性的模式使用等离子体刻蚀化学品670,例如先将等离子体的带电粒子在垂直方向运动,然后转换为各向同性的模式,使等离子体中负责刻蚀的带电粒子在所有方向上均衡地运动来实现,该侧壁优选如在步骤7H中所说明的呈曲线状。在步骤7I中,使用一种沉积方法用所需的金属680(例如金、铂或其他所需金属)来覆盖表面的特征。在步骤7J中,使用去除方法(未显示)例如通过溶剂处理来揭起所有残留的隔离层605、揭起层610、硬掩膜层620(如果存在的话)和光刻胶层630。这就在基底600上只剩下所需金属680的所需图案。这个给金属沉积物形成图案的方法是很困难的,涉及许多步骤,须要使用厚的光刻胶层。这样的厚光刻胶层消耗的量比需要的要更多,是很昂贵的。此外,除去光刻胶是很困难的,因为它比另外情况下使用得更厚。
相反,图8说明本方法的一个优选实施方案,使用金属络合物,应用于揭起方法,在揭起层上形成带图案的薄顶表面。在步骤8A中,提供一个基底700。在步骤8B中,在基底700上涂布隔离层705,而在隔离层705上涂布揭起层710。在步骤8C中,把前驱体层720涂布在揭起层710上。在此情况下,前驱体720含有金属络合物。在步骤8D中,通过掩膜735,将前驱体720对部分转化方法曝光,在该部分转化方法是例如光745。掩膜735包括一个透明的玻璃基底740,它具有对部分转化方法基本上不透明的区域750。曝露于部分转化方法745的一部分前驱体720被至少部分转化或反应,形成部分转化前驱体732的区域。在步骤8E中,整个组装体曝露在去除方法(未显示)例如液体显影剂中。通过显影剂或去除方法去掉基本上未转化的前驱体720,曝露出揭起层710,同时留下被部分转化而且耐受去除方法的部分转化前驱体732。在一个任选的步骤(未显示)中,对部分转化前驱体732施加转化方法(未显示),形成基本上充分转化的前驱体。
在步骤8F中,以各向异性的方式应用去除方法760,例如等离子体刻蚀化学品,以除去不在前驱体732底下的揭起层710和底下的隔离层705的这些区域。在步骤8G中,通过让去除方法760以各向异性的方式刻蚀揭起层710和隔离层705,从而形成如同所描述的曲线断面的揭起层。在步骤8H中,通过沉积方法在组合体上沉积上所需金属770的膜。在步骤8I中,通过显影方法,例如溶剂或干式显影法,与前驱体732、揭起层710和隔离层705一起除去所需金属770的不需要部分,在基底700的顶上剩下所需金属770的所需图案。该方法优于在图7中概述的现有技术的方法,因为它需要的步骤较少,而且无须使用光刻胶。
图9说明本方法的另一个实施方案,使用金属络合物,应用于揭起方法,在揭起层的上面形成带图案的薄顶表面膜。在步骤9A中,提供一个基底800。在步骤9B中,在基底800上涂布前驱体层810。在该情况下,前驱体含有金属络合物。在步骤9C中,通过掩膜835使前驱体层810对部分转化方法曝光,在此部分转化方法是例如光845。掩膜835包括透明的玻璃基底840,它具有对部分转化方法基本上不透明的区域850。曝露于此部分转化方法的部分前驱体850被至少部分转化或反应,形成已曝光区832。在步骤9D中,整个组装体曝露于去除方法(未显示)如液体显影剂中。通过该去除方法除去基本上未转化的前驱体810,曝露出基底800,同时留下被部分转化而且耐受去除方法的已曝光区域832。如在本发明的其他实施方案中所叙述的,已曝光区域832,在去除方法步骤9D以后,可任选地再进行转化。如在步骤9D中所描述,通过对曝光和在步骤9C和9D中介绍的去除方法的控制,可以在已曝光区域832中得到向内具有锥度的侧壁断面,这些方法分别如在上面步骤8D、8F和8G中叙述过。在步骤9E中,通过沉积方法,在组装体上沉积上所需金属870的膜。在步骤9F中,通过显影方法例如溶剂或干式显影法,与前驱体832一起除去所需金属870的不需要的部分,在基底800的顶上剩下金属870的所需图案。
在本发明的另一个优选实施方案中,可使用TSI层集成的方法,制造把铜集成到半导体接插结构时使用的双波纹结构。图10,从步骤A到步骤H说明了制造波纹结构的现有技术的方法,该方法被称为“via-first”方法。在步骤10A中,提供一个基底900,如在图上所示,该基底上相继涂布有第一介电层905、隔离层915、第二介电层910和硬掩膜920。介电层905和910一般是,但不必须是相同的材料,而隔离层和掩膜层915和920可以是相同的材料,也可以是不同的材料,但经常是氮化硅和/或氧化硅。在步骤10B中,在硬掩膜920的顶上,用底部抗反射涂层(BARC)925和光刻胶层930涂布组装体。如图所示,光刻胶上形成上图案并通过传统方法显影。在步骤10C中,使用等离子体刻蚀化学品除去BARC层925、硬掩膜920、介电层910、隔离层915和介电层905上所指出的部分。在步骤10D中,在步骤10C的等离子体方法以后,从组装体上除去剩余的光刻胶930和BARC层925。在步骤10E中,如图所示,在组装体硬掩膜920的顶上涂布第二BARC层935和第二光刻胶层940,该光刻胶层940上形成了图案并被传统方法显影。在步骤10F中,使用了第二等离子体刻蚀化学品步骤除去BARC层935和介电层910的指定部分。在步骤10G中,在步骤10F的等离子体方法以后,除去剩余的光刻胶940和BATC935。
与此相反,图11说明本发明的方法如何可用更少的步骤制造双波纹结构。在步骤11A中,提供一个涂布有介电层1005的基底1000。在步骤11B中,在该介电层的顶上涂布上例如含有金属络合物的前驱体层,通过至少部分转化而形成上图案,然后用如前面在本发明的另一个实施方案中所讨论的技术进行显影,得到如图所示的带图案层1010。例如,可以通过例如溶剂或如上所述的干式显影法进行图案显影步骤。然后在带图案层1010的顶上涂布上旋转平面化层1015。该旋转平面化层1015可以是任何有机基的涂层,可以旋涂在组装体这。在步骤11C中,如图所示,例如使用与形成带图案层1010时使用的相同技术沉积上带图案层1020,并形成图案和显影。在步骤11D中,应用刻蚀方法(未显示),例如等离子体刻蚀化学品,除去旋转平面化层1015的所显示区域和介电层1005的一部分厚度。对刻蚀方法进行控制是很重要的,如图所示使其只除去一部分介电层1005的厚度。例如,可以使用的等离子体刻蚀,限制其时间短于刻蚀介电层整个厚度所需的时间。
在步骤11E中,通过去除方法,例如用溶剂处理组装体来除去带图案层1020和旋转平面化层1015,旋转平面化层在该溶剂中是可溶的,而且该溶剂对组装体的其他部分没有基本上的不良影响。在步骤11F中,应用受到控制的刻蚀方法(未显示),例如如上所述的等离子体刻蚀化学品除去介电层1005上所描绘的区域。该受控制的刻蚀在由带图案层1020所形成的图案中同时除去了介电层1005的剩余厚度,而在带图案层1010所形成的图案中只除去介电层1005的一部分厚度。可以以这样的方式组合出双波纹的模式。
在步骤11F(未显示)以后可以任选地除去带图案层1010,另外把带图案层1010剩下,在沉积了铜和平面化以后用做CMP终止点。
很明显,在图11中所描述的方法优于在图10中叙述的方法,因为前者使用的步骤较少,无需多层光刻胶和BARC的步骤,避免了在传统方法中使用的隔离层和硬掩膜。
图12说明一个由现有技术使之简化的复杂的离子注入的方法,用来制造带图案离子注入掩膜。在步骤12A中,如在图2中所述提供一个基底1200。在步骤12B中,在基底1200上形成一个任选的保护层1205,然后是注入掩膜层1210。在一个实施例中,注入掩膜层是氧化硅。在步骤12C中,在注入掩膜层1210的顶上,在基底1200上涂布光刻胶层1220。在步骤12D中,通过掩膜1235使光刻胶层1220对光1230曝光。掩膜1235包括一个透明的玻璃基底1240,它具有对曝光方法1250基本上不透明的区域,因该遮挡住一部分光,在光刻胶层的已曝光部分1222上形成了图案。在步骤12E中,已曝光的光刻胶区1222被显影,曝露出注入掩膜层1210。在步骤12F中,用适当的刻蚀组合物,通过刻蚀掉注入掩膜层的未保护部分,在注入掩膜层1210上形成了开口。在步骤12G中,除去剩余部分的光刻胶层1220。在步骤12H中,将基底在离子束下曝光,以在注入掩膜中的开口下直接形成注入区1270。在任选的步骤12I中,除去注入掩膜层1210,将基底退火,由此把注入区1270转化为搀杂区1280。因此,由图12可以明显地看出,传统的方法需要比本方法更多的步骤来形成带图案的注入掩膜并通过该掩膜进行离子注入。
反之,图13说明本方法的另一个优选实施方案,使用金属络合物,用来制造离子注入掩膜,形成带图案的注入掩膜,该方法去掉了与注入掩膜刻蚀有关的所有步骤,即如上所述的步骤12C到12G。在步骤13A中,提供一个基底1300。在步骤13B中,在基底1300上形成一个任选的保护层1312,在保护层1312的顶上形成一个前驱体层1310。在此情况下,前驱体1310是金属络合物。在步骤13C中,通过掩膜1320使前驱体1310对部分转化方法曝光,在该部分转化方法是光1315。掩膜1320包括一个透明的玻璃基底1330,它具有对部分转化方法基本上不透明的区域1340。对部分转化方法1315曝光的一部分前驱体1310被至少部分转化或反应,形成部分转化前驱体区1350。在步骤13D中,该组合体曝露在去除方法(未显示),例如液体显影剂中。通过显影剂或去除方法除去未转化前驱体1310,曝露出保护层1312,同时留下被部分转化而且耐受去除方法的部分转化前驱体1350。在步骤13E中,对部分转化前驱体1350施加转化方法(未显示),形成基本上充分转化的前驱体1360。该转化可通过例如漫射光曝光步骤或热退火步骤来实现。在步骤13C和13E的每一步,如果已转化前驱体1360将是介点膜,该转化优选在氧存在下进行;而如果已转化前驱体将是金属膜,则优选在还原性气体,例如氢存在下进行。在步骤13F中,基底1300经受离子注入方法例如离子束1370的处理,以在基底上形成注入区1380。在任选的步骤13G中,除去注入掩膜并进行热退火,以把基底上的注入区1370转化为搀杂区1390。
本发明方法很宽的范围使得能够广泛地得到应用。本发明的一个优选实施方案包括无定形的金属氧化物膜,用于在印刷线路板(PWB)中形成集成的电容结构,其中使用适当的前驱体溶液按照本发明涂布和直接在PWB基底上形成图案。本发明的优点包括能够直接形成图案,并与此有关省略掉其他的工艺步骤、使用PWB加工所要求的环境温度和环境压力,以及形成的膜是高电容所能够接受的。
在另一个优选的实施方案中,通过本发明,在透明的基底形成带图案的金属氧化物或混合金属氧化物膜,成为不透明的图案。这样实施本发明,在半导体制造方法中进行平版印刷的图案转移时,可用做图案掩膜。
在本发明另外的一个优选实施方案中,使用无定形的金属氧化物或混合金属氧化物膜在高级的连接半导体器件的连接界面中,形成去偶电容结构,其中按照本发明的方法,在改性硅基底上用适当的前驱体溶液进行涂布并形成图案。在该实施方案中固有的优点包括直接形成图案的能力,由此可以省去许多其他的工艺步骤,再一个优点是使用环境温度和环境压力,这与这样的高级连接件组装时的条件没有什么不同。
本发明的再一个实施方案设想,使用的前驱体膜可用于给记忆储存元件制造图案,无论是以电容性储存节点的方式,即动态随机存取存储器(DRAM),还是作为铁电体记忆存储节点(FeRAM),再有,在该实施方案中固有的优点包括能够直接形成图案,这样就省略了许多其他的工艺步骤,再一个优点是使用环境温度和环境压力,这与这样的记忆器件组装时是没有什么不同的。
本发明还有一个实施方案设想在半导体制品的前端形成门介电材料,因为高级硅基器件在优选的门介电材料中可以使氧化硅转变为具有更高介电常数的新材料。这种具有高介电常数的新材料,对于同样的电气性能,允许把门介电材料的物理厚度制造得比二氧化硅更厚。该更厚的物理厚度使得更容易制造,也使通过该门的量子通道效应更小。很明显,当把本发明的方法用于线前端(FEOL)半导体工艺时,比其他已知的要求较低温度和严格低真空的方法具有更大的优点。有各式各样的高介电常数的材料适合于本发明的方法,这包括但不限于BaxSryTizO3(BST)、BaTiO3、SrTiO3、PbTiO3、PbxZryTizO3(PZT)、(Pb,La)(Zr,Ti)O3(PLZT)、(Pb,La)TiO3(PLT)、LiNbO3、Ta2O5、SrBi2Ti2O9、Al2O3、TiO2、ZrO2和HfO2
与此类似,本发明可用于制造FEOL半导体制品的门电极材料。这些材料在与门介电材料形成电接触的门介电材料的顶上。过去,门电极一直是由硅制造的。按照与门介电材料从二氧化硅向外迁移相类似的方式,具有推动力,使门电极转变为基本上比硅具有更好特性的材料。可供门电极的用途候选材料包括铂、铱、钌、氧化钌、氧化铱和其他新材料。所有这些材料提出都对沉积和形成图案进行挑战,然而它们都适合于本发明的应用。另外,在传统方法中的许多步骤都要求高温、严格的真空要求以及使用苛刻的等离子体加工条件,这些都预示着会损害敏感的硅基底。使用本发明的方法就可以避免这些苛刻的条件。对传统方法更大的挑战是如在US-P-6048769中所述,须要把不同的电极材料放置在不同偏压的门晶体管上。对于传统集成路径的这个要求就使本来已经很多的步骤再次加倍,因此从制造的观点出发,本发明的这个优选实施方案为减少步骤数提供了戏剧性的优势。
贵金属和导电金属氧化物的应用并不限于制造门电极。对于这些材料有几个隔离层的应用,无论是导电层还是绝缘层,都用在FEOL半导体的加工中。很明显,这些应用中有几个依赖于形成所需材料的膜,这些材料具有作为电容性材料使用的高介电常数(高k值)。按照类似的方式,可以对膜进行优化,使膜对于作为感应材料的磁导率(μ)达到最佳。类似地可能是电阻元件,就像是磁性元件、压电元件、热电元件和铁电元件一样。
本发明方法的其他可能的应用是非常宽广的。某些例子包括:用于半导体制造(晶体管门电路组、电容性结构等)的高介电常数材料的直接图案沉积、用于微电子包(电容性结构)的高介电常数材料的直接图案沉积、用于半导体制造(晶体管门电路组、电容性结构等)的高介电常数材料的低温沉积、用于微电子包(电容性结构等)高介电常数材料的低温沉积、用于半导体制造(晶体管门电路组、电容性结构等)的高介电常数材料的非真空基沉积、用于微电子包(电容性结构等)的高介电常数材料的非真空基沉积、用于半导体制造(绝缘子结构等)的金属氧化物的直接图案沉积、用于微电子包的金属氧化物的直接图案沉积、用于半导体制造的金属氧化物低温沉积、用于微电子包(电容性结构)的金属氧化物的低温沉积、用于半导体制造的金属氧化物非真空基沉积、用于微电子包的金属氧化物非真空基沉积、用于半导体制造(晶体管门电路组)的金属的直接图案沉积、用于微电子包(连接件等)的金属直接图案沉积、用于半导体制造的金属低温沉积、用于微电子包的金属低温沉积、用于半导体制造的金属非真空基沉积、用于微电子包的金属非真空基沉积、用于半导体制造(片上电阻元件)的电阻材料的直接图案沉积、用于微电子包(包封电阻器)的高电阻材料的直接图案沉积、用于半导体制造的电阻材料的低温沉积、用于微电子包(包封电阻器)的电阻材料的低温沉积、用于半导体制造的电阻材料的非真空基沉积、用于微电子包的电阻材料的非真空基沉积、通过混合金属/氧化物沉积受控制的电阻材料、用于半导体制造(片上感应器)的制造感应器材料的直接图案沉积、用于微电子包(包封感应器)制造感应器材料的直接图案沉积、用于半导体制造的制造感应器材料的低温沉积、用于微电子包(包封感应器)的感应器制造材料的低温沉积、用于半导体制造的感应器制造材料的非真空基沉积、用于微电子包的感应器制造材料的非真空基沉积、用于制造使用有机半导体和/或有机基底的器件的金属和氧化物材料的直接图案沉积、用于制造使用有机半导体和/或有机基底的器件的金属和氧化物材料的低温沉积、用于制造使用有机半导体和/或有机基底的器件的金属和氧化物材料的低温沉积、用于制造使用有机半导体和/或有机基底的器件的金属和氧化物材料的非真空基沉积、在双层或TSI光刻胶方法中用做光敏、电子束敏层、磁性材料的直接图案沉积、低温沉积、非真空基沉积、混合金属和混合氧化物材料的沉积、金属和金属氧化物结构的喷墨状(液滴)打印、在基底上的喷雾涂布、使用用于厚膜的液相涂布(旋涂或弯月面涂布)的材料,以简化和改善涂布方法和性能,然后利用转化时的体积收缩以得到薄涂层(这样的应用是制造包封的电容器,这里涂布上厚层以得到最终薄的膜,用以改善该结构的电容)的用途、在聚合物/天然纤维上液态涂布金属有机前驱体,然后通过光化学转化或低温热转化,沉积出金属或金属氧化物涂层(例如涂布银/氧化银制造抗微生物纤维和织物,用于医院的应用、抗臭味的服装例如袜子或衬垫等)、将前驱体进行液相渗透,然后进行热转化,形成具有催化活性的多孔材料、用做聚合物溶液的添加剂,通过形成纳米级组合物以改善得到的材料的物理、化学、光学和电性能的应用、合成和配合水溶性前驱体以减少上述方法中的挥发份的排放、门电极材料、平面显示器、FeRAM、直写材料、微细流体、掩膜和波导。
对于制造电子材料用的方法的补充叙述包括在同时提交的US专利申请09/____中叙述的内容,题目是《基底预处理的方法和设备》,其公开的内容在该引做参考。
实施例
下面的实施例进一步说明本发明的某些实施方案。提供这些实施例仅用于说明的目的,不以任何方式对本发明构成限制。
实施例1
通过将其溶解于适当的溶剂并将该溶液旋涂在硅芯片表面上,浇注出两种不同的含锆前驱体膜,即Zr(乙酰丙酮)4(也已知作为Zr(acac)4和四(2,4-戊二酮基)锆(IV))和Zr(羧酸根)4。每一种都经受长时间的覆盖式热处理,即直到前驱体膜的厚度不再有变化为止。在该处理之后,使用可变角光谱椭圆仪(VASE)测量每个试样的折光指数与波长的关系。在图14中描述了得到的结果。在图14中,曲线101来自由Zr(acac)4前驱体形成的试样,曲线102来自由Zr(羧酸根)4前驱体形成的试样。这些结果显示出,在每个试样的折光指数性能上存在着明显的差别,这直接与前驱体的化学组成有关。Za(acac)4前驱体形成的膜具有的折光指数为对于3%,高于由Zr(羧酸根)4形成的膜的折光指数。
实施例2
先制造两种不同的铜前驱体,即Cu(OH2)2(O2C(CH2)4CH3)4和(μ-(C2H5)2NCH2CH2O)2Cu2(N3)2。据认为每种前驱体都经受光化学反应,这导致失去配位体并产生铜原子。然后认为铜原子互相结合,形成金属铜,或者与氧结合形成氧化铜,然而,作为先形成的金属铜氧化的结果,也可能生成氧化铜。基于现有技术的化学,没有理由假设在类似的条件下这些不同的前驱体将提供不同的产物,虽然都承认作为对前驱体进行选择的结果,反应的成膜性能和效率会有很大的差别。
因此,把这两种前驱体的每一种都进行溶解,通过旋涂的方法在硅片上使每种溶液沉积,然后在真空中通过光分解,使在涂布的每块晶片上的前驱体层进行基本上的充分转化。光分解一直持续到在对膜进行DTIR光谱分析时不再观察到与配位体有关的吸收。然后把试样转移到烧结炉中,在400℃的氮气下加热。
然后用已知的宽角度X射线衍射法对每个试样进行检测。发现Cu(OH2)2(O2C(CH2)4CH3)4前驱体在转化后得到更多的氧化铜,而对于(μ-(C2H5)2NCH2CH2O)2Cu2(N3)2前驱体则产生更多的晶体金属铜。这样的结果显示出这些方法结果的依存性,即在转化以后,对前驱体的组成具有现有技术无法预见的依存性。
给定前驱体材料的膜转化为无定形膜的方法,例如热方法或光分解方法,对这些膜的性能可以具有明显的影响。如在实施例3和4中所显示的,在汇总光学的折射率数据中就显示出这一点,通过比较介电常数的数据也清楚地显示出这一点。
实施例3
将前驱体Zr(acac)4(乙酰丙酮锆(IV),来自Chemat Technology公司,Northridge,CA)溶解于甲苯,以1250rpm的转速在30sec将该溶液旋涂在硅晶片上。得到的未转化前驱体膜的厚度为436。在180℃的加热板上加热1hr,将ZrO2进行热转化。在180℃的加热板上再进行延长的热转化。使用具有220nm冷镜的Karl SussMJB-3型掩膜直线对准器对ZrO2进行光化学转化。由于掩膜直线对准器在深紫外线区的输出强度比较小(大约0.38mW/cm2),要使用5hr的曝光时间,因为已经发现再多的曝光剂量也不会使厚度进一步缩减。使用VASE测定得到的膜的厚度和折光指数与波长的关系。这些膜测量的厚度如下:
未转化的Zr(acac)4前驱体               436
热转化                                 360
延长热转化                             316
光转化                                 330
将前驱体Zr(O(O)CC7H15)4(2-乙基己酸锆(IV),来自ChematTechnology公司,Northridge,CA)溶解于己烷,以1500rpm的转速在30sec将该溶液旋涂在硅晶片上。得到的未转化前驱体膜的厚度为2335。在180℃的加热板上加热3hr,将ZrO2进行热转化。在180℃的加热板上再进行延长的热转化。包括热转化时间总共达到6hr。如上面对ZrO(acac)4所述,ZrO2进行光化学转化,只是由于前驱体Zr(O(O)CC7H15)4的光敏感度比较低,曝光强度也低,所以使用的曝光时间大约是30hr。也是使用VASE测定得到的膜的厚度和折光指数与波长的关系。这些膜测量的厚度如下:
未转化的Zr(O(O)CC7H15)4前驱           2335
热转化                                   1141
延长热转化                               977
光转化                                   1487
在图15上显示的是折光指数的结果,这里光化学转化的Zr(acac)4是曲线1,热转化的Zr(acac)4是曲线2,热转化的Zr(O(O)CC7H15)4是曲线3,而光化学转化的Zr(O(O)CC7H15)4是曲线4,延长热转化的Zr(O(O)CC7H15)4是曲线5,延长热转化的Zr(acac)4是曲线6。
这些结果显示出,对于每种试样的折光率性能有明显的差别,这直接关系到前驱体的化学组成及其制备方法。
实施例4
在涂铝的硅晶片上旋涂上大约7000的前驱体在己烷中的溶液,该前驱体设计其在在至少部分转化后会得到BST膜。通过将3.7gTi(二(乙酰丙酮)二(异丙氧基))、2.8g2-乙基己酸钡和5.6g2-乙基己酸根(在2-乙基己酸中,浓度40wt%)溶解于182g己烷中制备BST前驱体膜,相当于Ba∶Sr∶Ti(IV)的摩尔比为1∶0.8∶1。通过热处理或者通过光分解材料把前驱体膜转化为BST膜。把得到的薄的BST膜制成膜电容器并测量膜的电性能。如同在下面的结果可以看出的,每种膜的介电常数和平均电导率都有着戏剧性的不同:
由热转化得到的BST膜
     介电常数         4.66
     平均电导率       0.009992S
由光化学转化得到的BST膜
     介电常数         27.26
     平均电导率       0.04311S
这些结果显示出对于每个试样,在电性能上有着明显的差别,这直接涉及到转化前驱体的制备方法。
实施例5
在例如旋涂膜前驱体时,对溶剂的选择是重要的,因为它可以影响到膜的光学质量。例如,该实施例显示出,由含有用于转化为BST膜的前驱体在MIBK和正庚烷中的溶液浇注得到的膜,可得到很高的光学质量,而从PGMEA的溶液就得到带斑纹的膜。
在这些溶剂的每一种当中,通过将前驱体溶解于溶剂,或者用所需的溶剂替代前驱体溶液中的一部分或全部溶剂。每种溶液都用旋涂法沉积在覆盖有铝的硅晶片上。硅晶片以1500rpm的转速旋转30sec。在旋涂以后,在110的加热板上烘烤2min,以除去任何残留的溶剂。使用带有220nm冷镜的Karl Suss MJB-3型掩膜直线对准器以大约1.2mW/cm2的强度把前驱体膜转化为氧化物。每块晶片曝光1.5hr,以确保完全转化。在转化以后,进行显影和去除步骤,这时用与旋涂试样同样的溶剂漂洗,洗掉每块前驱体膜的未转化、未曝光的部分。使用VASE测量在转化前(即未转化的)、刚刚在转化以后的和显影后的膜厚度。
由MIBK和正庚烷得到的高光学质量的前驱体膜表面,基本上没有上面表面特征,所以就没有图可以显示这个。反之,由PGMEA溶液旋涂得到的BST前驱体膜显示出明显的条纹,这可以在图16中看出。在上述溶剂中,MIBK得到最均匀的和可以重现的膜。
实施例6
在如何使用热处理把前驱体膜转化为所需材料的膜的实施例中,用设计在转化时用来形成BST膜的前驱体溶液旋涂一系列的裸硅晶片。通过在160℃的加热板上以10min的间隔加热每块晶片,使总加热时间为120min,从而使晶片进行至少部分转化。在每次转化间隔以后,用异丙醇漂洗给前驱体图案显影,除去未转化的前驱体。这样就能够测定出热印该膜所需的时间,即在用异丙醇显影后具有明显量的残留膜所需的时间。如在图17上所看到的,对于热转化,测定的这个时间大约是20min。
用光化学转化代替热转化进行类似的实验,该结果显示在图18中。该图显示出,光化学印膜所需的时间为大约30~60min。在第三个实验中,设计的是将热部分转化或预处理与光化学转化结合起来,晶片先在160℃下进行10min的预热处理,然后如上所述进行光化学转化的操作。热/光化学打印的结果如在图19中所示,该图显示出热/光化学转化组合方法所需的时间,即形成图案所需的最大时间,从只用光化学转化的30~60min缩短为大约20min。
实施例7
缩短成像光的波长和增加透镜系统的数字光圈都可以改善光投射系统的分辨率。然而,在整个光刻胶膜上保持图像聚焦的能力,随着厚度增加而降低。减小光刻胶膜的厚度可保持焦深,但对于图案转移步骤所需的刻蚀的要求限制了光刻胶的最大厚度。为了减弱焦深的限制,使用的薄的膜成像,只在光刻胶顶层上形成图案。在给光刻胶顶层成像以后,把图案显影,然后使用刻蚀的方法转移到基底上。
对于使用金属络合物前驱体的双层方法,可以使用含有底层和金属络合物前驱体的两组膜,每一层都是例如旋涂的。金属络合物前驱体的作用是刻蚀掩膜的要求,而底层被用于使用刻蚀把图案转移到基底上。测试了三种不同的底层:PMMA、PHOST和线形酚醛树脂。PHOST和线形酚醛树脂是在160℃的加热板上进行2hr的硬烘烤以后进行金属络合物前驱体的旋涂的。在实验中使用的金属络合物前驱体,设计得能够形成BST、PZT或二氧化钛(TiO2)。按照在实施例4中叙述的操作程序制备BST前驱体。通过把18.48g2-乙基己酸铅溶解于57.4g己烷中,加入24.2g 2-乙基己酸锆(IV)和9.5g Ti(二(乙酰丙酮)二(异丙氧基)),然后再添加327g己烷来制备PZT前驱体,这相当于Pb(II)∶Zr(IV)∶Ti(IV)的摩尔比是2.5∶1∶1.3。下面的表列出了使用的不同组合以及底层和金属络合物前驱体是否被认为是相容的。
   底层                 前驱体         使用己烷浇注溶剂的相对相容性
   PMMA                 BST                        差
   PMMA                 PZT                        差
   PHOST                BST                        差
   PHOST                PZT                        差
   线形酚醛树脂         BST                        好
   线形酚醛树脂         PZT                        好
   线形酚醛树脂         TiO2                      好
发现硬烘烤的线形酚醛树脂与BST、PZT和TiO2前驱体都是相容的,而测试的PMMA和PHOST底层材料在旋涂金属络合物层的时候会溶解。在有BST和PZT前驱体使用的浇注溶剂己烷存在下,PMMA和PHOST会溶解掉。硬烘烤的线形酚醛树脂与BST和PZT的相容性使得能够进行图案的形成和转移。
实施例8
通过监测刻蚀时厚度的变化来确定在硬烘烤线形酚醛树脂和两种金属络合物之间的刻蚀选择性。按照实施例7制备硬烘烤线形酚醛树脂和充分转化的PZT或TiO2试样,把试样装入刻蚀室,用氧等离子体进行30sec间隔的刻蚀,总刻蚀时间至少是120sec。在每个刻蚀间隔之后测量试样的厚度。从厚度对刻蚀时间的图上确定每个试样的刻蚀速度。图20显示的是硬烘烤线形酚醛树脂的厚度对刻蚀时间图,而图21是对于充分转化的PZT和TiO2的同样的图。下面的表格列出了各自的斜率和线形最小二乘方线的相关系数。被氧刻蚀的层            厚度~刻蚀时间图的斜率        相关系数硬烘烤线形酚醛               -76.3                     0.999充分转化PZT                  -0.195                    0.985充分转化TiO2                -0.0866                   0.992
由各个刻蚀速度图的斜率比确定出刻蚀选择性。硬烘烤线形酚醛树脂和充分转化PZT之间的刻蚀选择性是大约390∶1。硬烘烤线形酚醛树脂和充分转化的TiO2之间的刻蚀选择性是大约880∶1。在同样条件下,TIO2的刻蚀选择性与SiO2的刻蚀选择性大致相当。
实施例9
进行了BST和PZT的电子束对比度测试,通过给每种材料的一系列充分转化的膜曝光,增加电子束的剂量,并注意在显影以后保留膜的百分比是0的最高剂量和保留膜的比例达到1的最低剂量,以确定这些材料的光速。PZT和BST的对比度在大约相同的范围,从大约60至大约100μC/cm2
本领域的专业人员将能够理解,本发明的范围并不限于在此所公开的内容,而且还要包括其扩展的内容。

Claims (31)

1.在基底上形成硬掩膜的方法,该方法包括如下的步骤:
选择至少一种前驱体材料;
在基底的顶上形成一层含有该前驱体的层;
将至少一部分该前驱体层进行转化;
将该前驱体层显影,由此在该前驱体层上形成图案,以及
将该图案转移到基底上,由此在形成图案时不使用光刻胶。
2.如权利要求1的方法,该方法进一步包括用显影剂把该前驱体层的未转化部分显影去掉。
3.如权利要求2的方法,其中所述显影剂是液体显影剂,含有至少一种醇和至少一种酮,其中存在的所有醇的总体积大于在该液体显影剂中存在的所有醇的体积加上存在的所有酮的体积总和的50%。
4.如权利要求3的方法,其中至少一种醇是异丙醇,至少一种酮是甲基异丁基酮,异丙醇和甲基异丁基酮的体积比大于大约1∶1,而小于大约40∶1。
5.如权利要求1的方法,该方法进一步包括用显影剂显影去掉该前驱体层的已转化部分。
6.如权利要求5的方法,其中所述显影剂是液体显影剂,含有至少一种醇和至少一种酮,其中存在的所有醇的总体积大于在该液体显影剂中存在的所有醇的体积加上存在的所有酮的体积总和的50%。
7.如权利要求6的方法,其中至少一种醇是异丙醇,至少一种酮是甲基异丁基酮,异丙醇和甲基异丁基酮的体积比大于大约1∶1,而小于大约40∶1。
8.如权利要求1的方法,该方法进一步包括从金属络合物中选择至少一种前驱体材料,该金属络合物包括至少一种配位体和至少一种金属,所述配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸基、胺、卤素基、硝基和它们的混合物;所述金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。
9.在基底上形成硬掩膜的方法,该方法包括如下的步骤:
选择至少一种前驱体材料;
在基底的顶上任选形成一保护层;
在该保护层的顶上形成一层含有该未转化前驱体的层;
将至少一部分该未转化前驱体层进行部分转化;
基本上除去至少一部分未转化前驱体层,形成图案,以及
通过把至少一部分部分转化的前驱体层进行转化,形成硬掩膜。
10.如权利要求9的方法,该方法进一步包括用选自光、电子束辐照、离子束辐照和它们的组合的能源,通过包括至少一部分对该能源基本上透明的带图案掩膜进行部分转化的步骤。
11.如权利要求9的方法,该方法进一步包括使用显影剂基本上除去至少一部分未转化前驱体层。
12.如权利要求9的方法,该方法进一步包括使用选自光、电子束辐照、离子束辐照、热退火和它们的组合的能源进行转化而形成硬掩膜的步骤。
13.如权利要求9的方法,该方法进一步包括从金属络合物中选择至少一种前驱体的步骤,该金属络合物包括至少一种配位体和至少一种金属,所述配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸基、胺、卤素基、硝基和它们的混合物;所述金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。
14.在基底上形成刻蚀图案的方法,该方法包括如下的步骤:
选择至少一种前驱体材料;
在基底的顶上形成一层含有未转化前驱体的层;
将至少一部分未转化前驱体层进行部分转化;
基本上除去至少一部分未转化前驱体层;
通过转化至少一部分部分转化前驱体层来形成硬掩膜,以及
通过刻蚀至少一部分基本上未被硬掩膜覆盖的基底在该基底上形成至少一个图案区。
15.如权利要求14的方法,该方法进一步包括从金属络合物选择至少一种前驱体材料的步骤,该金属络合物包括至少一种配位体和至少一种金属,所述配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸基、胺、卤素基、硝基和它们的混合物;所述金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。
16.形成带图案的薄顶表面的方法,该方法包括如下步骤:
选择至少一种含有金属络合物的前驱体材料;
在基底顶上形成图案转移层;
在图案转移层的顶上形成一层含有未转化前驱体的层;
通过包括至少一部分对光基本上透明的带图案掩膜将一部分未转化前驱体层曝光,由此将已曝光部分部分转化;
基本上除去至少一部分未转化前驱体层,因而至少一部分图案转移层被曝露出来;
通过转化至少一部分部分转化的前驱体层来形成硬掩膜;
刻蚀至少部分转化的前驱体层和图案转移层的曝露部分,由此从未覆盖的图案转移层形成至少一个被刻蚀的部分;以及
基本上除去保留的至少部分转化前驱体层和图案转移层,由此曝露出至少一部分基底。
17.如权利要求16的方法,该方法进一步包括从光刻胶、聚酰亚胺、聚甲基丙烯酸甲酯、线形酚醛树脂和环氧树脂中选择图案转移层。
18.如权利要求16的方法,该方法进一步包括选择金属络合物以使所述金属络合物含有至少一种配位体和至少一种金属,所述配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸基、胺、卤素基、硝基和它们的混合物;所述金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。
19.在一揭起层的上面形成带图案薄顶表面的方法,该方法包括如下步骤:
选择至少一种含有金属络合物的前驱体材料;
在基底的顶上形成一隔离层;
在该隔离层的顶上形成一揭起层;
在该揭起层的顶上形成一层含有未转化前驱体的层;
通过包含至少一部分对光基本上透明的带图案掩膜,将一部分未转化前驱体层曝光,由此将已曝光部分进行部分转化;
基本上除去至少一部分未转化前驱体层,由此曝露出至少一部分揭起层,该揭起层具有被部分转化前驱体层覆盖的揭起层的保留部分;
通过将至少一部分部分转化前驱体层进行转化,形成硬掩膜;
使至少部分转化前驱体和揭起层的曝露部分经受各向异性的去除方法,由此基本上除去揭起层的未覆盖部分和至少一部分在该揭起层未覆盖部分底下的隔离层,并曝露出至少一部分基底;
使至少部分转化的前驱体、揭起层的覆盖部分和至少一部分在揭起层覆盖部分底下的隔离层经受各向同性去除方法;
在至少一部分曝露的基底顶上和在至少部分转化的前驱体顶上沉积上一层金属膜;
基本上除去至少部分转化前驱体顶上的金属;以及
基本上除去保留的至少部分转化前驱体、揭起层和隔离层,由此在基底顶上形成金属的图案。
20.如权利要求19的方法,该方法进一步包括选择金属络合物以使该金属络合物含有至少一种配位体和至少一种金属,所述配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸基、胺、卤素基、硝基和它们的混合物;所述金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。
21.在一揭起层上形成带图案薄顶表面的方法,该方法包括如下步骤:
选择至少一种含有金属络合物的前驱体材料;
在基底顶上形成一层含有未转化前驱体材料的层;
将至少一部分该未转化前驱体层进行部分转化;
基本上除去至少一部分未转化前驱体层,由此在部分转化前驱体部分形成向内呈锥形的侧壁断面并曝露出至少一部分基底;
通过转化至少一部分部分转化前驱体层来形成硬掩膜;
在至少一部分曝露的基底顶上和在至少部分转化前驱体的顶上沉积金属膜;
基本上除去在至少部分转化前驱体顶上的金属;以及
基本上除去保留的至少部分转化前驱体,由此在基底顶上形成金属图案。
22如权利要求21的方法,该方法进一步包括选择金属络合物以使该金属络合物含有至少一种配位体和至少一种金属,所述配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸基、胺、卤素基、硝基和它们的混合物;所述金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。
23.在基底中形成注入区的方法,该方法包括如下步骤:
选择至少一种前驱体材料;
任选在该基底的顶上形成一保护层;
在该保护层的顶上形成一层含有至少一种未转化前驱体材料的层;
通过把至少一部分未转化前驱体层进行转化,形成前驱体层的部分转化部分;
使用显影剂基本上除去至少一部分未转化前驱体层;
通过转化至少一部分部分转化前驱体层来形成硬掩膜;以及
通过在至少一部分基本上未被硬掩膜覆盖的基底上注入离子来在该基底上形成至少一个注入区。
24.如权利要求23的方法,该方法进一步包括用选自光、电子束辐照、离子束辐照和它们的组合的能源通过包含至少一部分对所述能源基本上是透明的带图案掩膜进行部分转化。
25.如权利要求23的方法,该方法进一步包括使用选自光、电子束辐照、离子束辐照、热退火和它们的组合的能源进行转化而形成硬掩膜。
26.如权利要求23的方法,该方法进一步包括将未覆盖的基底曝露于离子束下而注入离子。
27.如权利要求23的方法,该方法进一步包括从金属络合物中选择至少一种前驱体材料的步骤,该金属络合物含有至少一种配位体和至少一种金属,所述配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸基、胺、卤素基、硝基和它们的混合物;所述金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。
28.在一个介电层中形成双波纹构筑的方法,该方法包括如下步骤:
选择至少一种前驱体材料;
在基底的顶上形成具有特征厚度的介电层;
在该介电层的顶上形成一层含有第一未转化前驱体的层;
通过在至少一部分未转化的第一前驱体层上使用第一部分转化方法,形成第一前驱体层的部分转化部分;
通过使用第一去除方法基本上除去至少一部分第一未转化前驱体层,曝露出至少一部分介电层,形成未被至少部分转化的第一前驱体层覆盖的第一图案;
在至少一部分部分转化的第一前驱体层上使用第一转化方法形成硬掩膜;
在介电层的曝露部分顶上和至少部分转化的第一前驱体层顶上形成一个旋转平面化层;
在该旋转平面化层的顶上形成一层含有第二未转化前驱体的层;
在至少一部分该未转化的第二前驱体层上使用第二部分转化方法形成第二前驱体层的部分转化部分;
使用第二去除方法基本上除去至少一部分第二未转化前驱体层,曝露出至少一部分旋转平面化层,形成未被至少部分转化的第二前驱体层覆盖的第二图案;
在至少一部分部分转化的第二前驱体层上使用第二转化方法形成硬掩膜;
在基本上未被第二硬掩膜覆盖的至少一部分介电层及其底下的旋转平面化层上使用第一刻蚀方法,在介电层上形成至少一个第二图案区,使得被第一刻蚀方法除去的深度小于介电层的厚度;
通过使用第三去除方法基本上除去剩下的至少部分转化的第二前驱体层和旋转平面化层,由此曝露出至少部分转化的第一前驱体层;
通过在至少一部分基本上未被第一硬掩膜覆盖的至少一部分介电层上使用第二刻蚀方法,在介电层上形成至少一个第一图案区,使得在第一图案区中用第二刻蚀方法除去介电层的深度小于其厚度,而且在第二图案区通过第二刻蚀方法基本上除去整个介电层的厚度,从而曝露出至少一部分基底;以及
任选地用第四去除方法基本上除去剩下的至少部分转化的第一前驱体层。
29.如权利要求28的方法,该方法进一步包括从低介电常数介电材料中选择介电层。
30.如权利要求28的方法,该方法进一步包括从金属络合物中选择至少一种前驱体材料的步骤,该金属络合物含有至少一种配位体和至少一种金属,所述配位体选自乙酰丙酮化物、羧酸根、烷氧基、叠氮基、羰基、硝酸基、胺、卤素基、硝基和它们的混合物;所述金属选自Li、Al、Si、Ti、V、Cr、Mn、Fe、Ni、Co、Cu、Zn、Sr、Y、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Ba、La、Pr、Sm、Eu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Pb、Th、U、Sb、As、Ce、Mg和它们的混合物。
31.如权利要求28的方法,该方法进一步包括选择第一和第二刻蚀方法中的至少一种作为各向异性含氧等离子体。
CNB018123341A 2000-06-06 2001-06-06 硬掩模的形成方法 Expired - Fee Related CN1268177C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US20994700P 2000-06-06 2000-06-06
US60/209,947 2000-06-06

Publications (2)

Publication Number Publication Date
CN1457504A true CN1457504A (zh) 2003-11-19
CN1268177C CN1268177C (zh) 2006-08-02

Family

ID=22780987

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018123341A Expired - Fee Related CN1268177C (zh) 2000-06-06 2001-06-06 硬掩模的形成方法

Country Status (8)

Country Link
US (1) US6566276B2 (zh)
EP (1) EP1305824A4 (zh)
JP (1) JP2004512672A (zh)
KR (1) KR20030007904A (zh)
CN (1) CN1268177C (zh)
AU (1) AU2001265390A1 (zh)
TW (1) TW513745B (zh)
WO (1) WO2001095690A1 (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1950472B (zh) * 2004-03-15 2010-07-28 株式会社德山 涂料组合物
CN102197489A (zh) * 2008-10-23 2011-09-21 日产化学工业株式会社 图像形成用下层膜
CN102299054A (zh) * 2010-06-28 2011-12-28 台湾积体电路制造股份有限公司 用于薄膜电阻器生产的硬掩膜
US8304179B2 (en) 2009-05-11 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device using a modified photosensitive layer
CN103489766A (zh) * 2013-09-16 2014-01-01 复旦大学 一种氧化镁钛高介电常数薄膜及其制备方法和应用
US8822347B2 (en) 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
CN104136994A (zh) * 2012-02-22 2014-11-05 国际商业机器公司 双硬掩模光刻工艺
CN104635424A (zh) * 2013-09-03 2015-05-20 罗门哈斯电子材料有限公司 硬质掩模
CN105070839A (zh) * 2015-07-10 2015-11-18 北京交通大学 一种聚合物太阳能电池及其制备方法
CN105579906A (zh) * 2013-08-22 2016-05-11 因普利亚公司 以有机金属溶液为主的高分辨率图案化组合物
CN105732884A (zh) * 2012-09-23 2016-07-06 罗门哈斯电子材料有限公司 硬掩模
CN108351594A (zh) * 2015-10-13 2018-07-31 因普里亚公司 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化
CN109153884A (zh) * 2016-05-19 2019-01-04 三井化学株式会社 含金属膜形成用组合物、含金属膜形成用组合物的制造方法、半导体装置以及半导体装置的制造方法
CN110512196A (zh) * 2019-09-24 2019-11-29 攀枝花学院 二氧化钒薄膜微细图形的制备方法
CN110643980A (zh) * 2019-09-24 2020-01-03 攀枝花学院 微米厚度二氧化钒薄膜微细图形的制备方法
US10782610B2 (en) 2010-06-01 2020-09-22 Inpria Corporation Radiation based patterning methods
CN112913012A (zh) * 2018-11-05 2021-06-04 贺利氏德国有限两合公司 电磁干扰屏蔽层制造方法
CN114664649A (zh) * 2022-05-19 2022-06-24 浙江大学杭州国际科创中心 碳化硅高深宽比槽刻蚀工艺优化方法
US11392029B2 (en) 2014-10-23 2022-07-19 Inpria Corporation Organometallic solution based high resolution patterning compositions and corresponding methods

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410453B1 (en) * 1999-09-02 2002-06-25 Micron Technology, Inc. Method of processing a substrate
US20060001064A1 (en) * 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
US20040191423A1 (en) * 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
US7067346B2 (en) * 2000-06-06 2006-06-27 Simon Foster University Titanium carboxylate films for use in semiconductor processing
US7176114B2 (en) * 2000-06-06 2007-02-13 Simon Fraser University Method of depositing patterned films of materials using a positive imaging process
US6787198B2 (en) 2000-07-28 2004-09-07 Ekc Technology, Inc. Hydrothermal treatment of nanostructured films
TW554405B (en) * 2000-12-22 2003-09-21 Seiko Epson Corp Pattern generation method and apparatus
DE10103524A1 (de) * 2001-01-26 2002-08-22 Infineon Technologies Ag Verfahren und Halbleiteranordnung zur Ätzung einer Schicht eines Halbleitersubstrats mittels einer siliziumhaltigen Ätzmaske
US6756620B2 (en) * 2001-06-29 2004-06-29 Intel Corporation Low-voltage and interface damage-free polymer memory device
GB2379415A (en) * 2001-09-10 2003-03-12 Seiko Epson Corp Monitoring the deposition of organic polymer droplets onto a substrate
GB2385863A (en) * 2001-10-29 2003-09-03 Qinetiq Ltd High resolution patterning method
US7022287B2 (en) * 2002-05-08 2006-04-04 Sandia National Laboratories Single particle electrochemical sensors and methods of utilization
DE10240748B4 (de) * 2002-08-29 2010-04-01 Qimonda Ag Verfahren zur Planarisierung einer Halbleiterprobe
US20040048194A1 (en) * 2002-09-11 2004-03-11 International Business Machines Corporation Mehod for forming a tunable deep-ultraviolet dielectric antireflection layer for image transfer processing
JP3883004B2 (ja) * 2002-12-25 2007-02-21 Tdk株式会社 パターン化薄膜形成方法およびマイクロデバイスの製造方法
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
US7879696B2 (en) * 2003-07-08 2011-02-01 Kovio, Inc. Compositions and methods for forming a semiconducting and/or silicon-containing film, and structures formed therefrom
US20050130076A1 (en) * 2003-12-11 2005-06-16 Haoren Zhuang Method for producing a hard mask in a capacitor device and a hard mask for use in a capacitor device
US7294449B1 (en) 2003-12-31 2007-11-13 Kovio, Inc. Radiation patternable functional materials, methods of their use, and structures formed therefrom
US7244858B2 (en) * 2004-03-25 2007-07-17 Praxair Technology, Inc. Organometallic precursor compounds
US6887785B1 (en) 2004-05-13 2005-05-03 International Business Machines Corporation Etching openings of different depths using a single mask layer method and structure
US7132360B2 (en) * 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
KR100598105B1 (ko) * 2004-06-17 2006-07-07 삼성전자주식회사 반도체 패턴 형성 방법
US20050285312A1 (en) * 2004-06-23 2005-12-29 Fury Michael A Use of PMOD materials in layered (3D) manufacturing technology
US7129177B2 (en) * 2004-10-29 2006-10-31 Hitachi Global Storage Technologies Netherlands B.V. Write head fabrication by inverting order of process steps
CN1808268B (zh) * 2005-01-18 2010-10-06 中芯国际集成电路制造(上海)有限公司 用于应变硅mos晶体管的金属硬掩模方法和结构
US7292381B1 (en) * 2005-09-08 2007-11-06 Hrl Laboratories, Llc Method for conforming a micro-electronic array to arbitrary shapes
CN100442476C (zh) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
US20070075628A1 (en) * 2005-10-04 2007-04-05 General Electric Company Organic light emitting devices having latent activated layers
WO2008060583A2 (en) 2006-11-15 2008-05-22 Aculon, Inc. Organometallic films, methods for applying organometallic films to substrates and substrates coated with such films
CN101226899A (zh) * 2007-01-19 2008-07-23 中芯国际集成电路制造(上海)有限公司 在硅凹陷中后续外延生长应变硅mos晶片管的方法和结构
CN101364545B (zh) * 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 应变硅晶体管的锗硅和多晶硅栅极结构
JP4976977B2 (ja) * 2007-10-17 2012-07-18 株式会社東芝 半導体装置の製造方法
US8168961B2 (en) * 2008-11-26 2012-05-01 Fei Company Charged particle beam masking for laser ablation micromachining
WO2010128107A1 (en) * 2009-05-07 2010-11-11 Neodec B.V. Process for manufacturing conductive tracks
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
JP5601286B2 (ja) * 2011-07-25 2014-10-08 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
WO2013029186A1 (en) 2011-09-01 2013-03-07 Trudel Simon Electrocatalytic materials and methods for manufacturing same
US8610280B2 (en) * 2011-09-16 2013-12-17 Micron Technology, Inc. Platinum-containing constructions, and methods of forming platinum-containing constructions
KR101920711B1 (ko) 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
DE102013203995B4 (de) * 2013-03-08 2020-03-12 Carl Zeiss Smt Gmbh Verfahren zum Schützen eines Substrats während einer Bearbeitung mit einem Teilchenstrahl
US9914995B2 (en) 2014-11-21 2018-03-13 Applied Materials, Inc. Alcohol assisted ALD film deposition
JP6993982B2 (ja) 2016-03-11 2022-02-04 インプリア・コーポレイション 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス
KR102329105B1 (ko) 2016-08-12 2021-11-18 인프리아 코포레이션 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법
WO2018173446A1 (ja) * 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
JP6887307B2 (ja) * 2017-05-19 2021-06-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20200074263A (ko) 2017-11-19 2020-06-24 어플라이드 머티어리얼스, 인코포레이티드 금속 표면들 상의 금속 산화물들의 ald를 위한 방법들
JP7016147B2 (ja) * 2017-11-29 2022-02-04 深▲セン▼通鋭微電子技術有限公司 チップオンフィルム型半導体装置
JP7348210B2 (ja) 2018-06-13 2023-09-20 ブルーワー サイエンス アイ エヌ シー. Euvリソグラフィ用接着層
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
CN110767683B (zh) * 2018-10-31 2022-04-15 云谷(固安)科技有限公司 显示面板、掩膜版和显示终端
US20200326627A1 (en) * 2019-04-12 2020-10-15 Inpria Corporation Organometallic photoresist developer compositions and processing methods
WO2021178302A1 (en) 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
JP2023524969A (ja) 2020-05-06 2023-06-14 インプリア・コーポレイション 中間凍結工程による有機金属光パターニング可能層を用いたマルチパターニング
US11980046B2 (en) * 2020-05-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming an isolation structure having multiple thicknesses to mitigate damage to a display device
US11501812B2 (en) * 2020-07-31 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including ferroelectric memory and methods of forming the same
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
US20230280644A1 (en) * 2022-03-03 2023-09-07 International Business Machines Corporation Method of making euv mask with an absorber layer

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2929682A1 (de) 1979-07-04 1981-01-15 Bbc Brown Boveri & Cie Verfahren zum aetzen von silizium- substraten und substrat zur durchfuehrung des verfahrens
US4439464A (en) * 1982-05-11 1984-03-27 University Patents, Inc. Composition and method for forming amorphous chalcogenide films from solution
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US5140366A (en) 1987-05-29 1992-08-18 Canon Kabushiki Kaisha Exposure apparatus with a function for controlling alignment by use of latent images
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
US6072207A (en) * 1991-02-25 2000-06-06 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5486176A (en) 1991-03-27 1996-01-23 Smith & Nephew Richards, Inc. Angled bone fixation apparatus
US5292558A (en) * 1991-08-08 1994-03-08 University Of Texas At Austin, Texas Process for metal deposition for microelectronic interconnections
US5281447A (en) * 1991-10-25 1994-01-25 International Business Machines Corporation Patterned deposition of metals via photochemical decomposition of metal-oxalate complexes
JP3416134B2 (ja) 1992-11-19 2003-06-16 ザ ユニバーシティ コート オブ ザ ユニバーシティ オブ ダンディ 付着方法
US5272099A (en) 1992-11-27 1993-12-21 Etron Technology Inc. Fabrication of transistor contacts
GB2284300B (en) 1993-11-10 1997-11-19 Hyundai Electronics Ind Process for forming fine pattern of semiconductor device
US5637440A (en) * 1993-12-27 1997-06-10 Mitsubishi Materials Corporation Composition for forming metal oxide thin film pattern and method for forming metal oxide thin film pattern
US5460693A (en) * 1994-05-31 1995-10-24 Texas Instruments Incorporated Dry microlithography process
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3348564B2 (ja) * 1995-04-28 2002-11-20 ソニー株式会社 誘電体キャパシタの製造方法
US5652166A (en) 1996-01-11 1997-07-29 United Microelectronics Corporation Process for fabricating dual-gate CMOS having in-situ nitrogen-doped polysilicon by rapid thermal chemical vapor deposition
US5627087A (en) 1996-03-11 1997-05-06 United Microelectronics Corporation Process for fabricating metal-oxide semiconductor (MOS) transistors based on lightly doped drain (LDD) structure
JP3892565B2 (ja) 1997-02-28 2007-03-14 株式会社東芝 パターン形成方法
US5935762A (en) 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
US6307087B1 (en) * 1998-07-10 2001-10-23 Massachusetts Institute Of Technology Ligands for metals and improved metal-catalyzed processes based thereon

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1950472B (zh) * 2004-03-15 2010-07-28 株式会社德山 涂料组合物
CN102197489B (zh) * 2008-10-23 2013-08-21 日产化学工业株式会社 图像形成用下层膜
CN102197489A (zh) * 2008-10-23 2011-09-21 日产化学工业株式会社 图像形成用下层膜
CN101872126B (zh) * 2009-04-27 2017-04-26 台湾积体电路制造股份有限公司 湿溶性光刻
US8822347B2 (en) 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
US8304179B2 (en) 2009-05-11 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device using a modified photosensitive layer
US11988961B2 (en) 2010-06-01 2024-05-21 Inpria Corporation Radiation based patterning methods
US11693312B2 (en) 2010-06-01 2023-07-04 Inpria Corporation Radiation based patterning methods
US11599022B2 (en) 2010-06-01 2023-03-07 Inpria Corporation Radiation based patterning methods
US10782610B2 (en) 2010-06-01 2020-09-22 Inpria Corporation Radiation based patterning methods
JP7124137B2 (ja) 2010-06-01 2022-08-23 インプリア・コーポレイション パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
JP2021073505A (ja) * 2010-06-01 2021-05-13 インプリア・コーポレイションInpria Corporation パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法
CN102299054A (zh) * 2010-06-28 2011-12-28 台湾积体电路制造股份有限公司 用于薄膜电阻器生产的硬掩膜
CN104136994A (zh) * 2012-02-22 2014-11-05 国际商业机器公司 双硬掩模光刻工艺
CN104136994B (zh) * 2012-02-22 2017-11-21 国际商业机器公司 双硬掩模光刻工艺
CN105732884A (zh) * 2012-09-23 2016-07-06 罗门哈斯电子材料有限公司 硬掩模
CN105579906A (zh) * 2013-08-22 2016-05-11 因普利亚公司 以有机金属溶液为主的高分辨率图案化组合物
US11966159B2 (en) 2013-08-22 2024-04-23 Inpria Corporation Organometallic solution based high resolution patterning compositions
CN105579906B (zh) * 2013-08-22 2020-04-03 因普利亚公司 以有机金属溶液为主的高分辨率图案化组合物
CN111240158A (zh) * 2013-08-22 2020-06-05 因普利亚公司 以有机金属溶液为主的高分辨率图案化组合物
US11988960B2 (en) 2013-08-22 2024-05-21 Inpria Corporation Organometallic solution based high resolution patterning compositions
TWI781842B (zh) * 2013-08-22 2022-10-21 美商英培雅股份有限公司 以有機金屬溶液為主之高解析度圖案化組合物
TWI728353B (zh) * 2013-08-22 2021-05-21 美商因普利亞公司 以有機金屬溶液為主之高解析度圖案化組合物
US11988958B2 (en) 2013-08-22 2024-05-21 Inpria Corporation Organometallic solution based high resolution patterning compositions
TWI781843B (zh) * 2013-08-22 2022-10-21 美商英培雅股份有限公司 以有機金屬溶液為主之高解析度圖案化組合物
CN111240158B (zh) * 2013-08-22 2022-06-07 因普利亚公司 以有机金属溶液为主的高分辨率图案化组合物
TWI768844B (zh) * 2013-08-22 2022-06-21 美商因普利亞公司 以有機金屬溶液為主之高解析度圖案化組合物
CN104635424A (zh) * 2013-09-03 2015-05-20 罗门哈斯电子材料有限公司 硬质掩模
CN103489766A (zh) * 2013-09-16 2014-01-01 复旦大学 一种氧化镁钛高介电常数薄膜及其制备方法和应用
US11392029B2 (en) 2014-10-23 2022-07-19 Inpria Corporation Organometallic solution based high resolution patterning compositions and corresponding methods
US11988959B2 (en) 2014-10-23 2024-05-21 Inpria Corporation Organometallic solution based high resolution patterning compositions and corresponding methods
US11500284B2 (en) 2014-10-23 2022-11-15 Inpria Corporation Organometallic solution based high resolution patterning compositions and corresponding methods
CN105070839A (zh) * 2015-07-10 2015-11-18 北京交通大学 一种聚合物太阳能电池及其制备方法
CN108351594A (zh) * 2015-10-13 2018-07-31 因普里亚公司 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化
US11537048B2 (en) 2015-10-13 2022-12-27 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US11754924B2 (en) 2015-10-13 2023-09-12 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US11809081B2 (en) 2015-10-13 2023-11-07 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
CN108351594B (zh) * 2015-10-13 2021-07-09 因普里亚公司 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化
CN109153884A (zh) * 2016-05-19 2019-01-04 三井化学株式会社 含金属膜形成用组合物、含金属膜形成用组合物的制造方法、半导体装置以及半导体装置的制造方法
CN112913012A (zh) * 2018-11-05 2021-06-04 贺利氏德国有限两合公司 电磁干扰屏蔽层制造方法
CN110643980A (zh) * 2019-09-24 2020-01-03 攀枝花学院 微米厚度二氧化钒薄膜微细图形的制备方法
CN110512196A (zh) * 2019-09-24 2019-11-29 攀枝花学院 二氧化钒薄膜微细图形的制备方法
CN114664649A (zh) * 2022-05-19 2022-06-24 浙江大学杭州国际科创中心 碳化硅高深宽比槽刻蚀工艺优化方法

Also Published As

Publication number Publication date
JP2004512672A (ja) 2004-04-22
EP1305824A4 (en) 2007-07-25
US6566276B2 (en) 2003-05-20
CN1268177C (zh) 2006-08-02
US20020076495A1 (en) 2002-06-20
AU2001265390A1 (en) 2001-12-17
TW513745B (en) 2002-12-11
WO2001095690A1 (en) 2001-12-13
EP1305824A1 (en) 2003-05-02
KR20030007904A (ko) 2003-01-23

Similar Documents

Publication Publication Date Title
CN1268177C (zh) 硬掩模的形成方法
CN1309486C (zh) 用于基板预处理的方法和装置
US20220299877A1 (en) Positive tone development of cvd euv resist films
US7074640B2 (en) Method of making barrier layers
CN1234909C (zh) 利用原子层沉积法形成薄膜的方法
CN1263352C (zh) 电致发光元件及其制造方法
US7067346B2 (en) Titanium carboxylate films for use in semiconductor processing
CN1311304C (zh) 光刻胶图案增厚材料、包含它的光刻胶图案及其应用
US20220365434A1 (en) Substrate surface modification with high euv absorbers for high performance euv photoresists
CN108351594A (zh) 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化
CN1216425C (zh) 强电介质存储装置及其制造方法以及混载装置
US20230152701A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
CN1645562A (zh) 调准方法、半导体装置的制造方法、半导体装置用基板、电子设备
CN1149678C (zh) 强电介质存储元件及其制造方法
CN1179232A (zh) 具有混合层状超点阵材料的集成电路及用于制备该电路的前体溶液
CN1541781A (zh) 涂敷设备、薄膜形成方法、薄膜形成设备、半导体器件制造方法、电光装置和电子仪器
CN101036071A (zh) 微镜、微镜阵列及其制造方法
CN1551331A (zh) 图案及其形成法、器件及其制法、电光学装置、电子仪器
JP2014062253A (ja) ハードマスク
US20230266670A1 (en) Metal chelators for development of metal-containing photoresist
US20230266664A1 (en) Photoresists from sn(ii) precursors
TW201133616A (en) Method for tunably repairing low-k dielectric damage
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
CN1497670A (zh) 光刻胶图案增厚材料,光刻胶图案形成工艺和半导体器件制造工艺
US20150255638A1 (en) method of modifying an n-type silicon substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: SIMON FRASER UNIVERSITY

Free format text: FORMER OWNER: EKC TECHNOLOGY, INC.

Effective date: 20051216

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20051216

Address after: Columbia, Canada

Applicant after: Univ Fraser Simon

Address before: American California

Applicant before: Ekc Technology Inc

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060802

Termination date: 20100606