CN105579906B - 以有机金属溶液为主的高分辨率图案化组合物 - Google Patents

以有机金属溶液为主的高分辨率图案化组合物 Download PDF

Info

Publication number
CN105579906B
CN105579906B CN201480051859.3A CN201480051859A CN105579906B CN 105579906 B CN105579906 B CN 105579906B CN 201480051859 A CN201480051859 A CN 201480051859A CN 105579906 B CN105579906 B CN 105579906B
Authority
CN
China
Prior art keywords
metal
coating
ligands
organic
irradiated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480051859.3A
Other languages
English (en)
Other versions
CN105579906A (zh
Inventor
S·T·迈耶斯
D·A·凯斯勒
蒋凯
J·安德森
A·格伦维尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Inpria Corp
Original Assignee
Inpria Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=52480669&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN105579906(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Inpria Corp filed Critical Inpria Corp
Priority to CN202010158111.0A priority Critical patent/CN111240158B/zh
Publication of CN105579906A publication Critical patent/CN105579906A/zh
Application granted granted Critical
Publication of CN105579906B publication Critical patent/CN105579906B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Metallurgy (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

已发现有机金属溶液可提供使用薄涂层的以高分辨率辐射为主的图案化。所述图案化可涉及辐照具有所选图案的经涂布表面和用显影剂使所述图案显影以形成经显影的图像。基于使用有机显影剂或水性酸或碱显影剂,所述可图案化涂层容易正型图案化或负型图案化。辐射敏感涂层可包含具有有机配体的金属氧/羟网状物。前体溶液可包含有机液体和金属多核氧‑羟阳离子,其具有含有金属碳键和/或金属羧酸盐键的有机配体。

Description

以有机金属溶液为主的高分辨率图案化组合物
关于政府权利的说明
本文所述本发明的研发至少部分地是经由美国国家科学基金会(U.S.NationalScience Foundation)资助IIP-0912921并由政府提供资金支持,并且美国政府享有本发明的某些权利。
技术领域
本发明涉及使用有机金属涂料组合物的图案化材料的性能的以辐射为主的方法。本发明进一步涉及可沉积以形成可利用辐射以极高分辨率图案化的有机金属涂层的前体溶液,并且涉及在图案化之前和之后利用所述前体溶液形成的经涂布衬底和涂层。
背景技术
对于以半导体为主的装置以及其它电子装置或其它复杂精细结构的形成,通常将材料图案化以整合结构。因此,所述结构通常经由依序沉积和蚀刻步骤的反复工艺(经由其形成各种材料的图案)形成。以此方式,可将大量装置形成于小区域中。业内的一些发展可涉及减少装置的占用面积,此可是增强性能所需的。
可使用有机组合物作为辐射图案化抗蚀剂,以使用辐射图案来改变与图案相应的有机组合物的化学结构。举例来说,图案化半导体晶片的工艺可需要将所需图像从有机辐射敏感性材料的薄膜光刻转移。抗蚀剂的图案化通常涉及若干步骤,包括(例如)经由掩模将抗蚀剂暴露于选择能量源,以记录潜像和接着显影并去除抗蚀剂的所选区。对于正型抗蚀剂来说,暴露区经转变以使得所述区可选择性去除,而对于负型抗蚀剂来说,未暴露区更易于去除。
通常,可利用辐射、反应性气体或液体溶液使图案显影以去除抗蚀剂的选择性敏感部分,而抗蚀剂的其它部分用作保护性抗蚀刻层。液体显影剂对于使图像显影可尤其有效。可经由保护性抗蚀层的剩余区域中的窗或空隙选择性蚀刻衬底。或者,可经由保护性抗蚀层的剩余区域中的显影窗或空隙将所需材料沉积到下伏衬底的暴露区中。最后,去除保护性抗蚀层。可重复所述过程以形成其它图案化材料层。可使用化学气相沉积、物理气相沉积或其它所需方法沉积功能性无机材料。可使用其它处理步骤,例如导电材料的沉积或掺杂剂的植入。在微型制作和纳米制作领域中,集成电路中的特征大小已变得极小以实现高整合密度并改良电路功能。
发明内容
在第一方面中,本发明涉及利用辐射图案化衬底的方法,所述方法包含以下步骤:沿所选图案辐照经涂布衬底以形成具有经辐照涂层的区和具有未经辐照涂层的区的经辐照结构和使经辐照结构选择性显影以去除经辐照涂层或未经辐照涂层的实质性部分以形成图案化衬底。经涂布衬底通常包含涂层,所述涂层包含金属氧-羟(oxo-hydroxo)网状物,其具有含有金属碳键和/或金属羧酸盐键的有机配体。
在又一方面中,本发明涉及经涂布衬底,其包含具有不超过约10微米的平均厚度和沿涂层在任何点处与平均值相差不超过约50%的厚度变化的辐射敏感涂层,所述涂层包含金属氧-羟网状物,其中金属阳离子具有含有金属碳键和/或金属羧酸盐键的有机配体。
在另一方面中,本发明涉及图案化衬底,其包含具有表面和第一涂层的衬底,所述第一涂层在沿表面的所选区中且在沿表面的其它区中不存在。通常,第一涂层包含金属氧-羟网状物和有机配体,其中金属阳离子具有含有金属碳键和/或金属羧酸盐键的有机配体。或者,第一涂层可溶于至少一些有机液体中,或第一涂层可溶于碱水溶液中。
在其它方面中,本发明涉及前体溶液,所述前体溶液包含有机液体和约0.01M到约1.4M金属多核氧/羟阳离子,所述阳离子具有含有金属碳键和/或金属羧酸盐键的有机配体,所述前体溶液具有约0.5厘泊(cP)到约150cP的粘度。所述有机液体可具有至少10℃的闪点和于20℃下小于约10kPa的蒸气压力。
附图说明
图1是具有潜像的辐射图案化结构的示意性透视图。
图2是图1的结构的侧视平面图。
图3是在使潜像显影以去除未经辐照涂层材料以形成图案化结构之后,图1的结构的示意性透视图。
图4是图3的图案化结构的侧视图。
图5是在使潜像显影以去除经辐照涂层材料以形成图案化结构之后,图1的结构的示意性透视图。
图6是图5的图案化结构的侧视图。
图7是在蚀刻底层后图3和4的图案化结构的侧视平面图。
图8是在蚀刻以去除图案化的缩合涂层材料之后,图7的结构的侧视平面图。
图9是“热冷冻”双重图案化工艺流程的侧视平面图。在烘烤以使得第一层不溶于第二层之后,重复图1-3中所示的工艺。
图10A是具有单丁基氧化锡水合物的前体溶液的自相关性散射强度衰减对时间的曲线。
图10B是包含单丁基氧化锡水合物于4-甲基-2-戊醇中的前体溶液的计算的质量平均粒径分布的直方图。
图11A是负型图案化涂层的扫描电子显微照片,其在涂层材料中具有节距为36nm的18nm宽线,所述涂层材料是利用单丁基氧化锡水合物在以1191μC/cm2剂量的30kV电子束辐照且在4-甲基-2-戊醇中显影后形成。
图11B是负型图案化涂层的扫描电子显微照片,其在涂层材料中具有节距为36nm的18nm宽线,所述涂层材料是利用单丁基氧化锡水合物在以1191μC/cm2剂量的30kV电子束辐照且在乳酸乙酯中显影后形成。
图11C是负型图案化涂层的扫描电子显微照片,其在涂层材料中具有节距为36nm的18nm宽线,所述涂层材料是利用单丁基氧化锡水合物在以1191μC/cm2剂量的30kV电子束辐照且在丙二醇单甲基醚(PGMEA)中显影后形成。
图11D是负型图案化涂层的扫描电子显微照片,其在涂层材料中具有节距为36nm的18nm宽线,所述涂层材料是利用单丁基氧化锡水合物在以1191μC/cm2剂量的30kV电子束辐照且在乙酸正丁基酯中显影后形成。
图12A是负型图案化涂层的扫描电子显微照片,其在涂层材料中具有节距为44nm的22nm宽线,所述涂层材料是利用单丁基氧化锡水合物在以101mJ cm-2剂量的13.5nm辐射通过EUV投影光刻术辐照且利用PGMEA显影后形成。
图12B是负型图案化涂层的扫描电子显微照片,其在涂层材料中具有节距为36nm的18nm宽线,所述涂层材料是利用单丁基氧化锡水合物在以101mJ cm-2剂量的13.5nm辐射通过EUV投影光刻术辐照且利用PGMEA显影后形成。
图13是从利用单丁基氧化锡水合物形成的涂层材料的C-H伸缩模式吸光度的FTIR透射测量计算的相对烃浓度对电子束剂量的曲线。
图14是正型图案化涂层的扫描电子显微照片,其在涂层材料中具有30-nm宽线和60nm节距,所述涂层材料是利用单丁基氧化锡水合物在以511μC/cm2剂量的30kV电子束辐照且利用2.38%TMAH显影后形成。
图15A是负型图案化涂层的扫描电子显微照片,其在涂层材料中具有节距为36nm的18nm宽线和2.78nm的线-宽粗糙度(LWR),所述涂层材料是利用单丁基氧化锡水合物在以1191μC/cm2剂量的30kV电子束辐照从新鲜制备的前体溶液沉积的膜且立即在PGMEA中暴露并显影后形成。
图15B是负型图案化涂层的扫描电子显微照片,其在涂层材料中具有节距为36nm的18nm宽线和2.87nm的LWR,所述涂层材料是利用单丁基氧化锡水合物在以1191μC/cm2剂量的30kV电子束辐照从前体溶液沉积的膜、于室温下老化39天且随后立即在PGMEA中暴露并显影后形成。
图15C是负型图案化涂层的扫描电子显微照片,其在涂层材料中具有节距为36nm的18nm宽线和2.68nm的LWR,所述涂层材料是利用单丁基氧化锡水合物在以1191μC/cm2剂量的30kV电子束辐照从新鲜制备的前体溶液沉积的抗蚀剂膜且作为经涂布膜于室温下老化39天且随后在PGMEA中暴露并显影后形成。
图16是负型图案化涂层的扫描电子显微照片,其在涂层中具有节距为100nm的线,所述涂层是利用二乙烯基锡氢氧化物/氧化物涂层材料在以75μC/cm2剂量的30kV电子束辐照且在PGMEA中显影后形成。
图17是如从涂层的FTIR透射光谱计算的相对乙烯基(C-H伸缩)吸光度对剂量的曲线,所述涂层是利用二乙烯基锡氢氧化物/氧化物涂层材料且利用30kV电子束暴露形成。
图18是负型图案化涂层的扫描电子显微照片,其在所述涂层中具有为节距32nm的线,所述涂层是利用二丁基锡氧代羧酸盐涂层材料在以1500μC/cm2剂量的30kV电子束辐照且在PGMEA中显影后形成。
图19是呈未暴露状态和在用30kV电子束以800μC/cm2的剂量暴露后二丁基锡氧代羧酸盐膜的比较透射模式FTIR透射光谱。
具体实施方式
所需的有机金属前体溶液通常具有非水性溶剂,并且具有提供高分辨率图案化的配体结构,其中所述溶液具有高稳定度以供形成具有良好辐射敏感性的可辐射图案化涂层。用于形成金属氧/羟络合物的所需的配体可包含M-C键或M-O2C键,其中M是所选金属原子,其中M是锡、铟、锑或其组合可获得尤其所需的结果。利用有机金属前体溶液形成的涂层的所需特征提供优异的直接图案化用于形成图案化金属氧化物涂层。在尤其感兴趣的实施例中,暴露于辐射中使经辐照涂层材料转化成对利用显影剂组合物去除具有抗性的材料,或暴露足以改变涂层材料的极性,使得其可选择性去除。因此,在一些实施例中,涂层可对于同一涂层经负性图案化或经正性图案化。至少一部分涂层材料的选择性去除可留下图案,其中已去除涂层的区以暴露下伏衬底。在辐照后使涂层显影之后,图案化氧化物材料在以优良图案分辨率的装置形成中可用于促进处理。涂层材料可经设计以对所选辐射(例如极紫外光、紫外光和/或电子束)敏感。此外,前体溶液可经调配以在用于商业流通的适当存架寿命中稳定。
为简化本文中的论述,具有M-C配体键和/或M-O2C配体键的金属离子可称作有机-稳定的金属离子。除有机配体外,金属离子通常还进一步键结到一或多个氧-配体(即M-O)和/或羟-配体(即M-O-H)。有机-稳定配体和氧/羟配体通过提供对具有所得明显处理优点的金属氧化物的缩合过程的明显控制而为前体溶液和相应涂层提供所需的特征。有机溶剂的使用支持溶液的稳定性,而令人惊讶地,对于正型图案化和负型图案化二者来说,以非水溶液为主的处理维持在潜像形成后以优良显影速率对比度使所得涂层选择性显影的能力。具有溶解的有机-稳定的金属离子的所需前体溶液提供便利的以溶液为主的沉积以形成可具有高辐射敏感性和关于蚀刻抗性的优良对比度以容许精细结构形成的涂层。可提供前体组合物的设计用于形成对特定辐射类型和/或能量/波长具有高敏感性的涂料组合物。
辐射敏感涂层材料可用作正性辐射图案化涂层或负性辐射图案化涂层。在负性图案化中,暴露于辐射中使经辐照涂层材料转化成相对于未经辐照涂层材料对利用显影剂组合物的去除更具抗性的材料。在正性图案化中,暴露足以改变暴露涂层材料的极性(例如增加极性),使得可利用水性溶剂或其它高度极性溶剂选择性去除暴露涂层材料。至少一部分涂层材料的选择性去除留下图案,其中已去除区以暴露下伏衬底。
集成电子装置和诸如此类的形成通常涉及材料的图案化以在结构内形成个别元件或组件。此图案化可涉及覆盖彼此垂直和/或平行接合以诱导所需功能的堆叠层的所选部分的不同组合物。各种材料可包含半导体,其可具有所选掺杂剂、电介质、电导体和/或其它类型的材料。为形成高分辨率图案,可使用辐射敏感性有机组合物以引入图案,并且组合物可称作抗蚀剂,这是因为组合物的部分经处理以对显影/蚀刻具有抗性,使得可使用选择性材料去除以引入选择图案。可使用利用所选图案或图案的负片的辐射暴露抗蚀剂并形成具有显影剂抗性区和显影剂可溶解区的图案或潜像。本文所述辐射敏感性有机金属组合物可用于在装置内直接形成所需无机材料结构和/或用作有机抗蚀剂的替代的辐射可图案化无机抗蚀剂。在任一情形下,可利用明显处理改良,并且还可改良图案化材料的结构。
特定地,前体溶液可包含足够辐射敏感的有机配体,使得溶液具有约0.1到约3的辐射敏感配体对金属阳离子的摩尔浓度比率。从前体溶液形成的涂层受前体溶液中的离子的配体结构影响且在干燥时可为金属周围的等效配体结构或配体结构可在涂布和/或干燥过程期间改变。具体来说,有机配体浓度提供令人惊讶地大的前体稳定性改良和利用有机溶剂(通常极性有机溶剂)所形成溶液的网状物形成的控制。尽管不希望受限于理论,但辐射敏感性配体浓度增加明显降低金属阳离子与相应氧-配体和/或羟-配体聚集,以稳定溶液。因此,前体溶液可在未进一步搅拌情况下相对于固体沉降稳定至少一周和可能足够较长时间段(例如大于1个月)。由于长稳定时间,改良的前体关于潜在商业使用具有增加的通用性。总体摩尔浓度可经选择以实现所需涂层厚度和其它涂层性质,其可与所需稳定性程度一致地获得。具有金属-碳或金属-羧酸盐键的配体提供所需的辐射敏感性配体,并且具体配体包括(例如)烷基(例如,甲基、乙基、丙基、丁基、叔丁基)、芳基(例如,苯基、苄基)、烯基(例如,乙烯基、烯丙基)、羧酸盐(例如,乙酸盐、丙酸盐、丁酸盐、苯甲酸盐)基团或其组合。
具有有机配体的多原子金属氧/羟阳离子可经选择以实现所需辐射吸收。具体来说,以铟和锡为主的涂层材料展现193nm波长的远紫外光和13.5nm波长的极紫外光的良好吸收。表1列举从单丁基氧化锡水合物形成且于100℃下烘烤的涂层材料在所选波长下的光学常数(n=折射率且k=消光系数)。
表1
波长(nm) n k
193 1.75 0.211
248 1.79 0.0389
365 1.63 0
405 1.62 0
436 1.61 0
一些前体溶液有效地纳入其它金属的掺合物以提供涂层材料的所需的总体性质。前体溶液可包含其它金属阳离子以增加对光刻术重要的一些辐射波长的吸收。金属离子浓度可经选择以为前体溶液提供所需性质,其中较稀溶液通常与较薄涂层材料的形成一致,但涂层性质还取决于沉积技术。
相信前体组合物的配体结构可提供前体溶液的所需稳定性以及辐射图案化功能。具体来说,相信辐射的吸收可破坏金属与有机配体之间的键以生成经涂布材料的经辐照和未经辐照部分处的组合物的差别。因此,组成变化以形成改良的前体溶液还提供图像的改良显影。具体来说,经辐照涂层材料可以对显影剂可调谐的反应产生稳定的无机金属氧化物材料,例如,可经由适当显影剂选择使正型或负型图像显影。在一些实施例中,适宜显影剂包括(例如)2.38%TMAH,即半导体工业标准。可使得涂层较薄,而在显影以从打算在显影后保留的涂层材料的区去除涂层材料期间无图案损失。与常规有机抗蚀剂相比,本文所述材料对商业上有关的功能层的许多蚀刻化学品具有极高抗性。此经由避免中间牺牲无机图案转移层而使得工艺简化,所述转移层原本将用于关于掩模功能补充图案化有机抗蚀剂。涂层材料还可提供便利的双重图案化。特定地,在热处理后,涂层材料的图案化部分关于与包括其它前体溶液的许多组合物接触稳定。因此,可在不去除先前沉积的硬掩模或抗蚀剂涂层材料的情况下实施多重图案化。
在使用图案化材料作为掩模以图案化所需功能材料之后,可随后去除图案化涂层材料。或者,可在适当稳定后通过至少有一些缩合到无机金属氧化物材料中来将所得图案化材料纳入结构中,作为最终装置的组件。如果将图案化无机涂层材料纳入结构中作为(例如)稳定介电层,那么可经由使用材料利用辐射的直接图案化消除处理程序的许多步骤。或者,已发现,可使用利用短波长电磁辐射和/或电子束暴露的薄无机涂层材料形成极高分辨率结构,并且可将线-宽粗糙度降低到极低水平用于形成改良的图案化结构。
前体溶液包含多核金属氧/羟阳离子和有机配体。多核金属氧/羟阳离子(还描述为金属低价氧化物阳离子)是具有金属元素和共价键结的氧原子的多原子阳离子。具有以过氧化物为主的配体的金属低氧化物阳离子描述于颁予斯托尔斯(Stowers)等人且标题为“图案化无机层、以辐射为主的图案化组合物和相应方法(Patterned Inorganic Layers,Radiation Based Patterning Compositions and Corresponding Methods)”的以引用方式并入本文中之美国专利8,415,000('000专利)中。金属低氧化物或金属氢氧化物的水溶液往往可对于胶凝和/或沉淀不稳定。具体来说,溶液在溶剂去除后不稳定且可与金属阳离子形成氧-氢氧化物网状物。向所述溶液中纳入辐射敏感配体(例如过氧化物)可改良稳定性,但与网状物形成相关的背景不稳定性可仍存在。此不受控制的网状物形成通过提供独立于辐照的显影速率测定途径有效地降低经涂布材料的辐射敏感性和/或显影速率对比度。
已调配出相对于具有以过氧化物为主的配体的无机抗蚀剂材料具有改良的稳定性和网状物形成和沉淀的控制的新前体溶液。在这种情形中作为辐射敏感性的配体的特性是指在吸收辐射后金属-配体键的不稳定性,以使辐射可用于诱导材料中的化学变化。具体来说,有机配体使前体溶液稳定,同时还提供关于材料处理的控制,并且有机配体对金属离子的比率的选择可经调整以控制溶液和所得涂层的性质。具体来说,如果有机配体对金属阳离子的摩尔比介于约0.1与约3之间,那么通常可形成更稳定溶液。更稳定前体溶液提供最终经辐照涂层材料与未经辐照涂层材料之间的更大对比度的增加优点,这是因为可利用辐射完成利用金属使有机配体键破裂以改良具有潜像的涂层材料中的显影速率对比度。
具有更大稳定性的精制前体溶液还提供在衬底的经辐射暴露和未经暴露部分之间具有更大显影速率对比度的潜能的涂层材料,其可令人惊讶地利用正型图案化或负型图案化同时实现。特定地,可通过适宜显影剂组合物相对更容易地溶解经辐照涂层材料或未经辐照涂层材料。因此,利用改良的组合物和相应材料,可经由显影剂的选择实现正型或负型成像。同时,可使得具有适当绝缘(通常相邻元件之间的电绝缘)的相邻元件之间的节距极小。经辐照涂料组合物可对后续显影/蚀刻工艺极为敏感,以便可使得涂料组合物极薄,而不损害关于涂料组合物的选择性和清洁去除的显影工艺的效能,同时在衬底表面上留下经辐照图案化组合物的适当部分。进一步缩短显影剂的暴露时间的能力与薄涂层的使用一致,而不损害涂层的图案化部分。
通常可利用如下文进一步描述的任何合理涂层或印刷技术沉积前体溶液。通常干燥涂层,并且在辐照之前可施加热以稳定涂层。通常,涂层较薄,例如平均厚度小于10微米,并且可所需极薄的亚微米涂层以图案化极小特征。经干燥涂层可经受适当辐射(例如极紫外光、电子束或紫外光)以在涂层中形成潜像。使潜像与显影剂接触以形成物理图像,即图案化涂层。可进一步加热图案化涂层以稳定在表面上经图案化的剩余涂层。可使用图案化涂层作为物理掩模以实施进一步处理,例如根据图案蚀刻衬底和/或沉积其它材料。在适当的处理点,可去除剩余图案化涂层,但可将图案化涂层纳入最终结构中。可利用本文所述图案化组合物有效地完成极精细特征。
前体溶液
用于形成抗蚀剂涂层的前体溶液通常包含有机溶剂(通常有机溶剂)中具有适当有机-稳定配体的金属阳离子。前体溶液和最终抗蚀剂涂层是基于金属氧化物化学,并且具有有机配体的金属聚阳离子的有机溶液提供具有良好抗蚀剂性质的稳定溶液。配体提供辐射敏感性,并且配体的特定选择可影响辐射敏感性。具体来说,可基于金属阳离子以及相关配体的选择设计前体溶液以针对所选辐射实现所需辐射吸收程度。可选择溶液中的配体稳定的金属阳离子的浓度以为特定沉积方法(例如旋涂)提供适宜溶液性质。关于稳定性和处理效力的特定效力的金属是13、14和15族金属。为相应地提供通常用于图案化的辐射的高吸收,希望前体溶液中包括Sn、In和Sb金属,但这些金属可与其它金属组合以调整性质、尤其辐射吸收。前体溶液已经配制以实现极高水平的稳定性,使得前体溶液具有适于商业产品的保存期限。如以下部分中所述,前体溶液可施加到衬底表面,经干燥并进一步处理以形成有效辐射抗蚀剂。前体溶液经设计以在至少部分溶剂去除后形成涂料组合物和最终在辐照和/或热处理、暴露于等离子体或类似处理后形成以金属氧化物为主的无机固体。
前体溶液通常包含一或多种金属阳离子。在水溶液中,金属阳离子由于与水分子的相互作用而水合,并且可发生水解以使氧原子键结到金属离子以形成氢氧化物配体或氧键且相应释放氢离子。相互作用的性质通常依赖于pH。在水溶液中发生额外水解时,溶液可关于金属氧化物的沉淀或关于胶凝不稳定。最终,希望形成氧化物材料,但此进展可利用基于有机溶剂以及有机配体稳定的金属阳离子的前体溶液更好地控制。利用基于有机-稳定配体和有机溶剂的前体溶液,可控制到氧化物的进展作为用于首先将溶液处理成涂层材料且随后处理成具有有机配体的最终金属氧化物组合物的程序的一部分。如本文所述,有机配体可用于提供对溶液处理成有效辐射抗蚀剂组合物的重要控制。
因此,使金属阳离子的溶液准备好用于进一步处理。具体来说,可想要使用可使溶液准备好进一步朝向金属氧化物组合物的多核金属氧/羟阳离子作为前体溶液的增加组份。一般来说,前体溶液包含约0.01M到约1.4M金属多核氧/羟阳离子,在其它实施例中约0.05M到约1.2M,并且在其它实施例中约0.1M到约1.0M。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的金属多核氧/羟阳离子的其它范围且其在本发明揭示内容内。
锡、锑和/或铟是尤其适用于形成本文所述前体溶液的多核金属氧/羟阳离子的金属。具体来说,锡具有基于有机配体的所需的化学性质。可提供其它金属以产生更复杂的多核金属氧/羟阳离子调配物,其包括(例如)Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce、Lu或其组合。其它金属可为锡离子、锑离子和/或铟离子(锡/锑/铟离子)的替代或除所述离子外还可具有其它金属。如果使用金属离子的掺合物,那么在一些实施例中,锡/锑/铟与其它金属离子的摩尔比可高达每每一锡/锑/铟离子约1非锡/锑/铟金属离子且在其它实施例中每锡/锑/铟金属离子约0.1到约0.75非锡/铟金属离子。如果使用金属离子的掺合物,那么金属离子可存于溶液中的复杂多重金属氧-羟簇中或不同金属氧-羟簇中。溶液中的精确簇形式可为已知或未知,并且所得涂层通常可提供所需功能,无论溶液中的簇结构是否已知。如上文所述,溶液中的阳离子的状态是pH依赖的,使得溶液中氧配位的初始状态可改变,但趋势是朝向水解和缩合,从而导致氧化物形成。已发现,有机配体可阻碍导致胶凝且最终沉淀的金属-氧网状物的形成。因此,有机配体可用于形成准备经由选择性辐射暴露转变成氧化物的稳定状态。有机配体的使用还扩展前体溶剂和显影剂的选择以包括以水为主的溶剂和有机溶剂二者。
金属通常显著影响辐射的吸收。因此,可基于所需辐射和吸收横截面选择金属阳离子。铟和锡提供13.5nm的极紫外光的强吸收。其与有机配体组合还提供193nm波长的紫外光的良好吸收。Hf还提供电子束材料和极UV辐射的良好吸收。可基于其它金属离子的添加调整用于辐射吸收的组合物的进一步调谐。举例来说,可向前体溶液中添加一或多种包含Ti、V、Mo或W或其组合的金属组合物以形成具有移动到更长波长的吸收边缘的涂层材料,以提供(例如)对248nm波长紫外光的敏感性。通过金属-有机相互作用调节所吸收能量,所述金属-有机相互作用可引起金属-配体破裂和对材料性质的所需控制。
有机配体关于缩合使组合物稳定。具体来说,如果缩合在室温下完全不会自发发生,那么在有机配体的高相对浓度下,缩合金属氧化物或金属氢氧化物的形成极缓慢。基于此稳定性质的发现,可形成具有高浓度的辐射敏感性配体的溶液,所述溶液具有良好存架稳定性同时仍便利处理以形成涂层。辐射敏感性配体包括羧酸盐和形成金属-碳键(例如锡-碳键)的有机部分。所吸收辐射的能量可使金属-有机配体键断裂。在这些键断裂时,关于缩合的相应稳定降低或损失。组合物可经由形成M--OH或经由缩合以形成M--O--M键发生改变,其中M代表金属原子。因此,可利用辐射控制化学变化。具有高辐射敏感性配体浓度的组合物可关于避免氢氧化物的自发形成和缩合高度稳定。具有所需配体结构的一些适宜金属组合物可从商业来源(例如阿法埃莎(Alfa Aesar)公司(美国马萨诸塞州(MA,USA))和美国TCI(TCI America)公司(美国俄勒冈州(OR,USA))购得,参见以下实例,并且可如下文所述合成其它金属-配体组合物。
有机配体可为(例如)烷基(例如,甲基、乙基、丙基、丁基、叔丁基、芳基(苯基、苄基))、烯基(例如,乙烯基、烯丙基)和羧酸盐(乙酸盐、丙酸盐、丁酸盐、苯甲酸盐)。前体组合物通常包含为金属阳离子浓度的约0.25到约4倍的配体浓度,在其它实施例中金属阳离子浓度的约0.5到约3.5倍,在其它实施例中约0.75到约3倍且在其它实施例中约1到约2.75倍。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的配体浓度的其它范围且其在本发明揭示内容内。
关于金属离子的氧/羟配体,所述配体可在处理期间经由水解形成。在一些实施例中,水解可涉及在碱性水溶液中替代卤化物配体且随后转移到有机溶剂。下文提供具体实例。基本上,将包含金属离子和有机稳定配体的组合物和卤化物配体溶解于有机溶剂中,随后使其与碱性水溶液接触,因此可发生用羟配体取代卤化物配体。在提供足够时间以形成羟配体后,可将水溶液与有机相分离,假设有机液体不溶于水性液体中。在一些实施例中,氧/羟配体可经由从大气水水解而形成。可在大气水分存在下加热可水解的金属离子组合物,以使氧/羟配体直接在涂层材料中形成,此可由于高表面积而相对容易。下文还描述从大气水水解的实例。
关于有机-稳定配体的形成,这些配体还可在溶液中形成以形成所需组合物。关于羧酸盐配体,可将相应羧酸或其盐溶解于具有金属阳离子的溶液中。如果需要,可调整溶液的pH以促进羧酸根基团键结到金属,并且可施加热以进一步驱动所述过程。一般来说,所述反应可在水性溶剂中实施且随后转移到有机溶剂中或直接在有机溶剂中实施。同样,M-C键可在溶液相取代反应中形成。以下反应是用以形成Sn-C键的取代反应的代表性适宜反应,并且对其它金属离子来说遵循类似反应:
n RCl+Sn→RnSnCl4-n+残余物
4RMgBr+SnCl4→R4Sn+4MgBrCl
3SnCl4+4R3Al→3R4Sn+4AlCl3
R4Sn+SnCl4→2R2SnCl2
其中R代表有机配体。通常,在上述反应中可取代不同适宜卤化物。所述反应可在适宜有机溶剂中实施,其中反应物具有合理溶解性。
一般来说,所需化合物可溶解于有机溶剂(例如醇、酯或其组合)中。具体来说,适宜溶剂包括(例如)芳香族化合物(例如,二甲苯、甲苯)、酯(丙二醇单甲基醚乙酸酯、乙酸乙酯、乳酸乙酯)、醇(例如,4-甲基-2-丙醇、1-丁醇、茴香醚)、酮(例如,甲基乙基酮)和诸如此类。一般来说,有机溶剂选择可受溶解度参数、挥发性、可燃性、毒性、粘度和与其它处理材料的潜在化学相互作用影响。在将溶液的组份溶解并组合之后,物质的特性可由于尤其涂布过程期间的部分水合和缩合而变化。在本文中提到溶液的组合物时,提到添加到溶液中的组份,这是因为复杂调配物可在溶液中产生可不充分表征的金属多核物质。对于某些应用,希望有机溶剂具有不小于约10℃、在其它实施例中不小于约20℃且在又一实施例中不小于约25℃的闪点和于20℃下不超过约10kPa、在一些实施例中不超过约8kPa且在其它实施例中不超过约6kPa的蒸气压力。所属领域技术人员应认识到,本发明揭示内容还涵盖在上述明确范围的闪点和蒸气压力的其它范围且其在本发明揭示内容内。
一般来说,使用适于所形成材料的体积的适当混合装备充分混合前体溶液。可使用适宜过滤以去除任何污染物或不适当地溶解的其它组份。在一些实施例中,可希望形成可经组合以从组合形成前体溶液的单独溶液。特定地,可形成包含以下中的一或多者的单独溶液:金属多核氧/羟阳离子、任何其它金属阳离子和有机配体。如果引入多种金属阳离子,那么可向同一溶液中和/或在单独溶液中引入多种金属阳离子。通常,可充分混合单独溶液或组合溶液。在一些实施例中,随后使金属阳离子溶液与有机配体溶液混合,使得有机配体可与金属阳离子结合。所得溶液可称作稳定的金属阳离子溶液。在一些实施例中,使稳定的金属阳离子溶液反应适宜时间段,以提供稳定配体形成,其还可涉及或不涉及溶液中的簇形成,无论是否引入混合金属离子。在一些实施例中,溶液的反应或稳定时间可为至少约5分钟、在其它实施例中至少约1小时且在其它实施例中约2小时到约48小时,之后进一步处理。所属领域技术人员应认识到,本发明揭示内容还涵盖稳定时段的其它范围且其在本发明揭示内容内。
前体溶液中的物质的浓度可经选择以实现溶液的所需物理性质。具体来说,整体较低浓度可产生用于可使用合理涂布参数获得更薄涂层的某些涂层方法(例如旋涂)的溶液的所需性质。可希望使用更薄涂层以实现超精细图案化以及降低材料成本。一般来说,浓度可经选择以适于所选涂布方法。下文将进一步描述涂层性质。
如上文所述,可相对于金属阳离子使用相对较大比率的有机配体以极大地稳定前体溶液。可关于相对于初始溶液的变化评估前体溶液的稳定性。特定地,如果在产生大溶胶粒子的情况下发生相分离或如果溶液失去其实施所需图案形成的能力,那么溶液失去稳定性。基于本文所述的改良稳定方法,在无额外混合情况下,溶液可稳定至少约1周、在其它实施例中至少约2周、在其它实施例中至少约4周。所属领域技术人员应认识到,本发明揭示内容还涵盖稳定时间的其它范围且其在本发明揭示内容内。溶液可经调配具有溶液可以适当存架寿命进行商业流通的足够稳定时间。
涂层材料
涂层材料是经由前体溶液沉积到所选衬底上且随后处理来形成。衬底通常提供涂层材料可沉积于其上的表面,并且衬底可包含多个层,其中表面涉及到最上层。在一些实施例中,衬底表面可经处理以使表面准备用于涂层材料的粘附。同样,如果适当,可清洁表面和/或使其光滑。适宜衬底表面可包含任何合理材料。尤其感兴趣的一些衬底包括(例如)跨越表面和/或在衬底的层中的硅晶片、二氧化硅衬底、其它无机材料、聚合物衬底(例如有机聚合物)、其复合材料和其组合。晶片(例如相对薄的圆柱形结构)可为便利的,但可使用任何合理形状的结构。聚合物衬底或在非聚合物结构上具有聚合物层的衬底可基于其低成本和柔性希望用于某些应用,并且可基于可用于处理本文所述可图案化材料的相对低处理温度选择适宜聚合物。适宜聚合物可包括(例如)聚碳酸酯、聚酰亚胺、聚酯、聚烯烃、其共聚物和其混合物。一般来说,尤其对于高分辨率应用,所需衬底具有平坦表面。
一般来说,可使用任何适宜涂布工艺以递送前体溶液到衬底。适宜涂层方法可包括(例如)旋涂、喷涂、浸涂、刀刃涂布、印刷方法(例如喷墨印刷和丝网印刷)和诸如此类。这些涂布方法中的一些在涂布过程期间形成涂层材料的图案,但目前可从印刷或诸如此类获得的分辨率较可从如本文所述以辐射为主的图案化获得的分辨率具有明显更低的水平。可在多个涂层步骤中施加涂层材料以提供对涂布过程的更大控制。举例来说,可实施多次旋涂以产生所需最终涂层厚度。可在每一涂布步骤之后或在多个涂布步骤之后施加下述热处理。
如果使用辐射实施图案化,那么旋涂可为相对均匀地覆盖衬底的所需方法,但可存在边缘效应。在一些实施例中,晶片可以约500rpm到约10,000rpm、在其它实施例中约1000rpm到约7500rpm且在其它实施例中约2000rpm到约6000rpm的速率旋转。旋转速度可经调整以获得所需涂层厚度。旋涂可实施约5秒到约5分钟且在其它实施例中约15秒到约2分钟的时间。可使用初始低速度旋转(例如以50rpm到250rpm)以实施组合物跨越衬底的初始体积扩散。可利用水或其它适宜溶剂实施背侧冲洗、边缘珠粒去除步骤或诸如此类以去除任何边缘珠粒。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的旋涂参数的其它范围且其在本发明揭示内容内。
涂层的厚度通常可随前体溶液浓度、粘度和旋涂的旋转速度变化。对于其它涂布过程,通常还可经由涂层参数的选择调整厚度。在一些实施例中,可希望使用薄涂层以有利于在后续图案化工艺中形成小的高分辨的特征。举例来说,干燥后的涂层材料的平均厚度可为不超过约10微米、在其它实施例中不超过约1微米、在其它实施例中不超过约250纳米(nm)、在其它实施例中约1纳米(nm)到约50nm、在其它实施例中约2nm到约40nm且在一些实施例中约3nm到约25nm。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的厚度的其它范围且其在本发明揭示内容内。可使用基于膜的光学性质的x射线反射率的非接触方法和/或椭圆测量法评估厚度。一般来说,涂层相对均匀以有利于处理。在一些实施例中,涂层的厚度变化自平均涂层厚度变化不超过±50%、在其它实施例中不超过±40%且在其它实施例中相对于平均涂层厚度不超过约25%。在一些实施例中,例如较大衬底上的高均匀性涂层,可利用1厘米边缘排除来评估涂层均匀性的评估,即,对于1厘米边缘内的涂层的部分,未评估涂层均匀度。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的其它范围且其在本发明揭示内容内。
涂布过程本身可引起一部分溶剂蒸发(这是因为许多涂布过程形成小滴或具有较大表面积的其它形式的涂层材料)和/或刺激蒸发的溶液的移动。溶剂的损失往往增加涂层材料的粘度,这是因为材料中的物质的浓度增加。涂布过程期间的目的可为去除足够溶剂以稳定涂层材料用于进一步处理。一般来说,可在辐射暴露之前加热涂层材料以进一步驱逐溶剂并促进涂层材料致密化。经干燥的涂层材料通常可形成基于针对金属(其中金属还具有一些有机配体)的氧-羟配体的聚合金属氧/羟网状物或包括具有有机配体的多核金属氧/羟物质的分子固体。
可关于或不关于涂层材料中剩余的溶剂的特定量定量控制溶剂去除过程,并且通常可实施所得涂层材料性质的经验评估以选择对图案化工艺有效的处理条件。尽管工艺的成功应用无需加热,但可希望加热经涂布衬底以加速处理和/或增加工艺的再现性。在施加热以去除溶剂的实施例中,可将涂层材料加热到约45℃到约250℃且在其它实施例中约55℃到约225℃的温度。用于溶剂去除的加热通常可实施至少约0.1分钟、在其它实施例中约0.5分钟到约30分钟且在其它实施例中约0.75分钟到约10分钟。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的加热温度和时间的其它范围且其在本发明揭示内容内。由于涂层材料的热处理和致密化,涂层材料可展现折射率和辐射吸收增加,而无明显对比度损失。
图案化暴露和图案化涂层材料
可使用辐射精细地图案化涂层材料。如上文所述,前体溶液且由此相应涂层材料的组成可经设计用于充分吸收所需形式的辐射。辐射的吸收产生可使金属与有机配体之间的键断裂以使至少一些基于有机物的配体不能再用于稳定材料的能量。借助吸收足够量的辐射,暴露的涂层材料缩合,即形成增强的金属氧/羟网状物,此可涉及从环境气氛吸收的水。通常可根据所选图案递送辐射。将辐射图案转移到具有经辐照区域和未经辐照区域的涂层材料中的相应图案或潜像。经辐照区域包含化学上改变的涂层材料,并且未经辐照区域通常包含原样形成的涂层材料。如下文所述,在涂层材料显影时可形成极尖锐边缘,并且去除未辐照涂层材料或者选择性去除经辐照涂层材料。
通常可经由掩模将辐射引导到经涂布衬底或可横跨衬底可控地扫描辐射束。一般来说,辐射可包含电磁辐射、电子束(β辐射)或其它适宜辐射。一般来说,电磁辐射可具有所需波长或波长范围,例如可见辐射、紫外辐射或x射线辐射。辐射图案可实现的分辨率通常取决于辐射波长,通常可利用较短波长辐射实现较高分辨率图案。因此,可所需使用紫外光、x射线辐射或电子束以实现尤其高分辨率图案。
遵循以引用方式并入本文中的国际标准ISO 21348(2007),紫外光在大于或等于100nm且小于400nm的波长之间延伸。可使用氟化氪作为248nm紫外光的来源。可在公认标准下以若干方式细分紫外范围,例如极紫外(EUV)为大于或等于10nm到小于121nm且远紫外(FUV)为大于或等于122nm到小于200nm。可使用氟化氩激光的193nm线作为FUV中的辐射来源。EUV光于13.5nm下用于光刻术,并且此光是从使用高能量激光或放电脉冲激发的Xe或Sn等离子体来源生成。软x射线可界定为大于或等于0.1nm到小于10nm。
电磁辐射的量可由注量或剂量表征,所述注量或剂量是通过在暴露时间内的积分辐射流量获得。适宜辐射注量可为约1mJ/cm2到约150mJ/cm2、在其它实施例中约2mJ/cm2到约100mJ/cm2且在其它实施例中约3mJ/cm2到约50mJ/cm2。所属领域技术人员应认识到,属于以上明确范围内的辐射注量的其它范围涵盖于且属于本发明内。
利用电子束光刻术,电子束通常诱导通常改良辐照材料的二次电子。分辨率可至少部分地随材料中的二次电子的范围变化,其中通常相信较高分辨率是从二次电子的较短范围产生。基于可利用电子光刻术使用本文所述无机涂层材料获得的高分辨率,无机材料中的二次电子的范围受限。电子束可由束的能量表征,并且适宜能量可介于约5V到约200kV(千伏)且在其它实施例中约7.5V到约100kV的范围内。30kV的邻近效应校正的束剂量可介于约0.1微库仑/平方厘米到约5毫库仑/平方厘米(mC/cm2)、在其它实施例中约0.5μC/cm2到约1mC/cm2且在其它实施例中约1μC/cm2到约100μC/cm2的范围内。所属领域技术人员可基于本文中的教示计算其它术能量下的相应剂量且应认识到,本发明揭示内容涵盖在上述明确范围内的电子束性质的其它范围且其在本发明揭示内容内。
基于涂层材料的设计,具有缩合涂层材料的经辐照区与具有大体上完整有机配体的未经辐照涂层材料之间的材料性质存在显著差别。已发现,所述差别可利用辐照后热处理改良,但在无辐照后热处理的一些实施例中可实现令人满意的结果。暴露后热处理看起来使经辐照涂层材料退火以提高其缩合,而基于有机配体-金属键的热断裂,不会使涂层材料的未经辐照区明显缩合。对于使用辐照后热处理的实施例,辐照后热处理可在约45℃到约250℃、在额外实施例中约50℃到约190℃且在其它实施例中约60℃到约175℃的温度下实施。暴露后加热可通常实施至少约0.1分钟、在其它实施例中约0.5分钟到约30分钟且在额外实施例中约0.75分钟到约10分钟。所属领域普通技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的辐照后加热温度和时间的其它范围且其属于本发明揭示内容。材料性质的此高差别进一步有利于在显影后在图案中形成清晰线,如以下部分中所述。
在利用辐射暴露后,图案化具有经辐照区和未经辐照区的涂层材料。参照图1和2,显示图案化结构100包含衬底102、薄膜103和图案化涂层材料104。图案化涂层材料104包含经辐照涂层材料的区110、112、114、116和未经辐照涂层材料的未缩合区118、120、122。由缩合区110、112、114、116和未缩合区118、120、122形成的图案化代表涂层材料中的潜像,并且在以下部分中论述潜像的显影。
显影和图案化结构
图像的显影涉及使包括潜像的图案化涂层材料与显影剂组合物接触以去除未经辐照涂层材料以形成负性图像或去除经辐照涂层以形成正性图像。使用本文所述抗蚀剂材料,通常基于同一涂层可使用适当显影溶液以所需的分辨率实施有效负性图案化或正性图案化。具体来说,至少部分缩合经辐照区以提高金属氧化物特性,以使经辐照材料抵抗由有机溶剂溶解,而未经辐照组合物保持可溶于有机溶剂中。在提到缩合涂层材料时是指从增加材料相对于初始材料的氧化物特性意义上来说至少部分地缩合。另一方面,未经辐照的材料由于材料的疏水性质不可溶于弱碱或酸水溶液中,以使碱水溶液可用于去除经辐照材料,同时维持未经辐照材料用于正性图案化。
具有有机-稳定配体的涂料组合物产生固有地相对疏水的材料。辐照以使至少一些有机金属键断裂会将材料转化成较不疏水的材料,即亲水性较大的材料。特性的此变化提供经辐照涂层与未经辐照涂层之间的明显对比,其提供利用相同抗蚀剂组合物进行正型图案化和负型图案化二者的能力。特定地,经辐照涂层材料在一定程度上缩合成为另一金属氧化物组合物;然而,缩合程度在无明显加热的情况下通常是温和的,以使经辐照材料对于利用便利显影剂显影相对简单。相比之下,如上文引用的'000专利中所述,基于具有过氧化物稳定配体的金属氧-羟化学的无机抗蚀剂材料在辐照之前固有地更具亲水性,并且经辐照的以过氧化物为主的涂层可通过辐照缩合到更显著程度,以使可利用弱酸或碱去除未经辐照的抗蚀剂,同时可类似于金属氧化物材料使经辐照的以过氧化物为主的涂层显影。
关于负型成像,参照图3和4,已经由与显影剂接触使图1和2中所示的结构的潜像显影以形成图案化结构130。在使图像显影后,沿上表面穿过开口132、134暴露衬底102。开口132、134、135分别位于未缩合区118、120、122的位置处。关于正型成像,参照图5和6,使图1和2中所示结构的潜像显影以形成图案化结构140。图案化结构140具有图3中的图案化结构130的结合图像。图案化结构140具有暴露于图1-4中经显影以形成开口142、144、146、148的经辐照区110、112、114、116的位置处的衬底102。保留未缩合区118、120和122。
对于负型成像,显影剂可为有机溶剂,例如用于形成前体溶液的溶剂。一般来说,显影剂选择可受关于经辐照和未经辐照涂层材料的溶解度参数、以及显影剂挥发性、可燃性、毒性、粘度和与其它工艺材料的潜在化学相互作用影响。具体来说,适宜显影剂包括(例如)芳香族化合物(例如,苯、二甲苯、甲苯)、酯(例如,丙二醇单甲基酯乙酸酯、乙酸乙酯、乳酸乙酯、乙酸正丁酯、丁内酯)、醇(例如,4-甲基-2-丙醇、1-丁醇、异丙醇、茴香醚)、酮(例如,甲基乙基酮、丙酮、环己酮)、醚(例如,四氢呋喃、二噁烷)和诸如此类。显影可实施约5秒到约30分钟、在其它实施例中约8秒到约15分钟且在额外实施例中约10秒到约10分钟。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的其它范围且其在本发明揭示内容内。
对于正型成像,显影剂通常可为酸或碱水溶液。在一些实施例中,可使用碱水溶液以获得较鲜明图像。为降低来自显影剂的污染,可所需使用不具有金属原子的显影剂。因此,希望氢氧化季铵组合物(例如四乙基氢氧化铵、四丙基氢氧化铵、四丁基氢氧化铵或其组合)作为显影剂。一般来说,尤其感兴趣的氢氧化季铵可由式R4NOH表示,其中R=甲基、乙基、丙基、丁基或其组合。本文所述涂层材料通常可利用目前常用于聚合物抗蚀剂的相同显影剂(尤其四甲基氢氧化铵(TMAH))显影。市售TMAH是以2.38重量%获得,并且此浓度可用于本文所述处理。此外,可使用混合四级四烷基-氢氧化铵。一般来说,显影剂可包含约0.5重量%到约30重量%、在其它实施例中约1重量%到约25重量%且在其它实施例中约1.25重量%到约20重量%四-烷基氢氧化铵或类似氢氧化季铵。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的显影剂浓度的其它范围且其在本发明揭示内容内。
除主要显影剂组合物外,显影剂还可包含其它组合物以有利于显影过程。适宜添加剂包括(例如)具有选自由以下组成的群组的阳离子的溶解盐:铵、d-区金属阳离子(铪、锆、镧或诸如此类)、f-区金属阳离子(铈、镥或诸如此类)、p-区金属阳离子(铝、锡或诸如此类)、碱金属(锂、钠、钾或诸如此类)和其组合;和具有选自由以下组成的群组的阴离子的溶解盐:氟、氯、溴、碘、硝酸根、硫酸根、磷酸根、硅酸根、硼酸根、过氧化物、丁氧化物、甲酸根、乙二胺-四乙酸(EDTA)、钨酸根、钼酸根或诸如此类和其组合。其它潜在可用的添加剂包括(例如)分子螯合剂,例如多胺、醇胺、氨基酸或其组合。如果存在可选添加剂,那么显影剂可包含不超过约10重量%添加剂且在其它实施例中不超过约5重量%添加剂。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的添加剂浓度的其它范围且其在本发明揭示内容内。添加剂可经选择以改良对比度、敏感性和线宽度粗糙度。显影剂中的添加剂还可抑制金属氧化物粒子的形成和沉淀。
利用较弱显影剂(例如较低浓度水性显影剂、稀释的有机显影剂或其中涂层具有较低显影速率的组合物),可使用较高温度显影工艺以增加工艺的速率。利用较强显影剂,显影工艺的温度可较低以降低速率和/或显影的动力学。一般来说,可将显影的温度调整在与溶剂的挥发性一致的适当值之间。另外,在显影期间可利用超声波处理分散在显影剂-涂层界面附近具有溶解涂层材料的显影剂。
显影剂可使用任何合理方法适于图案化涂层材料。举例来说,可将显影剂喷雾到图案化涂层材料上。还可使用旋涂。对于自动化处理,可使用搅动方法,其涉及在固定格式中将显影剂倾倒到涂层材料上。如果所需,可使用旋转冲洗和/或干燥以完成显影工艺。适宜冲洗溶液包括(例如)用于负性图案化的超纯水、甲基醇、乙基醇、丙基醇和其组合和用于正性图案化的超纯水。在使图像显影后,将涂层材料以图案形式布置于衬底上。
在完成显影步骤后,可对涂层材料进行热处理以进一步缩合材料并进一步使材料脱水。此热处理具体而言可为将氧化物涂层材料纳入最终装置中的实施例所需的,但对于涂层材料用作抗蚀剂且如果希望稳定涂层材料以有利于进一步图案化而最终去除的一些实施例,可希望实施热处理。具体来说,图案化涂层材料的烘烤可在图案化涂层材料展现所需蚀刻选择性程度的条件下实施。在一些实施例中,可将图案化涂层材料加热到约100℃到约600℃、在其它实施例中约175℃到约500℃且在其它实施例中约200℃到约400℃的温度。加热可实施至少约1分钟、在另一实施例中约2分钟到约1小时、在其它实施例中约2.5分钟到约25分钟。加热可在空气、真空或惰性气体环境(例如Ar或N2)中实施。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的用于热处理的温度和时间的其它范围且其在本发明揭示内容内。
利用常规有机抗蚀剂,如果结构的纵横比(高度除以宽度)变得过大,那么结构易于图案塌陷。图案塌陷可与高纵横比结构的机械不稳定相关,使得与处理步骤相关的力(例如,表面张力)使结构元件扭曲。关于潜在扭曲力,低纵横比结构更稳定。利用本文所述可图案化涂层材料,由于有效处理具有涂层材料的较薄层的结构的能力,可完成改良的图案化,而无需高纵横比图案化涂层材料。因此,已形成极高分辨率特征,而在图案化涂层材料中不采取高纵横比特征。
所得结构可具有含极低线-宽粗糙度的尖锐边缘。具体来说,除降低线-宽粗糙度的能力外,高对比度还容许形成小的特征和特征之间的空间以及形成很好分辨的二维图案(例如,锐角)的能力。因此,在一些实施例中,邻近结构的相邻直链片段的平均节距可不超过约60nm、在一些实施例中不超过约50nm且在其它实施例中不超过约40nm。节距可通过设计评估且利用扫描电子显微镜(SEM)(例如利用由上而下图像)确认。如本文所用,节距是指空间周期或重复结构元件的中心-到-中心距离。还可关于特征的平均宽度(其通常远离中心评估)或诸如此类描述图案的特征维度。而且,特征可是指材料元件之间的空隙和/或是指材料元件。在一些实施例中,平均宽度可不超过约30nm、在其它实施例中不超过约25nm且在其它实施例中不超过约20nm。平均线-宽粗糙度可为不超过约3.0nm且在其它实施例中约1.5nm到约2.5nm。通过由上而下SEM图像的分析以获得偏离平均线-宽度3σ偏差来评估线-宽粗糙度。平均值含有高频和低频粗糙度,即,分别短的相关长度和长的相关长度。有机抗蚀剂的线-宽粗糙度的特征主要在于长的相关长度,而本发明无机涂层材料展现明显较短的相关长度。在图案转移过程中,可在蚀刻工艺期间平滑化短的相关粗糙度,从而产生更高保真度的图案。所属领域技术人员应认识到,本发明揭示内容涵盖在上述明确范围内的节距、平均宽度和线-宽粗糙度的其它范围且其在本发明揭示内容内。
图案化涂层材料的进一步处理
在形成图案化涂层材料后,可进一步处理涂层材料以有利于形成所选装置。此外,通常可实施其它材料沉积、蚀刻和/或图案化以完成结构。最终可去除或可不去除涂层材料。在任何情形下,图案化涂层材料的质量可经延续用于形成经改良的装置,例如具有较小占用面积的装置和诸如此类。
图案化涂层材料形成到下伏衬底的开口,如(例如)图3和4中所述。与常规抗蚀剂一样,图案化涂层材料形成蚀刻掩模,其可用于转移图案以选择性去除下伏薄膜。参照图7,图1中的下伏薄膜103经图案化,分别在衬底102上且在缩合区110、112、114下留下特征152、154、156。与常规聚合物抗蚀剂相比,本文所述材料可提供明显更大的蚀刻抗性。可用图5和6中所示的掩模图案进行类似处理,其中相应改变直接由替代掩模图案产生的图案化结构。
或者或另外,根据掩模图案的其它材料的沉积可改变下伏结构的性质和/或与下伏结构接触。其它涂层材料可基于材料的所需性质加以选择。另外,可经由掩模中的开口将离子选择性植入下伏结构中,因为图案化无机涂层材料的密度可提供高植入抗性。在一些实施例中,其它沉积材料可为介电材料、半导体、导体或其它适宜材料。其它沉积材料可使用适宜方法沉积,例如以溶液为主的方法、化学气相沉积(CVD)、溅镀、物理气相沉积(PVD)或其它适宜方法。
一般来说,可沉积多个额外层。结合多个层的沉积,可实施额外图案化。任何额外图案化(如果实施)都可利用额外量的本文所述涂层材料、利用以聚合物为主的抗蚀剂、利用其它图案化方法或其组合实施。
如上文所述,可去除或可不去除图案化后的涂层(抗蚀剂)材料的层。如果未去除所述层,那么将图案化涂层(抗蚀剂)材料纳入结构中。对于其中将图案化涂层(抗蚀剂)材料纳入结构中的实施例,涂层(抗蚀剂)材料的性质可经选择以提供所需图案化性质以及结构内的材料的性质。
如果希望去除图案化涂层材料,那么涂层材料起常规抗蚀剂的作用。图案化涂层材料用于图案化在去除抗蚀剂/涂层材料之前后续沉积的材料和/或穿过缩合涂层材料中的空间选择性蚀刻衬底。可使用适宜蚀刻工艺去除缩合涂层材料。特定地,为去除缩合涂层材料,可利用(例如)BCl3等离子体、Cl2等离子体、HBr等离子体、Ar等离子体或具有其它适当工艺气体的等离子体实施干式蚀刻。或者或另外,可使用利用(例如)酸或碱水溶液(例如HF(水溶液)或经缓冲HF(水溶液)/NH4F或草酸)的湿式蚀刻以去除图案化涂层材料。参照图8,显示在去除涂层材料后图8的结构。经蚀刻结构150包含衬底102和特征152、154、156。
以金属氧/羟为主的涂层材料尤其方便的用于使用热冷冻工艺实施多重图案化,如通常关于P.齐默尔曼(P.Zimmerman),光聚合物科学与技术杂志(J.Photopolym.Sci.Technol.),第22卷,第5期,2009,第625页中的常规抗蚀剂所述。利用“热冷冻”的双重图案化工艺概述于图9中。在第一步骤中,使用光刻工艺使涂层材料在衬底162上形成为图案160且如关于图3和4所述显影。实施加热步骤164以去除溶剂并缩合涂层材料,其可涉及或可不涉及全氧化物形成。此加热步骤等效于上述显影部分中所述的显影后加热步骤。此“热冷冻”工艺使得涂层材料不溶于后续沉积的第二层涂层材料。实施第二光刻和显影步骤166以在衬底162上形成双重图案化结构168。在蚀刻步骤170后,形成产物双重图案化结构172。应注意,简单地将此工艺延伸至多重涂布和图案化步骤,并且本发明揭示内容涵盖所述延伸且其在本发明揭示内容内。关于多重图案化,本文所述无机涂层材料与常规有机抗蚀剂之间的显著差异在于即使在热烘烤后,有机抗蚀剂仍可溶于常规抗蚀剂涂布溶剂中。本文所述抗蚀剂材料可利用热烘烤缩合,使得其不溶于有机溶剂中且可施加后续涂层。
实例
实例1-前体溶液的制备
此实例描述用于沉积以锡为主的有机金属组合物以形成辐射抗蚀剂涂层的前体溶液的制备。
通过向10mL 4-甲基-2-戊醇中添加0.209g单丁基氧化锡水合物(BuSnOOH)粉末(美国TCI)制备抗蚀剂前体溶液。将溶液放置于密封小瓶中并将其搅拌24h。将所得混合物以4000rpm离心15分钟,并且经由0.45μm PTFE注射器过滤器过滤以去除不可溶物质。此试样于600℃下的溶剂蒸发和煅烧揭示锡浓度为0.093M(基于SnO2残余质量)。利用莫比乌斯
Figure BDA0000945632940000211
装备(怀亚特科技(Wyatt Technology))的前体溶液的动态光散射(DLS)分析(图10A和10B)与平均直径为约2nm的粒子的单峰分布一致,与十二聚丁基锡氢氧化物氧化物多原子阳离子的报告直径(艾圣尼-巴龙(Eychenne-Baron)等人,有机金属(Organometallics),19,1940-1949(2000))一致。因此,结果与非水溶液内的簇形成一致。
实例2-抗蚀剂涂布、膜处理、负型成像
此实例展示基于负型成像(基于电子束暴露或极紫外暴露)的抗蚀剂图案的形成。
使用具有天然氧化物表面的硅晶片(25×25mm2)作为薄膜沉积的衬底。在沉积之前,将Si衬底利用10分钟周期在超紫外臭氧清洁系统中处理。随后将实例1的抗蚀剂前体溶液以4500rpm在衬底上旋涂30s并在热板上于100℃下烘烤2min以去除残余溶剂。涂布和烘烤后的膜厚度经由椭偏测量术测量为约22nm。
将经抗蚀剂膜涂布的第一衬底暴露于经光栅扫描以形成图案的1100μC/cm2剂量的30kV电子束。随后使图案化抗蚀剂和衬底经受150℃下暴露后烘烤(PEB)2min。随后将经暴露的膜于极性有机溶剂中浸没30秒并用DI H2O冲洗以形成去除涂层的未暴露部分负型图像。在显影后于200℃下实施最后5-min热板烘烤。图11A-11D展现于4-甲基-2-戊醇(A)、乳酸乙酯(B)、丙二醇单甲基醚(PGMEA)(C)和乙酸正丁酯(D)中显影的抗蚀剂膜中以36-nm节距的18-nm线的SEM图像。
利用相同前体溶液制备另一衬底且在暴露于极紫外辐射之前使用涂布/烘烤工艺,此类似地适于高分辨率图案化。使用于13.5nm波长和101mJ/cm2的成像剂量下操作的具有0.3的数值孔径的投射分别在抗蚀剂上暴露以44-nm和36-nm节距的22-nm和18-nm线的图案。2-min、165℃热板PEB后,通过浸没于PGMEA中使膜显影,用DI H2O冲洗,并于200℃下烘烤5min的最终时间。充分分辨的线-空间图案的负性图像示于图12A和12B中。
利用傅里叶变换红外(Fourier transform infrared,FTIR)光谱清楚地说明在辐射暴露后生成的化学对比,其诱导以显影速率对比度和抗蚀剂成像性能揭示的极性变化。利用30kV电子束收集在未经掺杂硅晶片上从四氢呋喃(THF)溶剂旋涂的丁基锡氢氧化物氧化物抗蚀剂膜的透射模式FTIR光谱随暴露剂量的变化。2800-2900cm-1的对应于烷基C-H伸缩模式的若干吸收峰的分析指示烷基配体的浓度随剂量的变化一致减少(图13)。
实例3-正型成像
此实例展示使用实例1的抗蚀剂溶液的正型图像的形成。
利用从实例1的相同前体溶液沉积的抗蚀剂膜涂布另一衬底且于150℃下在热板上烘烤2min。用30kV下的电子束以511μC/cm2的剂量暴露具有抗蚀剂涂层的经烘烤晶片,之后2-min 150℃暴露后烘烤。通过使暴露的抗蚀剂膜在碱水溶液(例如2.38%四甲基氢氧化铵(TMAH))中显影实现正型成像。于2.38%TMAH中浸没会蚀刻暴露的抗蚀剂,从而使图14中的SEM图像所示的30-nm(60nm节距)线显影。
实例4-抗蚀剂稳定性
此实例通过抗蚀剂前体溶液和老化后的经涂布膜的一致成像性能展示抗蚀剂前体稳定性。
经由旋涂将如先前实例1中所述制备的抗蚀剂前体溶液施加到一对晶片衬底,将其于100℃下在热板上烘烤2min。将一部分前体溶液保留于密封小瓶中并在黑暗中于不受控室温(20℃到30℃)下在大气环境下与经涂布衬底中的一者(第一衬底)一起储存。涂布后立刻将具有抗蚀剂膜的第二衬底利用30-kV电子束连续图案化,于150℃下烘烤2min,在PGMEA中显影30s,冲洗并于200℃下硬烘烤2min。所得图案化第二衬底的SEM图像示于图15A中。39天后,在具有抗蚀剂膜的储存的第一衬底上重复此暴露和显影工艺。经图案化第一衬底的SEM图像显示于图15B中。同样,在储存39天后同一天使用初始前体溶液的保留部分以用抗蚀剂膜涂布第三晶片衬底,其与前两个衬底相同地经立刻处理、暴露并显影。经图案化第三衬底的SEM图像显示于图15C中。发现以相同36nm节距和18nm线宽度的三种抗蚀剂膜的成像性能在功能上相同,在经39天时段老化经涂布抗蚀剂膜或前体溶液后,图像保真度、LWR或敏感性无可观察到的降格。
实例5-基于有机配体选择的辐射敏感性调节
此实例展示通过选择适当有机配体观察抗辐射敏感性中的调节。
将二乙烯基锡二氯化物(阿法埃莎(Alpha Aesar))溶解于PGMEA中达0.1M的浓度。将15mL的量的此溶液放置于分液漏斗中,向其中添加7.31mL 0.4M NaOH(水溶液)。在NaOH添加后立刻将容器充分振荡约1分钟,并且使所得乳液分离约24h。在此时段期间,观察到两种介质之间的相界面处形成的轻质絮凝物消散,并且获得两个澄清相。从漏斗移出下方水性相且将上部PGMEA相在4A分子筛(马林克罗特(Mallinkrodt),514级)上振荡约5分钟以去除残余水。经筛选组合物的等份试样的溶剂蒸发和煅烧揭示0.1M的锡浓度(基于残余质量SnO2)。
将分离和筛选后PGMEA中的前述二乙烯基锡氢氧化物溶液以1250rpm直接旋转浇注于硅晶片上并经受100℃热板烘烤2min。烘烤后的椭圆测量指示抗蚀剂膜厚度为约16nm。将抗蚀剂膜利用30kV电子束以75μC/cm2的剂量暴露,之后于100℃下第二次热板烘烤并,在PGMEA中显影。以100nm节距的负型线/空间图案的SEM图像展示于图16中。应注意,用于生成图16的电子束剂量明显小于实例2和3中所用的剂量。
在未经掺杂硅晶片上从乙酸乙酯溶剂沉积的类似抗蚀剂膜上随剂量的变化收集透射模式FTIR谱。随电子束剂量变化的相对IR吸收绘制于图17中。基于与以约3055 1/cm为中心的乙烯基C-H伸缩一致的吸收峰面积分析,面积减小指示在暴露时作为锡配体的乙烯基损失,其较图13中所示的丁基锡氢氧化物氧化物情形中的烷基配体的损失显著更快速且与剂量的关系更复杂。
实例6-有机锡氧-羧酸盐抗蚀剂
此实例展示利用用于锡离子的烷基和羧酸盐配体形成的抗辐射的效率。
通过将二乙酸二丁基锡(阿法埃莎)溶解于正丙醇中到0.025M的最终浓度制备抗蚀剂前体溶液。随后经由0.45μm PTFE注射器过滤器过滤溶液,并且在以3000rpm旋转的硅晶片衬底上旋转浇注30s。随后将经涂布膜于60℃下烘烤2min以去除残余溶剂。在浇注和烘烤期间,通过大气水部分水解二乙酸二丁基锡,从而从挥发性分子液体羧酸盐转化成固体有机锡氧羧酸盐。椭圆测量指示抗蚀剂膜厚度为约22nm。
随后使膜暴露于经光栅扫描以形成图案的1500μC/cm2剂量的30kV电子束,之后暴露于60℃热板PEB,并且于PGMEA中浸没30s以通过蚀刻未经暴露材料使图案显影。在SEM成像之前于100℃下最终硬烘烤5min。图18含有以32-nm节距的所得负型线/空间图案的电子显微照片。
可通过使用如图19中图解说明的透射FTIR光谱观察到经由电子束辐射暴露后上述材料中生成化学对比。在暴露于30kV电子束(800μC/cm2)之前和之后收集沉积于未经掺杂的硅晶片上且于50℃下烘烤2min的有机锡氧-羧酸盐薄膜的透射光谱。与简单有机锡氧氢氧化物一样,观察到归因于烃C-H伸缩和弯曲模式的吸附峰强烈减少(2957、2924、2858、1391和1331cm-1),此证实暴露时烷基配体损失。而且,羧酸盐的易于明了且独特之处是以1605cm-1为中心的吸光度的显著降低,此归因于在暴露时羧酸盐配体的分解和伴随的羰基C=O吸收的减少。
上述实施例打算进行说明而非限制。额外实施例在权利要求书内。另外,尽管本文已参照特定实施例对本发明进行描述,但所属领域技术人员将认识到,可在形式和细节上作出改动,此并不背离本发明的精神和范围。上述引用的文献的任何纳入受到限制以便不会纳入与本文明确揭示内容相反的标的物。

Claims (23)

1.一种利用辐射图案化衬底的方法,所述方法包含:
沿所选图案辐照经涂布衬底以形成具有经辐照涂层的区和未经辐照涂层的区的经辐照结构,所述经辐照涂层具有5nm到200nm的平均厚度,其中所述经涂布衬底包含涂层,所述涂层包含金属氧-羟网状物,其中金属离子具有含有金属碳键和/或金属羧酸盐键的有机配体且不含过氧化物配体,其中所述金属离子包含锡离子、锑离子、铟离子或其组合;和
在45℃到250℃的温度下加热所述经辐照结构0.1分钟到30分钟以形成经退火的经辐照结构;和
使所述经退火的经辐照结构选择性显影以去除所述经辐照涂层或未经辐照涂层的实质性部分以形成图案化衬底,
其中所述金属氧-羟网状物同时包括M-O-H连接键和M-O-M连接键。
2.根据权利要求1所述的方法,其中所述经辐照结构具有可溶于碱水溶液中的经辐照涂层和可溶于有机溶剂中的未经辐照涂层,使得所述经辐照结构可交替经受正型成像或负型成像。
3.根据权利要求1或2所述的方法,其中所述显影是利用有机溶剂实施以去除所述未经辐照涂层。
4.根据权利要求1或2所述的方法,其中所述选择性显影是利用碱水溶液实施。
5.根据权利要求1或2所述的方法,其中所述辐照是利用电子束、紫外光、极紫外光、来自ArF激光的辐照、来自KrF激光的辐照或UV灯实施。
6.根据权利要求1或2所述的方法,其中所述图案化衬底包含具有不超过60nm的平均节距的特征。
7.根据权利要求1或2所述的方法,其中所述未经辐照涂层具有0.1到4的所述有机配体与所述金属阳离子之间的摩尔比且具有不超过1微米的平均涂层厚度。
8.根据权利要求1或2所述的方法,其中所述金属离子包含锡离子、锑离子、铟离子或其组合,并且其中所述有机配体形成金属碳键、金属-羧基键或两者,形成金属碳键的配体包含烷基配体、烯基配体、芳基配体或其组合,并且含有1到16个碳原子,并且形成金属-羧基键的配体是由烷基羧酸盐配体、烯基羧酸盐配体、芳基羧酸盐配体或其组合形成,每一配体具有1到16个碳原子。
9.根据权利要求1或2所述的方法,其进一步包含通过沉积液体前体溶液和蒸发所述溶剂以固化所述涂层来形成所述涂层。
10.一种经涂布衬底,其包含辐射敏感涂层,所述涂层具有5nm到200nm的平均厚度和沿所述涂层在任何点处与所述平均值相差不超过50%的厚度变化,所述涂层包含金属氧-羟网状物,其中金属阳离子具有含有金属碳键和/或金属羧酸盐键的有机配体且不含过氧化物配体,其中所述氧-羟网状物同时具有M-O-H连接键和M-O-M连接键。
11.根据权利要求10所述的经涂布衬底,其中所述有机配体与所述金属阳离子之间的摩尔比是0.1到4。
12.根据权利要求10所述的经涂布衬底,其中所述有机配体与所述金属阳离子之间的摩尔比是0.5到3。
13.根据权利要求10到12中任一权利要求所述的经涂布衬底,其具有5nm到50nm的平均涂层厚度。
14.根据权利要求10到12中任一权利要求所述的经涂布衬底,其中所述金属离子包含锡离子、锑离子、铟离子或其组合。
15.根据权利要求14所述的经涂布衬底,其中所述金属阳离子进一步包含另一金属元素和/或类金属元素的阳离子,所述另一阳离子不超过总金属+类金属含量的50摩尔%。
16.根据权利要求10到12中任一权利要求所述的经涂布衬底,其中所述有机配体形成金属碳键且其中形成所述金属碳键的所述配体包含烷基配体、烯基配体、芳基配体或其组合,其各自含有1到16个碳原子。
17.根据权利要求10到12中任一权利要求所述的经涂布衬底,其中所述有机配体形成金属羧基键且其中所述金属羧基键是由烷基羧酸盐配体、烯基羧酸盐配体、芳基羧酸盐配体或其组合形成,其各自具有1到16个碳原子。
18.一种图案化衬底,其包含具有表面和第一涂层的衬底,所述第一涂层在沿所述表面的选择区处且在沿所述表面的其它区处不存在,所述第一涂层包含金属氧-羟网状物和有机配体,其中金属阳离子具有含有金属碳键和/或金属羧酸盐键的有机配体,其中所述氧-羟网状物同时具有M-O-H连接键和M-O-M连接键,其中所述第一涂层或者可溶于有机液体中或所述第一涂层可溶于碱水溶液中,其中所述金属离子包含锡离子、锑离子、铟离子或其组合。
19.根据权利要求18所述的图案化衬底,其中暴露所述衬底中不存在所述涂层的所述区处的所述衬底表面。
20.根据权利要求18或19所述的图案化衬底,其中所述涂层可有效溶于有机液体中且沿所述表面在不存在所述第一涂层的区处进一步包含第二涂层,其中所述第二涂层可溶于碱水溶液中。
21.根据权利要求18或19所述的图案化衬底,其中所述图案化衬底包含具有不超过60nm的平均节距的特征。
22.一种前体溶液,其包含有机液体和0.01M到1.4M金属多核氧/羟阳离子,所述阳离子具有含有金属碳键和/或金属羧酸盐键的有机配体,其中具有有机配体的所述金属多核氧/羟阳离子形成氧-羟网状物,其中所述氧-羟网状物同时具有M-O-H连接键和M-O-M连接键,所述前体溶液具有0.5厘泊(cP)到150cP的粘度且所述有机液体具有至少10℃的闪点和在20℃下小于10kPa的蒸气压力。
23.根据权利要求22所述的前体溶液,其中所述金属包含锡且所述有机液体是醇。
CN201480051859.3A 2013-08-22 2014-07-25 以有机金属溶液为主的高分辨率图案化组合物 Active CN105579906B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010158111.0A CN111240158B (zh) 2013-08-22 2014-07-25 以有机金属溶液为主的高分辨率图案化组合物

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/973,098 2013-08-22
US13/973,098 US9310684B2 (en) 2013-08-22 2013-08-22 Organometallic solution based high resolution patterning compositions
PCT/US2014/048212 WO2015026482A2 (en) 2013-08-22 2014-07-25 Organometallic solution based high resolution patterning compositions

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202010158111.0A Division CN111240158B (zh) 2013-08-22 2014-07-25 以有机金属溶液为主的高分辨率图案化组合物

Publications (2)

Publication Number Publication Date
CN105579906A CN105579906A (zh) 2016-05-11
CN105579906B true CN105579906B (zh) 2020-04-03

Family

ID=52480669

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201480051859.3A Active CN105579906B (zh) 2013-08-22 2014-07-25 以有机金属溶液为主的高分辨率图案化组合物
CN202010158111.0A Active CN111240158B (zh) 2013-08-22 2014-07-25 以有机金属溶液为主的高分辨率图案化组合物

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202010158111.0A Active CN111240158B (zh) 2013-08-22 2014-07-25 以有机金属溶液为主的高分辨率图案化组合物

Country Status (6)

Country Link
US (8) US9310684B2 (zh)
JP (5) JP6484631B2 (zh)
KR (7) KR102029641B1 (zh)
CN (2) CN105579906B (zh)
TW (6) TWI781843B (zh)
WO (1) WO2015026482A2 (zh)

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
GB201413924D0 (en) * 2014-08-06 2014-09-17 Univ Manchester Electron beam resist composition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
GB201517273D0 (en) * 2015-09-30 2015-11-11 Univ Manchester Resist composition
KR102204773B1 (ko) * 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6742748B2 (ja) * 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10649328B2 (en) * 2016-03-11 2020-05-12 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
EP3435159A4 (en) * 2016-03-24 2019-04-10 Fujifilm Corporation ACTINIC OR RADIATION SENSITIVE COMPOSITION, PURIFICATION METHOD AND METHOD OF MANUFACTURING THE SAME, PATTERN FORMATION METHOD, AND ELECTRONIC DEVICE MANUFACTURING METHOD
KR20180104745A (ko) * 2016-03-24 2018-09-21 후지필름 가부시키가이샤 감활성광선성 또는 감방사선성 조성물, 감활성광선성 또는 감방사선성 조성물의 제조 방법, 패턴 형성 방법, 및 전자 디바이스의 제조 방법
JP6796635B2 (ja) * 2016-03-24 2020-12-09 富士フイルム株式会社 感活性光線性又は感放射線性組成物、感活性光線性又は感放射線性組成物の精製方法、パターン形成方法、及び電子デバイスの製造方法
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6969889B2 (ja) * 2016-05-13 2021-11-24 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
WO2017198418A1 (en) * 2016-05-19 2017-11-23 Asml Netherlands B.V. Resist compositions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
WO2018004646A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI759147B (zh) * 2016-08-12 2022-03-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
WO2018063402A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Metal oxide nanoparticles as fillable hardmask materials
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
JP6713910B2 (ja) * 2016-11-11 2020-06-24 株式会社Screenホールディングス 現像装置、基板処理装置、現像方法および基板処理方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6994828B2 (ja) * 2016-11-22 2022-02-04 東京エレクトロン株式会社 パターン形成方法
JP6781031B2 (ja) * 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
JP6955073B2 (ja) * 2016-12-08 2021-10-27 東京エレクトロン株式会社 熱処理方法及び熱処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
EP3564752A4 (en) * 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20190103229A (ko) * 2017-01-26 2019-09-04 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3367428A1 (en) 2017-02-23 2018-08-29 IMEC vzw Method for blocking a trench portion during patterning of trenches in a dielectric material, and corresponding semiconductor structure
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10741410B2 (en) * 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019093145A1 (ja) * 2017-11-08 2019-05-16 Jsr株式会社 レジスト膜形成用組成物及びレジストパターン形成方法
US11098070B2 (en) 2017-11-20 2021-08-24 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102226068B1 (ko) * 2017-12-19 2021-03-09 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN108344698B (zh) * 2018-02-24 2020-08-25 哈尔滨工业大学 基于电磁第一性原理反演粗糙表面光学常数的椭偏方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10381481B1 (en) 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography
TW202404985A (zh) 2018-06-21 2024-02-01 美商英培雅股份有限公司 包含溶劑與單烷基錫三烷氧化物之混合物的溶液
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
KR102287507B1 (ko) * 2018-08-16 2021-08-09 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
JP6950662B2 (ja) 2018-10-30 2021-10-13 信越化学工業株式会社 基板保護膜形成用材料及びパターン形成方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210095218A (ko) * 2018-12-20 2021-07-30 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
KR102296818B1 (ko) * 2018-12-26 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물, 및 이를 이용한 패턴 형성 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
KR102385745B1 (ko) * 2019-02-01 2022-04-11 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7208813B2 (ja) * 2019-02-08 2023-01-19 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11327398B2 (en) 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US20210011383A1 (en) * 2019-07-12 2021-01-14 Inpria Corporation Stabilized interfaces of inorganic radiation patterning compositions on substrates
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
EP4004649A4 (en) 2019-07-22 2023-03-29 Inpria Corporation ORGANOMETALLIC METAL CHALCOGENIDE AGGREGATES AND APPLICATION TO LITHOGRAPHY
JP7359680B2 (ja) 2019-07-22 2023-10-11 東京エレクトロン株式会社 熱処理装置及び処理方法
CN112289701A (zh) 2019-07-22 2021-01-29 东京毅力科创株式会社 热处理装置和热处理方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7149241B2 (ja) 2019-08-26 2022-10-06 信越化学工業株式会社 レジスト材料及びパターン形成方法
US11681221B2 (en) 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
DE102019133965A1 (de) * 2019-08-28 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit
JP7264771B2 (ja) 2019-08-30 2023-04-25 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20220076498A (ko) * 2019-10-08 2022-06-08 램 리써치 코포레이션 Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development)
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR102431292B1 (ko) * 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021178302A1 (en) * 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202147454A (zh) 2020-03-24 2021-12-16 日商東京威力科創股份有限公司 熱處理裝置及熱處理方法
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230152701A1 (en) * 2020-03-30 2023-05-18 Lam Research Corporation Structure and method to achieve positive tone dry develop by a hermetic overlayer
US11784046B2 (en) 2020-03-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US11776811B2 (en) 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11942322B2 (en) * 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
WO2022006501A1 (en) 2020-07-03 2022-01-06 Entegris, Inc. Process for preparing organotin compounds
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP7158549B2 (ja) * 2020-10-15 2022-10-21 東京エレクトロン株式会社 基板処理方法、基板処理システム及びコンピュータ読み取り可能な記憶媒体
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220163889A1 (en) * 2020-11-20 2022-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metallic photoresist patterning and defect improvement
JP2022086528A (ja) * 2020-11-30 2022-06-09 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US20220199406A1 (en) * 2020-12-17 2022-06-23 Applied Materials, Inc. Vapor deposition of carbon-doped metal oxides for use as photoresists
KR20220088011A (ko) * 2020-12-18 2022-06-27 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물, 이의 제조 방법 및 이를 이용한 패턴 형성 방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220197146A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Photoresists by physical vapor deposition
US11685752B2 (en) * 2021-01-28 2023-06-27 Entegris, Inc. Process for preparing organotin compounds
US20220262625A1 (en) * 2021-02-18 2022-08-18 Applied Materials, Inc. Chemical vapor condensation deposition of photoresist films
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
US20220308453A1 (en) * 2021-03-24 2022-09-29 Applied Materials, Inc. Oxidation treatment for positive tone photoresist films
JPWO2022209950A1 (zh) * 2021-03-31 2022-10-06
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20240012409A (ko) * 2021-05-25 2024-01-29 도쿄엘렉트론가부시키가이샤 극자외선 패터닝을 위한 유기금속 막
EP4095604A1 (en) * 2021-05-28 2022-11-30 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Hybrid photoresist composition for extreme ultraviolet photolithography applications
KR20240050299A (ko) 2021-09-06 2024-04-18 도쿄엘렉트론가부시키가이샤 열처리 장치, 열처리 방법 및 기억 매체
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230154750A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co.,Ltd. Photoresist and Method
WO2023114730A1 (en) * 2021-12-16 2023-06-22 Lam Research Corporation Aqueous acid development or treatment of organometallic photoresist
JP2023094359A (ja) 2021-12-23 2023-07-05 信越化学工業株式会社 密着膜形成材料、パターン形成方法、及び密着膜の形成方法
WO2023225046A1 (en) * 2022-05-18 2023-11-23 Inpria Corporation Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand
KR20240018108A (ko) 2022-08-02 2024-02-13 석찬휘 전동 스쿠터 단속기
JP2024027460A (ja) 2022-08-17 2024-03-01 信越化学工業株式会社 パターン形成方法
JP2024027459A (ja) 2022-08-17 2024-03-01 信越化学工業株式会社 密着膜形成用組成物、パターン形成方法、及び密着膜の形成方法
IL305619A (en) 2022-09-14 2024-04-01 Shinetsu Chemical Co Compound for forming a metal-containing layer, composition for forming a metal-containing layer, printing method, and semiconductor masking sensitizer
WO2024085016A1 (ja) * 2022-10-20 2024-04-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1457504A (zh) * 2000-06-06 2003-11-19 Ekc技术公司 电子材料的制造方法

Family Cites Families (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385915A (en) 1966-09-02 1968-05-28 Union Carbide Corp Process for producing metal oxide fibers, textiles and shapes
US4014858A (en) 1975-05-12 1977-03-29 Standard Oil Company Polybutylene terephthalate
US4174346A (en) 1976-01-30 1979-11-13 Albright & Wilson Limited Process for preparing organotin compounds
JPS5331761A (en) 1976-09-07 1978-03-25 Toray Silicone Co Ltd Thermosetting silicone resin composition
US4104292A (en) 1976-11-02 1978-08-01 M&T Chemicals Inc. Method for preparing organotin compounds
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
JPS6019610B2 (ja) * 1979-12-14 1985-05-17 株式会社日立製作所 透明導電膜形成法
US4380559A (en) 1980-09-25 1983-04-19 Murata Manufacturing Co., Ltd. Method for producing boundary layer semiconductor ceramic capacitors
JPS57123126A (en) 1981-01-23 1982-07-31 Adeka Argus Chem Co Ltd Stabilized allyl chloride composition
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
DE3366408D1 (en) * 1982-05-19 1986-10-30 Ciba Geigy Ag Photopolymerisation with organometal salts
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4639208A (en) 1984-04-03 1987-01-27 Matsushita Electric Industrial Co., Ltd. Pulse combustion apparatus with a plurality of pulse burners
US4601917A (en) 1985-02-26 1986-07-22 M&T Chemicals Inc. Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings
US5025094A (en) 1985-07-10 1991-06-18 Union Carbide Chemicals And Plastics Technology Corporation Heterogeneous alkoxylation using anion-bound metal oxides
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4827137A (en) 1986-04-28 1989-05-02 Applied Electron Corporation Soft vacuum electron beam patterning apparatus and process
DE3738634C2 (de) 1986-11-13 1996-11-14 Sunstar Engineering Inc Epoxyharzmasse mit darin dispergierten Siliconharzteilchen
JPH07733B2 (ja) 1986-11-13 1995-01-11 サンスタ−技研株式会社 エポキシ樹脂組成物
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH03148659A (ja) 1989-11-06 1991-06-25 Fujitsu Ltd 電離放射線感応性ネガ型レジスト材料組成物
JP2606652B2 (ja) * 1993-08-17 1997-05-07 日本電気株式会社 珪素含有高分子化合物及びそれを用いたレジスト材料
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
JPH10237078A (ja) 1996-10-14 1998-09-08 Dainippon Printing Co Ltd 金属錯体溶液、感光性金属錯体溶液及び金属酸化物膜の形成方法
WO2002057812A2 (en) 2001-01-17 2002-07-25 Neophotonics Corporation Optical materials with selected index-of-refraction
US6183716B1 (en) 1997-07-30 2001-02-06 State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method
US6060380A (en) 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6197896B1 (en) 1999-07-12 2001-03-06 International Business Machines Corporation Graft polymers and use thereof
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP2001194780A (ja) * 2000-01-11 2001-07-19 Nippon Sheet Glass Co Ltd パターン膜被覆物品の製造方法および感光性組成物
US6696363B2 (en) * 2000-06-06 2004-02-24 Ekc Technology, Inc. Method of and apparatus for substrate pre-treatment
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
JP2002015631A (ja) 2000-06-29 2002-01-18 Sumitomo Osaka Cement Co Ltd 感光性透明導電膜形成用塗布液、パターン化された透明導電膜および該透明導電膜の製造方法
WO2002054416A1 (fr) 2000-12-28 2002-07-11 Nissan Chemical Industries, Ltd. Procede de modelage des contours d'une couche d'oxyde d'etain electro-conductrice
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR20030057133A (ko) 2001-12-28 2003-07-04 삼성전자주식회사 금속 패턴 형성용 유기금속 전구체 및 이를 이용한 금속패턴 형성방법
KR20030059872A (ko) * 2002-01-03 2003-07-12 삼성전자주식회사 금속 또는 금속산화물 미세 패턴의 제조방법
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6946677B2 (en) 2002-06-14 2005-09-20 Nokia Corporation Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same
JP2004051672A (ja) 2002-07-16 2004-02-19 Nippon Shokubai Co Ltd ポリイミド微粒子およびその用途
JP2004172272A (ja) * 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
KR100520961B1 (ko) 2003-05-30 2005-10-17 엘지전자 주식회사 인쇄회로기판의 제조방법
US6927108B2 (en) 2003-07-09 2005-08-09 Hewlett-Packard Development Company, L.P. Solution-processed thin film transistor formation method
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7071121B2 (en) 2003-10-28 2006-07-04 Hewlett-Packard Development Company, L.P. Patterned ceramic films and method for producing the same
US7001821B2 (en) 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
US7773365B2 (en) 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US20060088962A1 (en) 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
US8709705B2 (en) 2004-12-13 2014-04-29 Pryog, Llc Metal-containing compositions and method of making same
CN1800988B (zh) 2005-01-06 2010-04-07 新应材股份有限公司 光阻清洗剂
JP2006225476A (ja) * 2005-02-16 2006-08-31 Shin Etsu Chem Co Ltd ポジ型レジスト材料及びパターン形成方法
JP2006284947A (ja) 2005-03-31 2006-10-19 Fuji Photo Film Co Ltd 遮光膜用感光性樹脂組成物、遮光膜の作製方法、転写材料及びその製造方法
US7393560B2 (en) 2005-05-03 2008-07-01 Braggone Oy Organo-metal compounds
KR100643570B1 (ko) 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4699140B2 (ja) 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US8969865B2 (en) 2005-10-12 2015-03-03 Hewlett-Packard Development Company, L.P. Semiconductor film composition
JP2007178452A (ja) 2005-12-26 2007-07-12 Kao Corp 電子写真用トナーの製造方法
EP1992665B1 (en) 2006-02-16 2010-12-15 Kaneka Corporation Curable composition
KR100823718B1 (ko) * 2006-04-13 2008-04-21 주식회사 엘지화학 전자파 차폐층 제조시 무전해도금에 대한 촉매 전구체수지조성물, 이를 이용한 금속패턴 형성방법 및 이에 따라제조된 금속패턴
JP2007298841A (ja) * 2006-05-01 2007-11-15 Tohoku Univ 感光性重合体組成物
JP5100646B2 (ja) 2006-06-09 2012-12-19 本州化学工業株式会社 新規なトリス(ホルミルフェニル)類及びそれから誘導される新規な多核ポリフェノール類
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080055597A1 (en) 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
KR101207381B1 (ko) 2006-11-01 2012-12-05 더 스테이트 오브 오레곤 액팅 바이 앤드 쓰루 더 스테이트 보드 오브 하이어 에쥬케이션 온 비해프 오브 오레곤 스테이트 유니버시티 용액 처리된 박막들 및 적층체들, 상기 박막들 및적층체들을 포함하는 장치들, 및 그들의 사용 방법 및 제조방법
TWI334177B (en) 2007-03-29 2010-12-01 Nanya Technology Corp Method for forming a semiconductor device
US7799503B2 (en) 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
JP5045314B2 (ja) 2007-08-30 2012-10-10 富士通株式会社 液浸露光用レジスト組成物、及びそれを用いた半導体装置の製造方法
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US20100279228A1 (en) * 2007-12-21 2010-11-04 The Regents Of The University Of California Organo-metallic hybrid materials for micro- and nanofabrication
US20090174036A1 (en) 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
WO2009120169A1 (en) 2008-03-27 2009-10-01 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for the use and manufacture
JP5238023B2 (ja) * 2008-05-14 2013-07-17 東京応化工業株式会社 ポジ型感光性組成物
EP2123659A1 (en) 2008-05-15 2009-11-25 Arkema France High purity monoalkyltin compounds and uses thereof
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US8257910B1 (en) 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
GB0811930D0 (en) 2008-06-30 2008-07-30 Imec Inter Uni Micro Electr Polymerisable compounds for making opto-electronic devices
US8158338B2 (en) 2008-07-08 2012-04-17 Massachusetts Institute Of Technology Resist sensitizer
DE102008041940A1 (de) 2008-09-10 2010-03-11 Wacker Chemie Ag Siliconelastomere mit verbesserter Einreissfestigkeit
IL200996A0 (en) * 2008-10-01 2010-06-30 Bayer Materialscience Ag Photopolymer formulations having a low crosslinking density
JP5264393B2 (ja) 2008-10-01 2013-08-14 東京応化工業株式会社 レジストパターン形成方法
JP2010094583A (ja) * 2008-10-14 2010-04-30 Nippon Soda Co Ltd 有機薄膜の製造方法
GB2466486A (en) 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
US8728710B2 (en) 2009-03-31 2014-05-20 Sam Xunyun Sun Photo-imageable hardmask with dual tones for microphotolithography
JP5399116B2 (ja) * 2009-04-06 2014-01-29 三洋化成工業株式会社 光塩基発生剤を含有する感光性組成物
JP5627195B2 (ja) 2009-04-27 2014-11-19 東海旅客鉄道株式会社 感光性組成物、感光性金属錯体、塗布液、及び金属酸化物薄膜パターンの製造方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
KR20110064153A (ko) 2009-12-07 2011-06-15 삼성전자주식회사 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8366967B2 (en) 2010-02-22 2013-02-05 Inpria Corporation Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films
JP6144000B2 (ja) * 2010-03-30 2017-06-07 サム シュンユン スンSam Xunyun Sun マイクロフォトリソグラフィ用の多階調の感光性ハードマスク
JP5582843B2 (ja) * 2010-03-30 2014-09-03 東海旅客鉄道株式会社 金属酸化物膜パターンの製造方法
JP2011215205A (ja) 2010-03-31 2011-10-27 Toray Ind Inc 湿し水不要平版印刷版の製造方法
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
EP2649135A1 (en) 2010-12-08 2013-10-16 Dow Corning Toray Co., Ltd. Methods of modifying metal-oxide nanoparticles
JP5708521B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP5650086B2 (ja) 2011-06-28 2015-01-07 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US9362126B2 (en) * 2011-09-22 2016-06-07 Agency For Science, Technology And Research Process for making a patterned metal oxide structure
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
JP5988151B2 (ja) * 2012-08-31 2016-09-07 学校法人関東学院 3次元多層構造体の製造方法
US20140303283A1 (en) 2013-03-15 2014-10-09 The Sherwin-Williams Company Curable compositions
JP6333604B2 (ja) 2013-07-09 2018-05-30 富士フイルム株式会社 着色組成物、硬化膜、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子、および画像表示装置
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
WO2016043198A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
WO2016043200A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
EP3564752A4 (en) 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
KR20190103229A (ko) 2017-01-26 2019-09-04 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
JPWO2018168221A1 (ja) 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1457504A (zh) * 2000-06-06 2003-11-19 Ekc技术公司 电子材料的制造方法

Also Published As

Publication number Publication date
TW202130648A (zh) 2021-08-16
TW201527872A (zh) 2015-07-16
TWI781843B (zh) 2022-10-21
KR102646037B1 (ko) 2024-03-08
TWI728353B (zh) 2021-05-21
US20230004083A1 (en) 2023-01-05
JP2022123115A (ja) 2022-08-23
US20160216606A1 (en) 2016-07-28
US20190369489A1 (en) 2019-12-05
US9310684B2 (en) 2016-04-12
US20230004082A1 (en) 2023-01-05
KR20160082969A (ko) 2016-07-11
JP2021047426A (ja) 2021-03-25
US20150056542A1 (en) 2015-02-26
US20180307137A1 (en) 2018-10-25
TW201931011A (zh) 2019-08-01
KR102029641B1 (ko) 2019-10-07
WO2015026482A2 (en) 2015-02-26
US20220365429A1 (en) 2022-11-17
US20230004081A1 (en) 2023-01-05
US10416554B2 (en) 2019-09-17
TWI781842B (zh) 2022-10-21
JP2024026361A (ja) 2024-02-28
KR20180039677A (ko) 2018-04-18
TWI768844B (zh) 2022-06-21
KR20190112845A (ko) 2019-10-07
KR101974322B1 (ko) 2019-04-30
JP6484631B2 (ja) 2019-03-13
TW202309058A (zh) 2023-03-01
JP7095060B2 (ja) 2022-07-04
JP7404448B2 (ja) 2023-12-25
KR20190045401A (ko) 2019-05-02
KR102343945B1 (ko) 2021-12-24
KR20210135616A (ko) 2021-11-15
KR20200143524A (ko) 2020-12-23
KR101839255B1 (ko) 2018-03-15
KR20230107719A (ko) 2023-07-17
CN111240158A (zh) 2020-06-05
WO2015026482A3 (en) 2015-05-14
US10025179B2 (en) 2018-07-17
CN111240158B (zh) 2022-06-07
JP6801027B2 (ja) 2020-12-16
TW202210961A (zh) 2022-03-16
JP2019113855A (ja) 2019-07-11
KR102195329B1 (ko) 2020-12-24
JP2016530565A (ja) 2016-09-29
CN105579906A (zh) 2016-05-11
TW202210495A (zh) 2022-03-16
TWI662361B (zh) 2019-06-11
US11966159B2 (en) 2024-04-23

Similar Documents

Publication Publication Date Title
CN105579906B (zh) 以有机金属溶液为主的高分辨率图案化组合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant