DE102019133965A1 - Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit - Google Patents

Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit Download PDF

Info

Publication number
DE102019133965A1
DE102019133965A1 DE102019133965.0A DE102019133965A DE102019133965A1 DE 102019133965 A1 DE102019133965 A1 DE 102019133965A1 DE 102019133965 A DE102019133965 A DE 102019133965A DE 102019133965 A1 DE102019133965 A1 DE 102019133965A1
Authority
DE
Germany
Prior art keywords
photoresist
core group
ligands
chemical
euv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019133965.0A
Other languages
English (en)
Inventor
An-Ren Zi
Chen-Yu Liu
Ching-Yu Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/681,610 external-priority patent/US11681221B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019133965A1 publication Critical patent/DE102019133965A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic System
    • C07F1/10Silver compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F3/00Compounds containing elements of Groups 2 or 12 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/22Tin compounds
    • C07F7/2208Compounds having tin linked only to carbon, hydrogen and/or halogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/90Antimony compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/90Antimony compounds
    • C07F9/92Aromatic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Abstract

Ein Fotoresist weist eine Kerngruppe, die Metall enthält, und einen oder mehrere erste Liganden oder einen oder mehrere zweite Liganden auf, die an die Kerngruppe gebunden sind. Die ersten Liganden haben jeweils die folgende Struktur:Die zweiten Liganden haben jeweils die folgende Struktur:stellt die Kerngruppe dar. L' stellt eine Chemikalie dar, die o bis 2 Kohlenstoffatome aufweist, die mit Wasserstoff (H) oder Fluor (F) abgesättigt sind. L stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H oder F abgesättigt sind. L'' stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H abgesättigt sind. L''' stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H oder F abgesättigt sind, und Linker stellt eine Chemikalie dar, die L'' und L''' miteinander verbindet.

Description

  • Prioritätsangaben
  • Die vorliegende Anmeldung ist eine Patentanmeldung der am 28. August 2019 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/892.666 und dem Titel „EUV Photoresist with Low-Activation-Energy Ligands or High-Developer-Solubility Ligands“ („ELTV-Fotoresist mit Liganden mit niedriger Aktivierungsenergie oder Liganden mit hoher Entwicklerlöslichkeit“), die durch Bezugnahme aufgenommen ist.
  • Hintergrund
  • Die IC-Industrie (IC: integrierter Halbleiter-Schaltkreis) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Entwürfen haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Laufe der IC-Evolution hat die Funktionsdichte (d. h. die Anzahl von miteinander verbundenen Bauelementen je Chipfläche) im Allgemeinen zugenommen, während die Strukturgröße (d. h. die kleinste Komponente oder Leitung, die mit einem Herstellungsverfahren erzeugt werden kann) abgenommen hat. Dieser Prozess der Verkleinerung bietet im Allgemeinen Vorteile durch die Erhöhung der Produktionsleistung und die Senkung der zugehörigen Kosten. Diese Verkleinerung hat aber auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht.
  • Damit diese Fortschritte realisiert werden können, sind ähnliche Entwicklungen bei der IC-Bearbeitung und -Herstellung erforderlich. Zum Beispiel wächst die Notwendigkeit, lithografische Prozesse mit einer höheren Auflösung durchzuführen. Ein lithografisches Verfahren ist die EUV-Lithografie (EUV: extremes Ultraviolett). In der EUV-Lithografie kommen Scanner zum Einsatz, die Licht im extremen Ultraviolettbereich verwenden, das eine Wellenlänge von etwa 1 bis 100 nm hat. Einige EUV-Scanner ermöglichen ein Drucken mit 4-fach verkleinerter Projektion, ähnlich wie bei einigen optischen Scannern, aber die EUV-Scanner nutzen eine Spiegeloptik statt einer Brechungsoptik, d. h., Spiegel statt Linsen. Die EUV-Scanner stellen die gewünschte Struktur auf einer Absorptionsschicht (EUV-Masken-Absorber) bereit, die auf einer Reflexionsmaske hergestellt ist.
  • Zwar ist die herkömmliche ELTV-Lithografie bisher im Großen und Ganzen für ihren angestrebten Zweck geeignet gewesen, aber sie ist noch nicht in jeder Hinsicht zufriedenstellend. Zum Beispiel sind herkömmliche EUV-Fotoresistmaterialien noch nicht für die Photonen-Absorption und die Linienbreitenrauheit (LWR) optimiert worden.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 ist eine schematische Darstellung einer lithografischen Anlage gemäß einigen Ausführungsformen der vorliegenden Erfindung.
    • 2 ist eine Schnittansicht einer EUV-Maske, die gemäß einigen Ausführungsformen der vorliegenden Erfindung konfiguriert ist.
    • 3 ist eine schematische Teil-Seitenschnittansicht eines Halbleiter-Bauelements auf einer Herstellungsstufe gemäß einigen Ausführungsformen der vorliegenden Erfindung.
    • 4 ist eine Darstellung, die eine Struktur eines Fotoresists gemäß einigen Ausführungsformen der vorliegenden Erfindung zeigt.
    • Die 5 bis 9 zeigen Teile von chemischen Formeln des Fotoresists gemäß einigen Ausführungsformen der vorliegenden Erfindung.
    • Die 10 und 11 sind schematische Teil-Seitenschnittansichten eines Halbleiter-Bauelements auf verschiedenen Herstellungsstufen gemäß einigen Ausführungsformen der vorliegenden Erfindung.
    • 12 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen eines Halbleiter-Bauelements gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Außerdem soll, wenn eine Anzahl oder ein Bereich von Anzahlen mit den Begriffen „etwa“, „ungefähr“ und dergleichen beschrieben wird, der Begriff Anzahlen umfassen, die in einem angemessenen Bereich liegen, der die angegebene Anzahl enthält, wie etwa innerhalb von ±10 % der angegebenen Anzahl oder anderer Werte, wie einem Fachmann bekannt sein dürfte. Zum Beispiel umfasst der Begriff „etwa 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Die modernen lithografischen Verfahren und Materialien, die vorstehend beschrieben worden sind, können in zahlreichen Anwendungen, wie etwa Finnen-Feldeffekttransistoren (FinFETs), verwendet werden. Zum Beispiel können die Finnen so strukturiert werden, dass ein relativ kleiner Abstand zwischen Strukturelementen entsteht, wofür die vorliegende Erfindung gut geeignet ist. Außerdem können Abstandshalter, die bei der Herstellung von FinFETs verwendet werden und auch als Dorne bezeichnet werden, gemäß der vorliegenden Erfindung bearbeitet werden.
  • Die ELTV-Lithografie (EUV: extremes Ultraviolett) wird häufig verwendet, da mit ihr kleine Halbleiter-Bauelementgrößen erzielt werden können. Ein herkömmliches EUV-Fotoresist kann jedoch immer noch Verbesserungen erfordern. Zum Beispiel kann ein Fotoresist in der EUV-Lithografie immer noch eine schwächere Lichtabsorption als das Fotoresist haben, das für die Nicht-EUV-Lithografie verwendet wird. Als ein weiteres Beispiel ist die EUV-Lithografie normalerweise mit einem Kompromiss zwischen der Empfindlichkeit und der Linienbreitenrauheit (LWR) verbunden. Daher muss der herkömmliche EUV-Fotoresist-Entwurf verbessert werden.
  • Die vorliegende Erfindung betrifft ein EUV-Fotoresistmit neuartigen Ligandenstrukturen. Zum Beispiel können die Liganden eine niedrigere Aktivierungsenergie als bei einem herkömmlichen EUV-Fotoresisthaben. Als ein weiteres Beispiel können die Liganden eine höhere Entwicklerlöslichkeit als bei einem herkömmlichen EUV-Fotoresisthaben. Diese verschiedenen Aspekte der vorliegenden Erfindung werden nachstehend näher erörtert. Zunächst wird eine lithografische EUV-Anlage unter Bezugnahme auf die 1 und 2 erörtert. Dann werden Einzelheiten verschiedener Zusätze gemäß Ausführungsformen der vorliegenden Erfindung unter Bezugnahme auf die 3 bis 12 beschrieben.
  • 1 ist eine schematische Darstellung einer lithografischen EUV-Anlage 10, die gemäß einigen Ausführungsformen konfiguriert ist. Die lithografische EUV-Anlage 10 kann allgemein auch als ein Scanner bezeichnet werden, der so konfiguriert ist, dass er lithografische Belichtungsprozesse mit einer jeweiligen Strahlungsquelle und einem jeweiligen Belichtungsmodus durchführt. Die EUV-Anlage 10 ist so konzipiert, dass sie eine Fotoresistschicht mit ELTV-Licht oder EUV-Strahlung belichtet. Die Fotoresistschicht ist ein Material, das für EUV-Licht empfindlich ist. In der lithografischen EUV-Anlage 10 wird eine Strahlungsquelle 12 zum Erzeugen von EUV-Licht verwendet, wie etwa ELTV-Licht mit einer Wellenlänge von etwa 1 nm bis etwa 100 nm. Bei einer speziellen Ausführungsform erzeugt die Strahlungsquelle 12 EUV-Licht mit einer Wellenlänge mit einem Schwerpunkt bei etwa 13,5 nm. Dementsprechend wird die Strahlungsquelle 12 auch als EUV-Strahlungsquelle 12 bezeichnet.
  • In der lithografischen Anlage 10 kann außerdem eine Belichtungsvorrichtung 14 verwendet werden. Bei verschiedenen Ausführungsformen umfasst die Belichtungsvorrichtung 14 verschiedene Brechungsoptik-Komponenten, wie etwa eine einzelne Linse oder ein Linsensystem mit mehreren Linsen (Zonenplatten), oder alternativ Spiegeloptik-Komponenten (für die lithografische EUV-Anlage), wie etwa einen einzelnen Spiegel oder ein Spiegelsystem mit mehreren Spiegeln zum Richten von Licht von der Strahlungsquelle 12 auf einen Maskentisch 16, insbesondere auf eine Maske 18, die auf dem Maskentisch 16 befestigt ist. Bei der vorliegenden Ausführungsform, bei der die Strahlungsquelle 12 Licht in dem EUV-Wellenlängenbereich erzeugt, wird für die Belichtungsvorrichtung 14 eine Spiegeloptik verwendet. Bei einigen Ausführungsformen weist die Belichtungsvorrichtung 14 eine Dipol-Belichtungskomponente auf.
  • Bei einigen Ausführungsformen ist die Belichtungsvorrichtung 14 so betreibbar, dass sie die Spiegel so gruppiert, dass die Maske 18 entsprechend belichtet wird. In einem Beispiel sind die Spiegel der Belichtungsvorrichtung 14 so schaltbar, dass sie EUV-Licht zu unterschiedlichen Belichtungspositionen reflektieren. Bei einigen Ausführungsformen kann ein Tisch vor der Belichtungsvorrichtung 14 zusätzlich weitere schaltbare Spiegel aufweisen, die so steuerbar sind, dass sie zusammen mit den Spiegeln der Belichtungsvorrichtung 14 das EUV-Licht zu unterschiedlichen Belichtungspositionen richten. Bei einigen Ausführungsformen ist die Belichtungsvorrichtung 14 so konfiguriert, dass sie eine axiale Belichtung (ONI) für die Maske 18 bereitstellt. In einem Beispiel wird eine Platten-Belichtungsvorrichtung 14 verwendet, deren Teilkohärenz σ maximal 0,3 beträgt. Bei einigen weiteren Ausführungsformen ist die Belichtungsvorrichtung 14 so konfiguriert, dass sie eine außeraxiale Belichtung (OAI) für die Maske 18 bereitstellt. In einem Beispiel ist die Platten-Belichtungsvorrichtung 14 eine Dipol-Belichtungsvorrichtung. Die Dipol-Belichtungsvorrichtung hat bei einigen Ausführungsformen eine Teilkohärenz σ von maximal 0,3.
  • Die lithografische Anlage 10 weist außerdem einen Maskentisch 16 auf, der so konfiguriert ist, dass er die Maske 18 festhält. Bei einigen Ausführungsformen weist der Maskentisch 16 eine elektrostatische Aufspannvorrichtung (e-Aufspannvorrichtung) zum Festhalten der Maske 18 auf. Der Grund hierfür ist, dass Gasmoleküle EUV-Licht absorbieren und die lithografische Anlage für die lithografische EUV-Strukturierung in einer Vakuum-Umgebung gehalten wird, um einen EUV-Intensitätsverlust zu vermeiden. In der vorliegenden Beschreibung werden die Begriffe Maske, Fotomaske und Retikel austauschbar zum Bezeichnen des gleichen Elements verwendet.
  • Bei der vorliegenden Ausführungsform ist die lithografische Anlage 10 eine lithografische EUV-Anlage, und die Maske 18 ist eine Reflexionsmaske. Eine beispielhafte Struktur der Maske 18 wird zur Erläuterung bereitgestellt. Die Maske 18 weist ein Substrat mit einem geeigneten Material auf, wie etwa einem Material mit geringer Wärmeausdehnung (LTEM) oder Quarzglas. In verschiedenen Beispielen umfasst das LTEM mit TiO2, dotiertes SiO2 oder ein anderes Material mit geringer Wärmeausdehnung. Bei einigen Ausführungsformen enthält das LTEM 5 Masse-% bis 20 Masse-% TiO2, und es hat einen Wärmeausdehnungskoeffizienten, der kleiner als etwa 1,0 × 10-6/°C ist. Zum Beispiel hat bei einigen Ausführungsformen das mit TiO2 dotierte SiO2-LTEM einen Wärmeausdehnungskoeffizienten, der sich um weniger als 60 Teile je Milliarde Teile bei einer Temperaturänderung von 1 °C ändert. Natürlich können auch andere geeignete Materialien mit einem Wärmeausdehnungskoeffizienten verwendet werden, der gleich oder kleiner als der von TiO2-dotiertem SiO2 ist.
  • Die Maske 18 weist außerdem eine reflektierende Multischicht (ML) auf, die auf dem Substrat abgeschieden ist. Die ML weist eine Mehrzahl von Schichtpaaren auf, wie etwa Molybdän-Silizium(Mo/Si)-Schichtpaare (z. B. eine Schicht aus Molybdän über oder unter einer Schicht aus Silizium in jedem Schichtpaar). Alternativ kann die ML Molybdän-Beryllium (Mo/Be)-Schichtpaare oder andere geeignete Materialien aufweisen, die so konfigurierbar sind, dass sie das EUV-Licht stark reflektieren.
  • Die Maske 18 weist außerdem eine Verkappungsschicht, wie etwa Ruthenium (Ru), auf, die auf der ML zum Schutz angeordnet ist. Die Maske 18 weist weiterhin eine Absorptionsschicht auf, die über der ML abgeschieden ist. Die Absorptionsschicht wird strukturiert, um eine Schicht eines integrierten Schaltkreises (IC) zu definieren. Alternativ kann über der ML eine weitere Reflexionsschicht abgeschieden und strukturiert werden, um eine Schicht eines integrierten Schaltkreises zu definieren, sodass eine EUV-Phasenverschiebungsmaske entsteht.
  • Die lithografische Anlage 10 weist außerdem ein Projektionsoptikmodul oder eine Projektionsoptikbox (POB) 20 zum Abbilden der Struktur der Maske 18 auf einem Halbleitersubstrat (als ein Beispiel für ein Target 26) auf, das auf einem Substrattisch 28 der lithografischen Anlage 10 befestigt ist. Die POB 20 hat bei verschiedenen Ausführungsformen eine Brechungsoptik (wie etwa für eine lithografische UV-Anlage) oder alternativ eine Spiegeloptik (wie etwa für eine lithografische EUV-Anlage). Das von der Maske 18 gerichtete Licht, das in verschiedene Beugungsordnungen gebeugt wird und das Bild der auf der Maske definierten Struktur transportiert, wird von der POB 20 gesammelt. Die POB 20 kann eine Vergrößerung von kleiner als eins haben, wodurch die Größe des „Bilds“ auf einem Target (wie etwa dem Target 26, das später erörtert wird) kleiner als die Größe des entsprechenden „Objekts“ auf der Maske ist. Die Belichtungsvorrichtung 14 und die POB 20 werden gemeinsam als ein optisches Modul der lithografischen Anlage 10 bezeichnet.
  • Die lithografische Anlage 10 weist außerdem einen Pupillen-Phasenmodulator 22 zum Modulieren einer optischen Phase des von der Maske 18 gerichteten Lichts auf, sodass das Licht eine Phasenverteilung auf einer Projektionspupillen-Ebene 24 hat. In dem optischen Modul gibt es eine Ebene mit einer Feldverteilung, die der Fourier-Transformierten des Objekts (der Maske 18 in diesem Fall) entspricht. Diese Ebene wird als eine Projektionspupillen-Ebene bezeichnet. Der Pupillen-Phasenmodulator 22 stellt einen Mechanismus zum Modulieren der optischen Phase des Lichts auf der Projektionspupillen-Ebene 24 bereit. Bei einigen Ausführungsformen weist der Pupillen-Phasenmodulator 22 einen Mechanismus zum Anpassen der Spiegelbilder der POB 20 für die Phasenmodulation auf. Zum Beispiel sind die Spiegel der POB 20 schaltbar, und sie werden so gesteuert, dass sie das EUV-Licht reflektieren, wodurch die Phase des Lichts durch die POB 20 moduliert wird.
  • Bei einigen Ausführungsformen verwendet der Pupillen-Phasenmodulator 22 ein Pupillenfilter, das auf der Projektionspupillen-Ebene platziert wird. Ein Pupillenfilter filtert spezielle Raumfrequenz-Komponenten des EUV-Lichts von der Maske 18 heraus. Insbesondere ist das Pupillenfilter ein Phasen-Pupillenfilter, das so funktioniert, dass es die Phasenverteilung des durch die POB 20 geleiteten Lichts moduliert. Die Verwendung eines Phasen-Pupillenfilters ist jedoch bei einigen lithografischen Anlagen (wie etwa einer lithografischen EUV-Anlage) beschränkt, da alle Materialien EUV-Licht absorbieren.
  • Wie vorstehend dargelegt worden ist, umfasst die lithografische Anlage 10 auch den Substrattisch 28 zum Festhalten des zu strukturierenden Targets 26, wie etwa eines Halbleitersubstrats. Bei der vorliegenden Ausführungsform ist das Halbleitersubstrat ein Halbleiterwafer, wie etwa ein Siliziumwafer oder eine andere Art von Wafer. Das Target 26 (wie etwa das Substrat) wird mit einer Resistschicht beschichtet, die für einen Strahlungsstrahl, wie etwa EUV-Licht bei der vorliegenden Ausführungsform, empfindlich ist. Verschiedene Komponenten, unter anderem diejenigen, die vorstehend genannt worden sind, werden miteinander integriert und sind so betreibbar, dass sie lithografische Belichtungsprozesse durchführen. Die lithografische Anlage 10 kann außerdem weitere Module aufweisen oder kann mit weiteren Modulen integriert (oder verbunden) werden.
  • Die Maske 18 und das Verfahren zu deren Herstellung werden nachstehend anhand einiger Ausführungsformen näher beschrieben. Bei einigen Ausführungsformen umfasst der Maskenherstellungsprozess die folgenden zwei Schritte: einen Prozess zum Herstellen einer unbedruckten Maske und einen Maskenstrukturierungsprozess. Während des Unbedruckte-Maske-Herstellungsprozesses wird eine unbedruckte Maske durch Abscheiden geeigneter Schichten (z. B. mehrerer Reflexionsschichten) auf einem geeigneten Substrat hergestellt. Die unbedruckte Maske wird dann während des Maskenstrukturierungsprozesses strukturiert, um einen gewünschten Entwurf für eine Schicht eines integrierten Schaltkreises (IC) zu erzielen. Anschließend wird die strukturierte Maske zum Übertragen von Schaltkreisstrukturen (z. B. des Entwurfs einer Schicht eines IC) auf einen Halbleiterwafer verwendet. Die Strukturen können mit verschiedenen lithografischen Prozessen wiederholt auf mehrere Wafer übertragen werden. Eine Gruppe von Masken wird zum Erzeugen eines vollständigen IC verwendet.
  • Die Maske 18 umfasst eine geeignete Struktur, zum Beispiel bei verschiedenen Ausführungsformen eine Binärintensitätsmaske (BIM) und eine Phasenverschiebungsmaske (PSM). Eine beispielhafte BIM weist Absorptionsbereiche (die auch als opake Bereiche bezeichnet werden) und Reflexionsbereiche auf, die so strukturiert sind, dass sie eine IC-Struktur definieren, die auf das Target übertragen werden soll. Die opaken Bereiche weisen einen Absorber auf, der einfallendes Licht nahezu vollständig absorbiert. In den Reflexionsbereichen fehlt der Absorber, und einfallendes Licht wird von einer Multischicht (ML) gebeugt. Die PSM kann eine Maske mit abgeschwächter Phasenverschiebung (AttPSM) oder eine Maske mit veränderlicher Phasenverschiebung (AltPSM) sein. Eine beispielhafte PSM weist eine erste Reflexionsschicht (wie etwa eine reflektierende ML) und eine zweite Reflexionsschicht auf, die entsprechend einer IC-Struktur strukturiert sind. In einigen Beispielen hat eine AttPSM meistens einen Reflexionsgrad von 2 % bis 15 % von ihrem Absorber, während eine AltPSM meistens einen Reflexionsgrad von mehr als 50 % von ihrem Absorber hat.
  • Ein Beispiel für die Maske 18 ist in 2 gezeigt. Bei der dargestellten Ausführungsform ist die Maske 18 eine EUV-Maske, die ein Substrat 30 aufweist, das aus einem LTEM hergestellt ist. Das LTEM kann TiO2-dotiertes SiO2 und/oder andere auf dem Fachgebiet bekannte Materialien mit geringer Wärmeausdehnung umfassen. Bei einigen Ausführungsformen ist außerdem eine leitfähige Schicht 32 auf einer Rückseite des LTEM-Substrats 30 zum elektrostatischen Aufspannen angeordnet. In einem Beispiel weist die leitfähige Schicht 32 Chromnitrid (CrN) auf. Bei anderen Ausführungsformen sind auch andere Zusammensetzungen möglich, wie etwa ein tantalhaltiges Material.
  • Die EUV-Maske 18 weist eine reflektierende Mehrschichtstruktur 34 auf, die über dem LTEM-Substrat 30 angeordnet ist. Die reflektierende Mehrschichtstruktur 34 kann so gewählt sein, dass sie einen hohen Reflexionsgrad für eine gewählte Strahlungsart/-wellenlänge bietet. Die reflektierende Mehrschichtstruktur 34 weist eine Mehrzahl von Schichtpaaren auf, wie etwa Mo/Si-Schichtpaare (z. B. eine Schicht aus Molybdän über oder unter einer Schicht aus Silizium in jedem Schichtpaar). Alternativ kann die reflektierende Mehrschichtstruktur 34 Mo/Be-Schichtpaare oder Materialien mit einer solchen Brechzahldifferenz aufweisen, dass sie bei EUV-Wellenlängen stark reflektierend sind.
  • Bleiben wir bei 2, in der die EUV-Maske 18 über der reflektierenden Mehrschichtstruktur 34 außerdem eine Verkappungsschicht 36 zum Verhindern einer Oxidation der ML aufweist. Bei einer Ausführungsform weist die Verkappungsschicht 36 Silizium mit einer Dicke von etwa 4 nm bis etwa 7 nm auf. Die EUV-Maske 18 kann über der Verkappungsschicht 36 weiterhin eine Pufferschicht 38 aufweisen, die als eine Ätzstoppschicht in einem Strukturierungs- oder Reparaturprozess für eine Absorptionsschicht dient, was später erörtert wird. Die Pufferschicht 38 hat andere Ätzeigenschaften als die darüber angeordnete Absorptionsschicht. Die Pufferschicht 38 weist in verschiedenen Beispielen Ruthenium (Ru), Ru-Verbindungen, wie etwa RuB oder RuSi, Chrom (Cr), Chromoxid oder Chromnitrid auf.
  • Die EUV-Maske 18 weist weiterhin eine Absorberschicht 40 (die auch als eine Absorptionsschicht bezeichnet wird) auf, die über der Pufferschicht 38 hergestellt ist. Bei einigen Ausführungsformen absorbiert die Absorberschicht 40 die auf die Maske gerichtete EUV-Strahlung. Bei verschiedenen Ausführungsformen kann die Absorberschicht 40 aus Tantalbornitrid (TaBN), Tantalboroxid (TaBO) oder Chrom (Cr), Radium (Ra) oder einem geeigneten Oxid oder Nitrid (oder Legierung) eines oder mehrerer der folgenden Stoffe hergestellt werden: Actinum, Radium, Tellur, Zink, Kupfer und Aluminium.
  • Die 3, 10 und 11 zeigen vereinfachte schematische Teil-Seitenschnittansichten eines Halbleiter-Bauelements 100 auf verschiedenen Herstellungsstufen gemäß einigen Ausführungsformen der vorliegenden Erfindung. In 3 weist ein HalbleiterBauelement 100 ein Substrat 140 auf. Bei einigen Ausführungsformen ist das Substrat 140 ein Siliziumsubstrat, das mit einem p-Dotanden wie Bor dotiert ist (ein p-Substrat). Alternativ kann das Substrat 140 ein anderes geeignetes Halbleitermaterial sein. Zum Beispiel kann das Substrat 140 ein Siliziumsubstrat sein, das mit einem n-Dotanden wie Phosphor oder Arsen dotiert ist (ein n-Substrat). Das Substrat 140 kann andere elementare Halbleiter, wie etwa Germanium und Diamant, aufweisen. Das Substrat 140 kann optional einen Verbindungshalbleiter und/oder einen Legierungshalbleiter aufweisen. Außerdem kann das Substrat 140 eine Epitaxialschicht aufweisen, die zur Verbesserung der Leistung verspannt sein kann, und es kann eine Siliziumauf-Isolator-Struktur (SOI-Struktur) haben.
  • Bei einigen Ausführungsformen ist das Substrat 140 im Wesentlichen leitfähig oder halbleitfähig. Der elektrische Widerstand kann kleiner als etwa 103 Ωm sein. Bei einigen Ausführungsformen weist das Substrat 140 ein Metall, eine Metalllegierung oder ein Metallnitrid/ -sulfid/-selenid/-oxid oder -silizid mit der Formel MXa auf, wobei M ein Metall ist, X N, S, Se, O oder Si ist und a etwa 0,4 bis 2,5 ist. Das Substrat 140 kann zum Beispiel Ti, Al, Co, Ru, TiN, WN2 oder TaN aufweisen.
  • Bei einigen weiteren Ausführungsformen weist das Substrat 140 ein dielektrisches Material mit einer Dielektrizitätskonstante von etwa 1 bis etwa 140 auf. Bei noch weiteren Ausführungsformen weist das Substrat 140 Si, ein Metalloxid oder ein Metallnitrid auf, wobei die Formel MXb lautet, wobei M ein Metall oder Si ist, X N oder O ist und b etwa 0,4 bis 2,5 ist. Das Substrat 140 kann zum Beispiel Siliziumoxid, Siliziumnitrid, Aluminiumoxid, Hafniumoxid oder Lanthanoxid aufweisen.
  • Über dem Substrat 140 wird eine Materialschicht 150 hergestellt. Die Materialschicht 150 kann mit einem lithografischen Prozess strukturiert werden und kann daher auch als eine strukturierbare Schicht bezeichnet werden. Bei einer Ausführungsform weist die Materialschicht 150 ein dielektrisches Material wie Siliziumoxid oder Siliziumnitrid auf. Bei einer anderen Ausführungsform weist die Materialschicht 150 ein Metall auf. Bei einer noch weiteren Ausführungsform weist die Materialschicht 150 ein Halbleitermaterial auf.
  • Bei einigen Ausführungsformen hat die Materialschicht 150 andere optische Eigenschaften als das Fotoresist. Zum Beispiel hat die Materialschicht 150 einen anderen n-, k- oder T-Wert als das Fotoresist. Bei einigen Ausführungsformen weist die Materialschicht 150 eine andere Polymerstruktur, ein anderes säurelabiles Molekül, eine andere Beladung mit fotochemischen Säurebildnern (PAG), eine andere Löscherbeladung, andere Chromophore, andere Vernetzer und/oder ein anderes Lösungsmittel auf, die zu einem anderen n-Wert für das Fotoresist führen. Bei einigen Ausführungsformen haben die Materialschicht 150 und das Fotoresist einen unterschiedlichen Ätzwiderstand. Bei einigen Ausführungsformen enthält die Materialschicht 150 ätzbeständige Moleküle. Die Moleküle umfassen eine Struktur mit einer niedrigen Ohnishi-Zahl, eine Doppelbindung, eine Dreifachbindung, Silizium, Siliziumnitrid, Ti, TiN, Al, Aluminiumoxid, SiON oder Kombinationen davon. Es ist klar, dass das Substrat 140 und die Materialschicht 150 bei anderen Ausführungsformen jeweils weitere geeignete Materialzusammensetzungen haben können.
  • Über dem Substrat 140 (insbesondere über der Materialschicht 150) wird eine Fotoresistschicht 160 hergestellt. Die Fotoresistschicht 160 kann zum Beispiel mit einem Schleuderbeschichtungsprozess 170 hergestellt werden. Die Fotoresistschicht 160 weist ein metallisches Fotoresistmaterial auf, das für die EUV-Lithografie konfiguriert ist. Das metallische Fotoresistmaterial ist für eine Strahlungsquelle, wie etwa die Strahlungsquelle 12, empfindlich, die vorstehend in Verbindung mit 1 erörtert worden ist. Die metallische Komponente in dem metallischen Fotoresistmaterial kann die EUV-Empfindlichkeit erhöhen.
  • Die Fotoresistschicht 160 kann eine Einschichtstruktur oder eine Mehrschichtstruktur haben. Bei einer Ausführungsform weist die Fotoresistschicht 160 ein metallisches Resistmaterial auf, das polymerisiert (und/oder sich vernetzt) und später in einem Entwickler unlöslich wird, nachdem es mit einer Strahlungsquelle (z. B. der Strahlungsquelle 12) belichtet worden ist. Bei einigen Ausführungsformen kann der Entwickler Folgendes aufweisen: Propylenglycolmonomethyletheracetat (PGMEA), Propylenglycolmonomethylether (PGME), 1-Ethoxy-2-propanol (PGEE), γ-Butyrolacton (GBL), Cyclohexanon (CHN), Ethyllactat (EL), Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid (DMF), Isopropylalkohol (IPA), Tetrahydrofuran (THF), Methylisobutylcarbinol (MIBC), n-Butylacetat (nBA), 2-Heptanon (MAK), Isobutylpropionat usw.
  • Bei einigen Ausführungsformen wird das metallische Fotoresistmaterial aus einem Hauptlösungsmittel hergestellt. Bei einigen Ausführungsformen kann das Hauptlösungsmittel Folgendes aufweisen: Propylenglycolmonomethyletheracetat (PGMEA), Propylenglycolmonomethylether (PGME), 1-Ethoxy-2-propanol (PGEE), γ-Butyrolacton (GBL), Cyclohexanon (CHN), Ethyllactat (EL), Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid (DMF), Isopropylalkohol (IPA), Tetrahydrofuran (THF), Methylisobutylcarbinol (MIBC), n-Butylacetat (nBA), 2-Heptanon (MAK) usw.
  • Kommen wir nun zu 4. Bei einigen Ausführungsformen hat das metallische Resistmaterial der Fotoresistschicht 160 eine Struktur 200. Die Struktur 200 kann ein Teilchen (z. B. ein Cluster) sein, das eine Kerngruppe 204 aufweist, die von mehreren Liganden 212 umschlossen ist. Bei der Ausführungsform, die in 4 gezeigt ist, stellen die Strichlinien Ionen-, kovalente, metallische oder Van-der Waals-Bindungen zwischen der Kerngruppe 204 und den Liganden 212 dar. Bei zahlreichen Ausführungsformen umfasst die Kerngruppe 204 mindestens ein metallisches Element in der Form eines reinen Metalls (d. h., eines Metallatoms), eines Metallions, einer Metallverbindung (z. B. eines Metalloxids, eines Metallnitrids, eines Metalloxidnitrids, eines Metallsilizids, eines Metallcarbids usw.), einer Metalllegierung (z. B. einer Kombination aus mehreren metallischen Elementen) oder einer Kombination davon. Bei einigen Ausführungsformen umfasst die Kerngruppe 204 ein oder mehrere metallische Elemente mit einer hohen EUV-Absorption. Die metallischen Elemente der Kerngruppe 204 können zum Beispiel aus der folgenden Gruppe gewählt werden: Cäsium (Cs), Barium (Ba), Lanthan (La), Cer (Ce), Indium (In), Zinn (Sn), Silber (Ag), Antimon (Sb) oder andere Elemente. Bei einigen Ausführungsformen kann die Kerngruppe 204 etwa 0,5 Masse-% bis 7 Masse-% des Hauptlösungsmittels (z. B. PGMEA, PGME, PGEE usw.) ausmachen.
  • Die Liganden 212 können mit der Kerngruppe 204 verbunden werden, um die Kerngruppe 204 vor Kondensation zu schützen, bevor der Belichtungsprozess der EUV-Lithografie durchgeführt wird. Die Liganden 212 können von der Kerngruppe 204 nach dem Belichtungsprozess abgespalten werden, oder sie können mit einer Wärmebehandlung abgespalten werden. Die Liganden 212 können gleich oder voneinander verschieden sein. Bei einigen Ausführungsformen können die Liganden Liganden mit niedriger Aktivierungsenergie sein. Bei anderen Ausführungsformen können die Liganden Liganden mit hoher Entwicklerlöslichkeit sein. Gemäß Aspekten der vorliegenden Erfindung kann die Kerngruppe 204 an Liganden einer Art oder beider Arten gebunden sein. Zum Beispiel kann eine erste Teilmenge von Metallatomen oder Metallionen der Kerngruppe 204 jeweils an einen Liganden mit niedriger Aktivierungsenergie gebunden sein, während eine zweite Teilmenge (die von der ersten Teilmenge verschieden ist) von Metallatomen oder Metallionen der Kerngruppe 204 jeweils an einen Liganden mit hoher Entwicklerlöslichkeit gebunden sein kann. Jede dieser Arten von Liganden wird nachstehend näher erörtert.
  • Eine allgemeine chemische Struktur 300 des Liganden mit niedriger Aktivierungsenergie ist nachstehend sowie in 5 dargestellt:
    Figure DE102019133965A1_0004
  • Die chemische Struktur 300 weist einen metallischen Kern (der durch ein von einem Kreis umschlossenes M dargestellt ist) auf, der eine Ausführungsform der Kerngruppe 204 sein kann, die vorstehend unter Bezugnahme auf 4 erörtert worden ist. Die Kerngruppe kann zum Beispiel eine Anzahl N von Metallionen, wie etwa Sn-Ionen, aufweisen. Bei einigen Ausführungsformen ist N etwa 1 bis 18. Der Rest der chemischen Struktur 300 (d. h., außer dem metallischen Kern) entspricht dem Liganden mit niedriger Aktivierungsenergie, der durch eine Chemikalie L' und eine Chemikalie L dargestellt werden kann, die miteinander verbunden sind. Bei einigen Ausführungsformen hat die Chemikalie L' o bis 2 Kohlenstoffatome, die mit Wasserstoff (H) oder Fluor (F) abgesättigt sein können. Bei einigen Ausführungsformen kann die Chemikalie L eine verzweigte oder eine unverzweigte Struktur oder eine zyklische oder nicht-zyklische Struktur mit 1 bis 6 Kohlenstoffatomen haben, die mit H oder F abgesättigt sein können. Nachstehend sind einige beispielhafte chemische Formeln für den Liganden mit niedriger Aktivierungsenergie (der z. B. L' und L umfasst) angegeben, die auch in den 6 und 7 dargestellt sind:
    Figure DE102019133965A1_0005
    Figure DE102019133965A1_0006
  • Im Gegensatz zu herkömmlichen EUV-Fotoresists kann das EUV-Fotoresistder vorliegenden Erfindung die vorstehend erörterten Liganden mit niedriger Aktivierungsenergie implementieren. Dies ist vorteilhaft, da die Liganden mit niedriger Energie die Verwendung einer niedrigeren Belichtungsdosis als bei der herkömmlichen EUV-Lithografie ermöglichen. Wenn zum Beispiel ein EUV-Fotoresistbelichtet wird, kann eine Anzahl von Liganden die Verbindung oder Bindung mit dem metallischen Kern lösen. Der Energiebetrag, der benötigt wird, um ein solches Lösen zu bewirken, kann als Aktivierungsenergie bezeichnet werden. Während ein herkömmliches EUV-Fotoresisteinen Aktivierungsenergiebetrag X1 erfordern kann, kann hier mit den Liganden der Aktivierungsenergiebetrag auf X2 reduziert werden, wobei X2 kleiner als X1 ist. Somit kann nun eine niedrigere Belichtungsdosis oder eine niedrigere Belichtungsenergie zum Durchführen der EUV-Lithografie verwendet werden. Mit anderen Worten, die erfindungsgemäßen Liganden mit niedriger Aktivierungsenergie verbessern die Photonen-Absorption. Bei einigen Ausführungsformen kann die Belichtungsenergie um 3 % bis 40 % verbessert werden. Oder anders ausgedrückt, die Belichtungsenergie, die hier zum Belichten des EUV-Fotoresists verwendet wird, kann um 3 % bis 40 % niedriger als die Belichtungsenergie sein, die zum Belichten von herkömmlichen EUV-Fotoresists verwendet wird.
  • Eine allgemeine chemische Struktur 400 des Liganden mit hoher Entwicklerlöslichkeit ist nachstehend sowie in 8 dargestellt:
    Figure DE102019133965A1_0007
  • Die chemische Struktur 400 weist einen metallischen Kern (der durch ein von einem Kreis umschlossenes M dargestellt ist) auf, der eine Ausführungsform der Kerngruppe 204 sein kann, die vorstehend unter Bezugnahme auf 4 erörtert worden ist. Die Kerngruppe kann zum Beispiel eine Anzahl N von Metallionen, wie etwa Sn-Ionen, aufweisen. Bei einigen Ausführungsformen ist N etwa 1 bis 18. Der Rest der chemischen Struktur 400 (d. h., außer dem metallischen Kern) entspricht dem Liganden mit niedriger Aktivierungsenergie, der durch eine Chemikalie L'' und eine Chemikalie L''' dargestellt werden kann, die durch eine Linker-Einheit miteinander verbunden sind. Bei einigen Ausführungsformen hat die Chemikalie L'' 1 bis 6 Kohlenstoffatome, die mit Wasserstoff (H) abgesättigt sein können. Bei einigen Ausführungsformen kann die Chemikalie L''' eine unverzweigte oder eine verzweigte Struktur oder eine zyklische oder nicht-zyklische Struktur mit 1 bis 6 Kohlenstoffatomen haben, die mit H oder F abgesättigt sein können. Die Linker-Einheit ist zwischen die Chemikalien L'' und L''' geschaltet und kann Folgendes sein: C=O, -S-, -P-, -P(O2)-, -C(=O)SH, -C(=O)OH, -OC(=O)-, -O-, -N-, -NH-, -NH2-, -C(=O)NH, -SO2OH, -SO2SH, -SO- oder -SO2. Nachstehend sind einige beispielhafte chemische Formeln für den Liganden mit niedriger Aktivierungsenergie (der z. B. L'', L''' und die Linker-Einheit aufweist) angegeben, die auch in 9 gezeigt sind:
    Figure DE102019133965A1_0008
  • Im Gegensatz zu herkömmlichen EUV-Fotoresists kann das EUV-Fotoresistder vorliegenden Erfindung die vorstehend erörterten Liganden mit hoher Entwicklerlöslichkeit implementieren. Dies ist vorteilhaft, da in dem Fotoresist-Entwicklungsprozess die Liganden mit hoher Entwicklerlöslichkeit von der Entwicklerlösung leichter weggespült werden. Dadurch kann die Linienbreitenrauheit (LWR) verbessert werden, ohne dass eine stärkere Belichtungsdosis erforderlich ist. Mit anderen Worten, die erfindungsgemäßen Liganden mit hoher Entwicklerlöslichkeit verbessern die lithografische Leistung, wie etwa die LWR. Bei einigen Ausführungsformen kann die LWR um 3 % bis 30 % verbessert werden. Oder anders ausgedrückt, die LWR von Strukturen, die hier unter Verwendung des EUV-Fotoresists realisiert werden, kann um 3 % bis 30 % besser als die LWR von Strukturen sein, die unter Verwendung von herkömmlichen EUV-Fotoresists realisiert werden.
  • Auf Grund der Implementierung der Liganden mit niedriger Energie und/oder der Liganden mit hoher Entwicklerlöslichkeit ist das EUV-Fotoresistder vorliegenden Erfindung für moderne lithografische EUV-Prozesse gut geeignet. Zum Beispiel kann es in Prozessen verwendet werden, in denen ein Rasterabstand von weniger als etwa 40 nm erzielt werden muss. Bei verschiedenen Ausführungsformen kann das EUV-Fotoresisthier in dem 10-nm-Technologieknoten, dem 7-nm-Technologieknoten, dem 5-nm-Technologieknoten oder dem 3-nm-Technologieknoten oder noch kleineren Technologieknoten verwendet werden.
  • Kommen wir nun zu 10, in der ein Entwicklungsprozess 500 durchgeführt wird, um die Fotoresistschicht 160 zu entwickeln. Bei einigen Ausführungsformen bleiben die belichteten Teile der Fotoresistschicht 160 nach der Durchführung des Entwicklungsprozess 500 bestehen, während unbelichtete Teile der Fotoresistschicht 160 weggespült worden sind. Wie vorstehend dargelegt worden ist, kann die Entwicklerlösung, die in dem Entwicklungsprozess 500 verwendet wird, Folgendes umfassen: PGMEA, PGME, PGEE, GBL, CHN, EL, Methanol, Ethanol, Propanol, n-Butanol, Aceton, DMF, IPA, THF, MIBC, nBA, MAK, Isobutylpropionat usw. Da die Fotoresistschicht 160 die Liganden mit hoher Entwicklerlöslichkeit enthalten kann, werden die unbelichteten Teile der Fotoresistschicht 160 durch die Entwicklerlösung leichter entfernt. Dadurch kann die LWR verbessert werden, wie vorstehend dargelegt worden ist.
  • In jedem Fall weist die strukturierte Fotoresistschicht 160 nun die verbliebenen Teile der Fotoresistschicht 160 auf, die durch Öffnungen 510 getrennt sind. Die strukturierte Fotoresistschicht 160 kann jetzt verwendet werden, um die Materialschicht 150 in nachfolgenden Fertigungsprozessen zu strukturieren. Bei einigen Ausführungsformen kann eine Querabmessung 530 der Öffnungen 510 die kritische Abmessung (CD) von Strukturelementen des Halbleiter-Bauelements 100 definieren. Bei anderen Ausführungsformen kann eine Querabmessung 540 einer Komponente der strukturierten Fotoresistschicht 160 die CD der Strukturelemente des Halbleiter-Bauelements 100 definieren.
  • Kommen wir nun zu 11, in der ein Strukturierungsprozess 600 durchgeführt wird, um die Materialschicht 150 zu strukturieren. Die Fotoresistschicht 160 dient als eine Maske zum Strukturieren der Materialschicht 150. Die Abmessungen 530 und 540 werden auf die Materialschicht 150 übertragen. Wie vorstehend dargelegt worden ist, kann die CD mit den Liganden der metallischen Fotoresistschicht 160 strenger kontrolliert werden (z. B. werden kleinere CD-Abweichungen erzielt). Die Liganden mit niedriger Energie ermöglichen zum Beispiel die Verwendung einer niedrigeren Aktivierungsenergie und verbessern die Photonen-Absorptionsleistung. Die Liganden mit hoher Entwicklerlöslichkeit verbessern die LWR der strukturierten Fotoresistschicht 160 und in der Folge die LWR der strukturierten Materialschicht 150. Dadurch können die Abmessungen 530 und 540 (d. h., die CDs) besser kontrolliert werden.
  • 12 ist ein Ablaufdiagramm, das ein vereinfachtes Verfahren 700 zum Herstellen eines Halbleiter-Bauelements zeigt. Das Verfahren 700 umfasst einen Schritt 710 zum Aufbringen eines Fotoresistmaterials über einem Substrat. Das Fotoresistmaterial weist eine Kerngruppe und einen oder mehrere erste Liganden oder einen oder mehrere zweite Liganden auf, die an die Kerngruppe gebunden sind. Das Verfahren 700 umfasst weiterhin einen Schritt 720 zum Durchführen eines EUV-Belichtungsprozesses unter Verwendung des Fotoresistmaterials. Die Kerngruppe enthält Metall.
  • Die ersten Liganden umfassen die folgende chemische Struktur:
    Figure DE102019133965A1_0009
    und die zweiten Liganden umfassen die folgende chemische Struktur:
    Figure DE102019133965A1_0010
    Figure DE102019133965A1_0003
    stellt die Kerngruppe dar. L' stellt eine Chemikalie dar, die o bis 2 Kohlenstoffatome hat, die mit Wasserstoff (H) oder Fluor (F) abgesättigt sind. L stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H oder F abgesättigt sind. L'' stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H abgesättigt sind. L''' stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H oder F abgesättigt sind. Ein Linker stellt eine Chemikalie dar, die L'' und L''' miteinander verbindet.
  • Bei einigen Ausführungsformen weist das Verfahren 700 weiterhin einen Schritt zum Herstellen des Fotoresistmaterials unter Verwendung eines Lösungsmittels auf, das aus der folgenden Gruppe gewählt ist: Propylenglycolmonomethyletheracetat (PGMEA), Propylenglycolmonomethylether (PGME), 1-Ethoxy-2-propanol (PGEE), γ-Butyrolacton (GBL), Cyclohexanon (CHN), Ethyllactat (EL), Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid (DMF), Isopropylalkohol (IPA), Tetrahydrofuran (THF), Methylisobutylcarbinol (MIBC), n-Butylacetat (nBA) und 2-Heptanon (MAK).
  • Bei einigen Ausführungsformen umfasst der Schritt 720 ein Durchführen eines Entwicklungsprozesses unter Verwendung eines Entwicklers, der aus der folgenden Gruppe gewählt ist: Propylenglycolmonomethyletheracetat (PGMEA), Propylenglycolmonomethylether (PGME), 1-Ethoxy-2-propanol (PGEE), γ-Butyrolacton (GBL), Cyclohexanon (CHN), Ethyllactat (EL), Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid (DMF), Isopropylalkohol (IPA), Tetrahydrofuran (THF), Methylisobutylcarbinol (MIBC), n-Butylacetat (nBA) und 2-Heptanon (MAK).
  • Bei einigen Ausführungsformen enthält die Kerngruppe Cäsium (Cs), Barium (Ba), Lanthan (La), Cer (Ce), Indium (In), Zinn (Sn), Silber (Ag) oder Antimon (Sb).
  • Bei einigen Ausführungsformen ist der Linker Folgendes: C=O, -S-, -P-, -P(O2)-, -C(=O)SH, -C(=O)OH, -OC(=O)-, -O-, -N-, -NH-, -NH2-, -C(=O)NH, -SO2OH, -SO2SH, -SO- oder -SO2.
  • Bei einigen Ausführungsformen weist die Kerngruppe eine Mehrzahl von Metallionen auf. Eine erste Teilmenge der Metallionen hat jeweils an einen ersten Liganden, der an diese gebunden ist. Eine zweite Teilmenge der Metallionen hat jeweils an einen zweiten Liganden, der an diese gebunden ist.
  • Bei einigen Ausführungsformen sind der eine oder die mehreren ersten Liganden an die Kerngruppe gemäß chemischen Formeln gebunden, die aus der folgenden Gruppe gewählt sind:
    Figure DE102019133965A1_0011
    Figure DE102019133965A1_0012
  • Bei einigen Ausführungsformen sind der eine oder die mehreren zweiten Liganden an die Kerngruppe gemäß chemischen Formeln gebunden, die aus der folgenden Gruppe gewählt sind:
    Figure DE102019133965A1_0013
  • Es ist klar, dass weitere Fertigungsprozesse vor, während oder nach den Schritten 710 und 720 von 12 durchgeführt werden können. Zum Beispiel kann das Verfahren 700 einen Schritt zum Strukturieren weiterer Schichten umfassen, die unter Verwendung des Fotoresistmaterials auf dem Substrat hergestellt werden.
  • Auf Grund der vorstehenden Erörterung ist zu erkennen, dass die vorliegende Erfindung verschiedene Vorzüge in der EUV-Lithografie bietet. Es versteht sich jedoch, dass hier nicht unbedingt alle Vorzüge erörtert worden sind, andere Ausführungsformen andere Vorzüge bieten können und kein spezieller Vorzug für alle Ausführungsformen erforderlich ist. Einer der Vorzüge ist die bessere Photonen-Absorption. Zum Beispiel kann durch die Liganden mit niedriger Aktivierungsenergie die Verbindung zwischen der metallischen Kerngruppe und den Liganden mittels einer niedrigeren Aktivierungsenergie getrennt werden. Somit kann eine kleinere Belichtungsdosis oder Belichtungsenergie verwendet werden. Ein weiterer Vorzug ist die bessere Linienbreitenrauheit. Zum Beispiel lassen sich die Liganden mit hoher Entwicklerlöslichkeit leichter in der Entwicklerlösung lösen. Daher werden die Teile des Fotoresists, die als ein Teil des Entwicklungsprozesses entfernt werden sollen, leichter weggespült, was zum Verbessern der Linienbreitenrauheit der resultierenden Fotoresiststruktur (und der Schichten, die unter Verwendung der Fotoresiststruktur strukturiert werden sollen) beiträgt. Ein weiterer Vorzug ist, dass die hier erörterten Prozesse mit dem bestehenden Herstellungsprozessablauf kompatibel sind und leicht zu implementieren sind.
  • Ein Aspekt der vorliegenden Erfindung betrifft ein Fotoresist. Das Fotoresist weist eine Kerngruppe, die Metall enthält, und einen oder mehrere erste Liganden oder einen oder mehrere zweite Liganden auf, die an die Kerngruppe gebunden sind. Die ersten Liganden haben jeweils die folgende Struktur:
    Figure DE102019133965A1_0014
    und die zweiten Liganden haben jeweils die folgende Struktur:
    Figure DE102019133965A1_0015
    Figure DE102019133965A1_0003
    stellt die Kerngruppe dar. L' stellt eine Chemikalie dar, die o bis 2 Kohlenstoffatome aufweist, die mit Wasserstoff (H) oder Fluor (F) abgesättigt sind. L stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H oder F abgesättigt sind. L'' stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H abgesättigt sind. L''' stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H oder F abgesättigt sind, und Linker stellt eine Chemikalie dar, die L'' und L''' miteinander verbindet.
  • Ein Aspekt der vorliegenden Erfindung betrifft ein Fotoresist. Das Fotoresist weist eine Kerngruppe auf, die Metall enthält. Das Fotoresist weist einen ersten Liganden oder einen zweiten Liganden auf, der an die Kerngruppe gebunden ist. Der erste Ligand hat eine der folgenden chemischen Formeln:
    Figure DE102019133965A1_0016
    Figure DE102019133965A1_0017
  • Der zweite Ligand hat eine der folgenden chemischen Formeln:
    Figure DE102019133965A1_0018
  • Bei einigen Ausführungsformen ist das Fotoresist ein EUV-Fotoresist(EUV: extremes Ultraviolett).
  • Ein noch weiterer Aspekt der vorliegenden Erfindung betrifft ein Verfahren. Das Verfahren umfasst ein Aufbringen eines Fotoresistmaterials über einem Substrat. Das Fotoresistmaterial enthält eine Kerngruppe und einen oder mehrere erste Liganden oder einen oder mehrere zweite Liganden, die an die Kerngruppe gebunden sind. Das Verfahren umfasst ein Durchführen eines lithografischen EUV-Prozesses (EUV: extremes Ultraviolett) unter Verwendung des Fotoresistmaterials. Die Kerngruppe enthält Metall. Die ersten Liganden umfassen die folgende chemische Struktur:
    Figure DE102019133965A1_0019
  • Die zweiten Liganden umfassen die folgende chemische Struktur:
    Figure DE102019133965A1_0020
    Figure DE102019133965A1_0003
    stellt die Kerngruppe dar. L' stellt eine Chemikalie dar, die o bis 2 Kohlenstoffatome aufweist, die mit Wasserstoff (H) oder Fluor (F) abgesättigt sind. L stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H oder F abgesättigt sind. L'' stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H abgesättigt sind. L''' stellt eine Chemikalie mit 1 bis 6 Kohlenstoffatomen dar, die mit H oder F abgesättigt sind, und Linker stellt eine Chemikalie dar, die L'' und L''' miteinander verbindet.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/892666 [0001]

Claims (20)

  1. Fotoresist mit: einer Kerngruppe, die Metall enthält; und einem oder mehreren ersten Liganden oder einem oder mehreren zweiten Liganden, die an die Kerngruppe gebunden sind, wobei die ersten Liganden jeweils die folgende Struktur haben:
    Figure DE102019133965A1_0021
    die zweiten Liganden jeweils die folgende Struktur haben:
    Figure DE102019133965A1_0022
    worin
    Figure DE102019133965A1_0003
    die Kerngruppe darstellt, L' eine Chemikalie darstellt, die o bis 2 Kohlenstoffatome aufweist, die mit Wasserstoff (H) oder Fluor (F) abgesättigt sind, L eine Chemikalie mit 1 bis 6 Kohlenstoffatomen darstellt, die mit H oder F abgesättigt sind, L'' eine Chemikalie mit 1 bis 6 Kohlenstoffatomen darstellt, die mit H abgesättigt sind, L''' eine Chemikalie mit 1 bis 6 Kohlenstoffatomen darstellt, die mit H oder F abgesättigt sind, und Linker eine Chemikalie darstellt, die L" und L''' miteinander verbindet.
  2. Fotoresist nach Anspruch 1, wobei das Fotoresist ein lithografisches EUV-Fotoresist (EUV: extremes Ultraviolett) ist.
  3. Fotoresist nach Anspruch 1 oder 2, wobei die Kerngruppe Cäsium (Cs), Barium (Ba), Lanthan (La), Cer (Ce), Indium (In), Zinn (Sn), Silber (Ag) oder Antimon (Sb) enthält.
  4. Fotoresist nach einem der vorhergehenden Ansprüche, wobei die Kerngruppe 1 bis 18 Metallionen enthält.
  5. Fotoresist nach einem der vorhergehenden Ansprüche, wobei der Linker C=O, -S-, -P-, -P(O2)-, -C(=O)SH, -C(=O)OH, -OC(=O)-, -O-, -N-, -NH-, -NH2-, -C(=O)NH, - SO2OH, -SO2SH, -SO- oder -SO2 umfasst.
  6. Fotoresist nach einem der vorhergehenden Ansprüche, wobei die Kerngruppe mindestens einen ersten Liganden und mindestens einen zweiten Liganden aufweist, die an die Kerngruppe gebunden sind.
  7. Fotoresist nach Anspruch 6, wobei die Kerngruppe eine Mehrzahl von Metallionen aufweist, eine erste Teilmenge der Metallionen jeweils einen ersten Liganden hat, der an diese gebunden ist, und eine zweite Teilmenge der Metallionen jeweils einen zweiten Liganden hat, der an diese gebunden ist.
  8. Fotoresist nach einem der vorhergehenden Ansprüche, wobei der eine oder die mehreren ersten Liganden an die Kerngruppe gemäß chemischen Formeln gebunden sind, die aus der folgenden Gruppe gewählt sind:
    Figure DE102019133965A1_0023
    Figure DE102019133965A1_0024
  9. Fotoresist nach einem der vorhergehenden Ansprüche, wobei der eine oder die mehreren zweiten Liganden an die Kerngruppe gemäß chemischen Formeln gebunden sind, die aus der folgenden Gruppe gewählt sind:
    Figure DE102019133965A1_0025
  10. Fotoresist mit: einer Kerngruppe, die Metall enthält; und einem ersten Liganden oder einem zweiten Liganden, die an die Kerngruppe gebunden sind; wobei: der erste Ligand eine der folgenden chemischen Formeln hat:
    Figure DE102019133965A1_0026
    der zweite Ligand eine der folgenden chemischen Formeln hat:
    Figure DE102019133965A1_0027
    und das Fotoresist ein EUV-Fotoresist(EUV: extremes Ultraviolett) ist.
  11. Fotoresist nach Anspruch 10, wobei die Kerngruppe Cäsium (Cs), Barium (Ba), Lanthan (La), Cer (Ce), Indium (In), Zinn (Sn), Silber (Ag) oder Antimon (Sb) enthält.
  12. Fotoresist nach Anspruch 10 oder 11, wobei: die Kerngruppe eine Mehrzahl von Metallionen enthält, der erste Ligand an ein erstes der Metallionen gebunden ist, und der zweite Ligand an ein zweites der Metallionen gebunden ist.
  13. Verfahren mit den folgenden Schritten: Aufbringen eines Fotoresistmaterials über einem Substrat, wobei das Fotoresistmaterial eine Kerngruppe und einen oder mehrere erste Liganden oder einen oder mehrere zweite Liganden aufweist, die an die Kerngruppe gebunden sind; und Durchführen eines lithografischen EUV-Prozesses (EUV: extremes Ultraviolett) unter Verwendung des Fotoresistmaterials, wobei: die Kerngruppe Metall enthält, die ersten Liganden die folgende chemische Struktur umfassen:
    Figure DE102019133965A1_0028
    und die zweiten Liganden die folgende chemische Struktur umfassen:
    Figure DE102019133965A1_0029
    worin
    Figure DE102019133965A1_0003
    die Kerngruppe darstellt, L' eine Chemikalie darstellt, die o bis 2 Kohlenstoffatome aufweist, die mit Wasserstoff (H) oder Fluor (F) abgesättigt sind, L eine Chemikalie mit 1 bis 6 Kohlenstoffatomen darstellt, die mit H oder F abgesättigt sind, L'' eine Chemikalie mit 1 bis 6 Kohlenstoffatomen darstellt, die mit H abgesättigt sind, L''' eine Chemikalie mit 1 bis 6 Kohlenstoffatomen darstellt, die mit H oder F abgesättigt sind, und Linker eine Chemikalie darstellt, die L'' und L''' miteinander verbindet.
  14. Verfahren nach Anspruch 13, das weiterhin Folgendes umfasst: Herstellen des Fotoresistmaterials unter Verwendung eines Lösungsmittels, das aus der folgenden Gruppe gewählt ist: Propylenglycolmethyletheracetat (PGMEA), Propylenglycolmonomethylether (PGME), 1-Ethoxy-2-propanol (PGEE), γ-Butyrolacton (GBL), Cyclohexanon (CHN), Ethyllactat (EL), Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid (DMF), Isopropylalkohol (IPA), Tetrahydrofuran (THF), Methylisobutylcarbinol (MIBC), n-Butylacetat (nBA) und 2-Heptanon (MAK).
  15. Verfahren nach Anspruch 13 oder 14, wobei das Durchführen des lithografischen EUV-Prozesses ein Durchführen eines Entwicklungsprozesses unter Verwendung eines Entwicklers umfasst, der aus der folgenden Gruppe gewählt ist: Propylenglycolmethyletheracetat (PGMEA), Propylenglycolmonomethylether (PGME), 1-Ethoxy-2-propanol (PGEE), γ-Butyrolacton (GBL), Cyclohexanon (CHN), Ethyllactat (EL), Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid (DMF), Isopropylalkohol (IPA), Tetrahydrofuran (THF), Methylisobutylcarbinol (MIBC), n-Butylacetat (nBA) und 2-Heptanon (MAK).
  16. Verfahren nach einem der Ansprüche 13 bis 15, wobei die Kerngruppe Cäsium (Cs), Barium (Ba), Lanthan (La), Cer (Ce), Indium (In), Zinn (Sn), Silber (Ag) oder Antimon (Sb) enthält.
  17. Verfahren nach einem der Ansprüche 13 bis 16, wobei der Linker Folgendes umfasst: C=O, -S-, -P-, -P(O2)-, -C(=O)SH, -C(=O)OH, -OC(=O)-, -O-, -N-, -NH-, -NH2-, -C(=O)NH, -SO2OH, -SO2SH, -SO- oder -SO2.
  18. Verfahren nach einem der Ansprüche 13 bis 17, wobei: die Kerngruppe eine Mehrzahl von Metallionen aufweist, eine erste Teilmenge der Metallionen jeweils einen ersten Liganden hat, der an diese gebunden ist, und eine zweite Teilmenge der Metallionen jeweils einen zweiten Liganden hat, der an diese gebunden ist.
  19. Verfahren nach einem der Ansprüche 13 bis 18, wobei der eine oder die mehreren ersten Liganden an die Kerngruppe gemäß chemischen Formeln gebunden sind, die aus der folgenden Gruppe gewählt sind:
    Figure DE102019133965A1_0030
    Figure DE102019133965A1_0031
  20. Verfahren nach einem der Ansprüche 13 bis 19, wobei der eine oder die mehreren zweiten Liganden an die Kerngruppe gemäß chemischen Formeln gebunden sind, die aus der folgenden Gruppe gewählt sind:
    Figure DE102019133965A1_0032
DE102019133965.0A 2019-08-28 2019-12-11 Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit Pending DE102019133965A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962892666P 2019-08-28 2019-08-28
US62/892,666 2019-08-28
US16/681,610 2019-11-12
US16/681,610 US11681221B2 (en) 2019-08-28 2019-11-12 EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands

Publications (1)

Publication Number Publication Date
DE102019133965A1 true DE102019133965A1 (de) 2021-03-04

Family

ID=74565321

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019133965.0A Pending DE102019133965A1 (de) 2019-08-28 2019-12-11 Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit

Country Status (4)

Country Link
US (1) US20230305396A1 (de)
KR (1) KR102401315B1 (de)
DE (1) DE102019133965A1 (de)
TW (1) TWI741763B (de)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150056542A1 (en) * 2013-08-22 2015-02-26 Inpria Corporation Organometallic solution based high resolution patterning compositions
US20180315617A1 (en) * 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180054509A (ko) * 2015-04-22 2018-05-24 알렉스 필립 그레이엄 로빈손 감도 강화 포토레지스트
WO2017198418A1 (en) * 2016-05-19 2017-11-23 Asml Netherlands B.V. Resist compositions
EP3564752A4 (de) * 2016-12-28 2020-08-26 JSR Corporation Strahlungsempfindliche zusammensetzung, strukturbildungsverfahren und metalloxid
KR102611177B1 (ko) * 2017-07-24 2023-12-08 제이에스알 가부시끼가이샤 극단 자외선 또는 전자선 리소그래피용 금속 함유막 형성 조성물, 극단 자외선 또는 전자선 리소그래피용 금속 함유막 및 패턴 형성 방법
US20200257195A1 (en) * 2017-09-29 2020-08-13 The School Corporation Kansai University Composition for lithography, pattern formation method, and compound
KR102226068B1 (ko) * 2017-12-19 2021-03-09 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102296818B1 (ko) * 2018-12-26 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물, 및 이를 이용한 패턴 형성 방법
US11681221B2 (en) * 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150056542A1 (en) * 2013-08-22 2015-02-26 Inpria Corporation Organometallic solution based high resolution patterning compositions
US20180315617A1 (en) * 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof

Also Published As

Publication number Publication date
TW202111430A (zh) 2021-03-16
US20230305396A1 (en) 2023-09-28
KR20210028055A (ko) 2021-03-11
KR102401315B1 (ko) 2022-05-24
TWI741763B (zh) 2021-10-01

Similar Documents

Publication Publication Date Title
DE102015110459B4 (de) EUV-Maske und Herstellungsverfahren mit deren Verwendung
DE102017122398B4 (de) Verfahren zur feuchtesteuerung bei der euv-lithografie und halbleiter-fertigungsanlage
DE102014119636B4 (de) Verfahren zum Bilden einer Pellikelstruktur
DE102015106624B4 (de) Verfahren zum Verringern einer Defekt-Druckbarkeit für eine 1D-Struktur
DE60221404T2 (de) Phasenschiebermaske für die euv-lithographie mit glatter oberfläche (damascene-struktur)
DE102018121550A1 (de) EUV-Maskenrohling, Fotomaske, welche durch ein Verwenden des EUV-Maskenrohlings hergestellt ist, Lithographievorrichtung, die die Fotomaske verwendet, und Verfahren zum Herstellen einer Halbleitervorrichtung unter Verwendung der Fotomaske
DE102018114910B4 (de) Leistungssteigerung von metallischem EUV-Resist durch Additive und entsprechende Verfahren
DE102013104390A1 (de) Verfahren für die Herstellung einer Lithografiemaske
DE10223113B4 (de) Verfahren zur Herstellung einer photolithographischen Maske
DE4430253C2 (de) Verkleinerndes Musterprojektionsgerät mit einem Raumfilter
DE102015111770B4 (de) Verfahren zur Herstellung eines Pellikels für eine EUV-Maske
DE102015108569B4 (de) Reflektierende Fotomaske und Reflexionstyp-Maskenrohling
DE102020114852A1 (de) Lithographiemaske mit amorpher abdeckschicht
DE112005002469B4 (de) Festphasenimmersionslinsenlithographie
DE102015112858B4 (de) Maske mit Mehrschichtstruktur und Herstellungsverfahren unter Verwendung einer solchen
DE102015104687B4 (de) Verfahren für einen extrem-ultraviolett-lithografie-prozess
DE102019133965A1 (de) Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit
DE102022109191A1 (de) Zwischengitterartiger absorber für extrem-ultraviolettmaske
US11681221B2 (en) EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
DE102019123605A1 (de) Verbesserte gleichförmigkeit kritischer dimensionen (cd) von fotolack-inselstrukturen unter verwendung einer phasenverschiebungswechselmaske
DE102020133281A1 (de) Euv-fotomaske und zugehörige verfahren
US9983474B2 (en) Photoresist having sensitizer bonded to acid generator
DE102021101198A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102022100087A1 (de) Extrem-ultraviolett-maske mit legierungsbasierten absorbern
CN108121152A (zh) 微影光掩模

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication