TW202111430A - 光阻劑及其使用方法 - Google Patents

光阻劑及其使用方法 Download PDF

Info

Publication number
TW202111430A
TW202111430A TW109129380A TW109129380A TW202111430A TW 202111430 A TW202111430 A TW 202111430A TW 109129380 A TW109129380 A TW 109129380A TW 109129380 A TW109129380 A TW 109129380A TW 202111430 A TW202111430 A TW 202111430A
Authority
TW
Taiwan
Prior art keywords
photoresist
core group
ligand
chemical
attached
Prior art date
Application number
TW109129380A
Other languages
English (en)
Other versions
TWI741763B (zh
Inventor
訾安仁
劉朕與
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/681,610 external-priority patent/US11681221B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202111430A publication Critical patent/TW202111430A/zh
Application granted granted Critical
Publication of TWI741763B publication Critical patent/TWI741763B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F1/00Compounds containing elements of Groups 1 or 11 of the Periodic Table
    • C07F1/10Silver compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F3/00Compounds containing elements of Groups 2 or 12 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2208Compounds having tin linked only to carbon, hydrogen and/or halogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/90Antimony compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/90Antimony compounds
    • C07F9/92Aromatic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

一種光阻劑包含核心基團,核心基團包含金屬以及附接核心基團的一個或多個第一配體,或附接核心基團一個或多個第二配體。第一配體各自具有以下結構:
Figure 109129380-A0101-11-0001-1
; 第二配體各自具有以下結構:
Figure 109129380-A0101-11-0001-2

Description

具有低活化能配體或高顯影劑溶解性配體的EUV光阻劑
半導體積體電路(integrated circuit, IC)產業歷經指數性的成長。IC材料與設計的技術進步已生產出幾代的ICs,每一代具有比前一代更小且更複雜的電路。IC產業的發展過程中,當幾何尺寸(例如可用於製程製造的最小組件(或線))變小,功能密度(例如每一晶片面積的互連裝置數目)普遍上升。這種尺度縮小的過程通常可透過提高生產效率和降低相關成本來提供收益。尺度縮小也增加IC製造與生產的複雜性。
瞭解到這些進步,IC製造與生產的類似發展是必須的。例如,執行更高解析光微影製程的需求增加。一種光微影技術係極紫外光(extreme ultraviolet, EUV)微影。EUV微影採用掃描儀,此掃描儀使用具有波長約1-100奈米(nm)的極紫外光區域的光。除了使用反射光學而不是折射光學的EUV掃描儀,例如使用反射鏡而不是透鏡,一些EUV掃描儀採用四倍(4X)縮小投影曬像,類似於一些光學掃描儀。EUV掃描儀在反射遮罩上形成的吸收層(“EUV”遮罩吸收體)上提供了期望圖案。
然而,雖然預期目的對於傳統的EUV微影普遍滿足,但並非在每個態樣都令人滿意。例如,傳統的EUV光阻劑材料尚未對於光子吸收與線寬粗糙度(line-width-roughness, LWR)進行優化。
以下揭示提供許多不同實施方式或實施例,用於實現本揭示的不同特徵。以下敘述部件與佈置的特定實施例,以簡化本揭示。這些當然僅為實施例,並且不是意欲作為限制。舉例而言,在隨後的敘述中,第一特徵在第二特徵上方或在第二特徵上的形成,可包括第一特徵及第二特徵形成為直接接觸的實施方式,亦可包括有另一特徵可形成在第一特徵及第二特徵之間,以使得第一特徵及第二特徵可不直接接觸的實施方式。此外,本揭露可能會在不同的範例中重複標號或文字。重複的目的是為了簡化及明確敘述,而非界定所討論之不同實施方式及配置間的關係。
除此之外,空間相對用語如「下面」、「下方」、「低於」、「上面」、「上方」及其他類似的用語,在此是為了方便描述圖中的一個元件或特徵和另一個元件或特徵的關係。空間相對用語除了涵蓋圖中所描繪的方位外,該用語更涵蓋裝置在使用或操作時的其他方位。也就是說,當該裝置的方位與圖式不同(旋轉90度或在其他方位)時,在本揭露中所使用的空間相對用語同樣可相應地進行解釋。
另外,當數字或數字範圍描述為「大約」、「近似」及其他類似的用語,在此是為了涵蓋描述的數字為一合理範圍區間內的數字,如所屬技術領域中的技術人員應理解在+/- 10%之內所述的數字或其他數值。例如,用語「大約5 nm」涵蓋從4.5 nm 至5.5 nm的尺寸範圍。
上述先進的光微影製程、方法和材料可用於許多應用,包含鰭式場效電晶體(FinFETs)。例如,鰭片可進行圖案化以在特徵之間產生相對接近的間隔,上述揭露非常適合於此特徵。此外,可以根據以上公開內容來加工用於形成FinFET的鰭片的間隔物,此間隔物也稱為心軸。
由於極紫外光(extreme ultraviolet, EUV)微影的能力可達到小的半導體元件,極紫外光(EUV)微影已被廣泛地使用。然而,傳統的EUV光阻劑可能仍需要改進。例如,相較於用於非EUV微影的光阻劑,用於EUV微影的光阻劑仍可具有較弱的光吸收。又例如,EUV微影通常和靈敏度與線寬粗糙度(LWR)之間的權衡關係有關。因此,傳統的EUV光阻劑設計可能需要改進。
本揭露關於一種具有新穎配體結構的EUV光阻劑。舉例來說,相較於傳統的EUV光阻劑,此配體可具有較低的活化能。又例如,相較於傳統的EUV光阻劑,此配體可具有較高顯影的溶解性。以下將詳細地討論本揭示的各種態樣。首先,EUV微影系統將參考第1圖與第2圖在以下進行討論。接下來,根據本揭露的實施例,各個添加劑的細節將參考第3圖至第12圖進行描述。
第1圖繪示了根據本揭示一些實施例之EUV微影系統10的示意圖。EUV微影系統10通常也稱為掃描儀,掃描儀配置為以相應的輻射源和曝光模式執行光微影曝光製程。EUV微影系統10的設計為藉由EUV光或EUV輻射以曝光光阻劑層。光阻劑層係對EUV光敏感的材料。EUV微影系統10採用輻射源12以產生EUV光,例如EUV光的波長範圍介於約1 nm至約100 nm之間。在一特別的實施例,輻射源12產生EUV光的波長圍繞在約13.5 nm。因此,輻射源12也稱為EUV輻射源12。
光微影系統10也採用照明裝置14。在各個實施例中,照明裝置14包含各個折射光學組件,例如單個透鏡或具有多個透鏡(區域板)的透鏡系統;或是反射光學組件(用於EUV微影系統),如單個反射鏡或具有多個反射鏡的反射鏡系統,以便將來自輻射源12的光引導到遮罩層16,特別是引導到固定於遮罩層16的遮罩18上。在本揭露的實施例中,當輻射源12產生在EUV波長範圍的光,照明裝置14採用反射光學。在一些實施例中,照明裝置14包含雙極照明組件。
在一些實施方式中,照明裝置14為可操作的,配置反光鏡以提供遮罩18適當的照射。在一實施例中,照明裝置14的反光鏡為可切換的,以反射EUV光到不同的照射位置。在一些實施方式中,照明裝置14的前一階段還可以包括另外其他可切換的反光鏡,這些可切換的反光鏡為可控制的,用照明裝置14的反光鏡以將EUV光引導到不同的照射位置。在一些實施方式中,照明裝置14的配置為提供正軸照射(on-axis illumination, ONI)給遮罩18。在一個實施例中,採用的盤狀照明裝置14的部分同調σ至多為0.3。在一些其他的實施方式中,照明裝置14配置為向遮罩18以提供離軸照射(off-axis illumination, OAI)。在一實施例中,照明裝置14為雙極照明裝置。在一些實施方式中,雙極照明裝置的部分同調σ至多為0.3。
光微影系統10也包含用以固定遮罩18的遮罩層16。在一些實施方式中,遮罩層16包含靜電夾盤(e-chuck)以固定遮罩18。這是因為氣體分子吸收了EUV光,用於EUV微影圖案的光微影系統保持在真空環境中,以避免EUV強度損失。本揭示內容中,術語「遮罩」、「光罩」及「標線片」可互換地用於指相同的用語。
在本實施例中,光微影系統10係EUV微影系統,而遮罩18係反射遮罩。提供一遮罩18的示例性結構用於說明。遮罩18包含具有合適材料的基材,例如低熱膨脹材料(low thermal expansion, LTEM)或熔融石英。在各種實施例中,LTEM包含摻有TiO2 的SiO2 或其他具有低熱膨脹的合適材料。在一些實施方式中,LTEM包含TiO2 的重量百分比5%到20%,且熱膨脹係數低於約1.0 x 10-6 /ºC。舉例而言,在一些實施方法中,LTEM摻有TiO2 的SiO2 材料具的熱膨脹係數,為每1攝氏溫度的溫度變化,其變化幅度不到十億分之60。當然,也可以使用具有等於或小於摻有TiO2 的SiO2 的熱膨脹係數的其他合適材料。
遮罩18也包含沉積在基材上的反射多層材料(multilayer, ML)。ML包含複數個薄膜對,例如鉬矽(Mo/Si)薄膜對(例如每個薄膜對中,矽層上方或下方的鉬層)。另外,ML可包含鉬鈹(Mo/Be)薄膜對,或其他可配置為高度反射EUV光的合適材料。
遮罩18可更包含設置在ML上用於保護的覆蓋層,例如釕(Ru)。遮罩18更包含沉積在ML之上的吸收層。圖案化的吸收層限定積體電路(IC)層。此外,另一反射層可沉積在ML之上,且進行圖案化以限定積體電路層,從而形成EUV相位移遮罩。
光微影系統10也包含投影光學模組或投影光學箱(projection optics box, POB) 20,將遮罩18的圖案影像到半導體基材(作為目標物26的示例)上,半導體基材固定於光微影系統10的基板28上。在各種實施方式中,POB 20具有折射光學組件(例如用於UV光微影系統)或者反射光學組件(例如用於EUV微影系統)。由POB 20收集從遮罩18引導的光,繞射進各種繞射級和攜帶限定在遮罩上的圖案影像。POB 20可包含小於一的放大率(於此在目標物上的「影像」尺寸(例如下面所討論的目標物26)小於相對應在遮罩上的「物像」尺寸)。照明裝置14與POB 20統稱為光微影系統10的光學模組。
光微影系統10也包含光瞳相位調變器22以調變從遮罩18引導的光的光學相位,使得光在投影光瞳平面24上具有相位分佈。在光學模組中,相對應於物像的傅立葉轉換(本揭露的遮罩18),具有場分佈的平面。此平面稱為投影光瞳平面。光瞳相位調變器22提供了一種機制,用於調變投影光瞳平面24上的光的光學相位。在一些實施方式中,光瞳相位調變器22包含一種機制用以調和POB 20用於相位調變的反射鏡。舉例來說,POB 20的反射鏡為可切換的,且可控制用以反射EUV光,從而調變通過POB 20的光相位。
在一些實施方式中,光瞳相位調變器22利用置於投影光瞳平面上的光瞳濾片。光瞳濾片從遮罩18濾掉EUV光的特定空間頻率成分。特別地,光瞳濾片係相位光瞳濾片,其功能是調變通過POB 20引導的光的相位分佈。然而,因為所有材料都吸收EUV光,所以相位光瞳濾片的利用在一些光微影系統(例如EUV微影系統)中受到限制。
如上所述,光微影系統10也包含基板28以固定要圖案化的目標物26,例如半導體基材。在本實施方式中,此半導體基材係半導體晶圓,例如矽晶圓或其他類型的晶圓。目標物26 (例如基材)塗佈對輻射束敏感的光阻層,例如本實施例中的EUV光。包含上述的各種組件整合在一起且可操作以執行光微影曝光製程。光微影系統10可更包含其他模組或可和其他模組整合(或耦合)在一起。
根據一些實施方式進一步描述遮罩18及其製造方法。在一些實施方式中,遮罩生產製程包含兩個操作:空白遮罩製程以及遮罩圖案化製程。空白遮罩生產製程過程中,在合適的基材上沉積合適的層(例如反射多層)來形成空白遮罩。然後遮罩圖案化製程中,對空白遮罩進行圖案化以實現積體電路(IC)層的期望設計。再將圖案化的遮罩的電路圖案(例如IC層的設計)轉移到半導體晶圓上。此圖案可透過各種光微影製程一遍又一遍地轉移到多個晶圓上。一組遮罩用於構建完整的IC。
在各種實施方式中,遮罩18包含合適的結構,例如二進制強度光罩(BIM)和遮罩相移光罩(PSM)。BIM的實施例包含吸收區域(也稱為不透明區域)和反射區域,圖案化以限定IC圖案用以轉移至目標物。在不透明區域中,存在吸收體,且入射光幾乎完全被吸收體吸收。在反射區域中,移除吸收體,而入射光被多層(ML)繞射。PSM可以是衰減PSM (AttPSM) 或是交替PSM (AltPSM)。示例的PSM包含根據IC圖案圖案化第一反射層(例如反射的ML)以及第二反射層。在一些實施例中,AttPSM通常具有吸收體2%-15%的反射率,而AltPSM通常具有比吸收體大50%的反射率。
第2圖繪示遮罩18的一個示例。遮罩18在圖式的實施例係EUV遮罩,且包含由LTEM製成的基材30。LTEM材料可包含摻有TiO2 的SiO2 和/或其他在本領域中已知的低熱膨脹材料。在一些實施方式中,為了靜電夾盤的目的,導體層32另外設置於LTEM基材30的背面下方。在一個實施例中,導體層32包含氮化鉻(CrN)。在其他的實施方式中,可能為其他合適的成分,例如含鉭材料。
EUV遮罩18包含設置於LTEM基材30之上的反射多層結構34。反射多層結構34可選擇為對選定的輻射類型/波長提供高反射率。反射多層結構34包含複數個薄膜對,例如Mo/Si薄膜對(例如每個膜對中,在矽層上方或下方的鉬層)。另外,反射多層結構34可包含Mo/Be薄膜對,或是有著折射率不同的任何材料,這些材料在EUV波長處具有高反射率。
仍然參考第2圖,EUV遮罩18也包含設置於反射多層結構34之上的覆蓋層36以避免ML氧化。在一個實施方式中,覆蓋層36包含厚度範圍從約4nm至約7nm的矽。EUV遮罩18可更包含設置於覆蓋層36之上的緩衝層38,用以在吸收層的圖案化或修復製程中,當作蝕刻停止層,這將在後面描述。緩衝層38具有與設置在其上方的吸收層不同的蝕刻特性。在各種實施例中,緩衝層38包含釕(Ru),Ru化合物如RuB、RuSi、鉻(Cr)、氧化鉻和氮化鉻。
EUV遮罩18也包含在緩衝層38之上形成吸收體層40(也稱為吸收層)。在一些實施方式中,吸收體層40吸收在遮罩上引導的EUV幅射。在各種實施例中,吸收層可由以下材料製成,氮化硼鉭(TaBN)、氧化硼鉭(TaBO)、鉻(Cr)、鐳(Ra)、或以下一個或多個合適的氧化物或氮化物(或合金)材料:錒、鐳、碲、鋅、銅和鋁。
第3圖、第10圖和第11圖繪示了根據本公開揭露之各種製造階段的半導體元件100的簡化局部剖面側視圖。參考第3圖,半導體元件100包含基材140。在一些實施方式中,基材140是摻雜p型摻雜物的矽基材,摻雜物如硼(例如p型基材)。另外,基材140可為另一種合適的半導體材料。舉例來說,基材140可為摻有n型摻雜物的矽基材,摻雜物如磷或砷(n型基材)。基材140可包含其他基本的半導體,例如鍺和鑽石。基材140可選擇地包含半導體化合物和/或半導體合金。此外,基材140可包含磊晶層 (epi layer),可以被應變以提高性能,並且可包含絕緣體上矽(SOI)結構。
在一些實施方式中,基材140實質上為導體或半導體。電阻可低於約103 Ω-m。在一些實施方式中,基材140包含金屬、金屬合金或分子式為MXa 的金屬氮化物/硫化物/硒化物/氧化矽/矽化物,其中M係金屬,X係N、S、Se、O、Si,而「a」約在0.4至2.5的範圍內。舉例來說,基材140可包含Ti、Al、Co、Ru、TiN、WN2 或TaN。
在一些其他的實施方式中,基材140包含介電材料,其介電常數從約1至約140的範圍內。在一些其他的實施方式中,基材140包含Si、金屬氧化物或化學式為MXb 的金屬氮化物,其中M係金屬或Si,X係N或O,而「b」約在0.4至2.5的範圍內。舉例來說,基材140可包含氧化矽、氮化矽、氧化鋁,氧化鉿或氧化鑭。
在基材140之上形成材料層150。可經由光微影製程而圖案化材料層150,而因此可被稱為可圖案化層。在一個實施方式中,材料層150包含介電材料,例如氧化矽或氮化矽。在另一個實施方式中,材料層150包含金屬。又在另一個實施方式中,材料層150包含半導體材料。
在一些實施方式中,材料層150具有與光阻劑不同的光學性質。舉例來說,材料層150具有不同於光阻劑的n、k或T值。在一些實施方式中,材料層150包含不同的聚合結構、酸不穩定分子、光酸產生劑(photo acid generator, PAG)、負載、淬滅體負載、發色團、交聯劑或溶劑的至少一者,其導致光阻劑具有不同的n值。在一些實施方式中,材料層150和光阻劑具有不同的抗腐蝕性。在一些實施方式中,材料層150包含抗腐蝕分子。此分子包含低大西數值結構(low onishi number structure)、雙鍵、三鍵、矽、氮化矽、Ti、TiN、Al、氧化鋁、SiON或其組合。應當理解,在其他實施方式中,基材140和材料層150可各自包含另外的合適的材料組合物。
在基材140之上形成光阻劑層160 (具體地,在材料層150之上)。舉例來說,光阻劑層160可由旋轉塗佈製程170形成。光阻劑層160包含配置用於EUV微影的金屬光阻劑材料。舉例來說,金屬光阻劑材料係對輻射源敏感,諸如以上結合第1圖所述的輻射源12。金屬光阻劑材料中的金屬成分可增加EUV敏感度。
光阻劑層160可具有單層結構或多層結構。在一種實施方式中,光阻劑層160包含抗金屬材料,抗金屬材料聚合(和/或交聯),且在抗金屬材料暴露於輻射源(例如輻射源12)之後,隨後變成不溶於顯影劑中。在一些實施方式中,顯影劑可包含:丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate, PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether, PGME)、1-乙氧基-2-丙醇(1-Ethoxy-2-propanol, PGEE)、γ-丁內酯(gamma-Butyrolactone, GBL)、環己酮(cyclohexanone, CHN)、乳酸乙酯(ethyl lactate, EL)、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺(dimethylformamide, DMF)、異丙醇(isopropyl alcohol , IPA)、四氫呋喃(tetrahydrofuran, THF)、甲基異丁基甲醇(methyl isobutyl carbinol, MIBC)、乙酸正丁酯(n-butyl acetate, nBA)、2-庚酮(2-heptanone, MAK)、丙酸異丁酯等。
在一些實施方式中,金屬光阻劑材料由/從主要的溶劑所構成。在一些實施方式中,主要的溶劑可包含丙二醇甲醚乙酸酯、丙二醇單甲醚、1-乙氧基-2-丙醇、γ-丁內酯、環己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺、異丙醇、四氫呋喃、甲基異丁基甲醇MIBC、乙酸正丁酯、2-庚酮等。
參考第4圖,在一些實施方式中,光阻劑層160的金屬光阻劑材料具有結構200。結構200可為包含被多個配體212包圍的核心基團204的微粒(例如群集)。第4圖繪示的實施方式中,虛線表示在核心基團204及配體212之間的離子鍵、共價鍵、金屬鍵或凡得瓦鍵。在許多實施方式中,核心基團204包含至少一個純金屬(例如金屬原子)、一個金屬離子、一個金屬化合物(例如金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽化物、金屬碳化物等)、一個金屬合金(例如多種金屬元素的組合)或其組合形成的金屬元素。在一些實施方式中,核心基團204包含具有高EUV吸收的一或多個金屬元素。舉例來說,核心基團204可選自由下列的金屬元素:銫(Cs)、鋇(Ba)、鑭(La)、鈰(Ce)、銦(In)、錫(Sn)、銀(Ag)、銻(Sb)或其他合適的元素。在一些實施方式中,核心基團204可為主要溶劑(例如PGMEA、PGME、PGEE等)的重量百分比約0.5%至7%。
在發生EUV微影的曝光製程以前,配體212可與核心基團204連接,以保護核心基團204避免縮合。在曝光製程或是熱處理之後,配體212可從核心基團204分開。配體212可為彼此相同或彼此不相同。在一些實施方式中,配體可包含低活化能類型的配體。在其他的實施方式中,配體可包含高顯影劑溶解性類型的配體。根據本揭示的態樣,核心基團204可具有附接在核心基團204上的一種類型的配體,或附接在核心基團204上的兩種類型的配體。舉例來說,核心基團204的金屬原子/離子的第一子集中各自可附接低活化能類型的配體,而核心基團204的金屬原子/離子的第二子集(不同於第一子集)中各自可附接高顯影劑溶解性類型的配體。這些配體的每一類型將在下面更詳細地討論。
低活化能類型的配體的一般化學結構300如下所示並且也於第5圖中繪示。
Figure 02_image001
化學結構300包含一個金屬核心(以一個圓圈包圍的M表示),金屬核心可為上述參考第4圖所討論的核心基團204的一種實施方式。舉例來說,核心基團可包含N個金屬離子,例如Sn離子。在一些實施方式中,N係介於約1和約18之間的範圍。其餘的化學結構300(例如除了金屬核心之外)相當於低活化能類型的配體,低活化能類型的配體可由化學基團L’及化學基團L連接在一起表示。在一些實施方式中,化學基團L’包含0~2個可被氫(H)或氟(F)飽和的碳原子。在一些實施方式中,化學基團L可有直鏈或支鏈結構,或環狀或非環狀結構,具有1~6個可被H或F飽和的碳原子。一些低活化能配體的化學式實施例(例如包含L’及L)列於下方且於第6圖和第7圖所示。
Figure 02_image008
Figure 02_image010
相比於傳統的EUV光阻劑,本揭示的EUV光阻劑可實現如上所述的低活化能類型的配體。這樣是有利的,因為低活化能配體允許使用了比傳統的EUV微影更低的曝光劑量。舉例來說,當EUV光阻劑進行曝光製程時,一些配體可能會破壞與金屬核心的連接或鍵結。引起這種破壞所需的能量可以稱為活化能。儘管傳統的EUV光阻劑可能需要X1的活化能量,但在此的配體允許將活化能量減少至X2,其中X2低於X1。因此,現在可以使用較小的曝光劑量或較小的曝光能量來執行EUV微影。也就是說,本揭示的低活化能配體改善了光子吸收。在一些實施方式中,曝光能量可以改善3~40%。換句話說,在此用於曝光的EUV光阻劑的曝光能量可以比用於曝光的傳統的EUV光阻劑的曝光能量小3~40%。
高顯影劑溶解性類型的配體的一般化學結構400如下所示並且也於第8圖中繪示。
Figure 02_image002
化學結構400包含金屬核心(一個圓圈包圍的M),金屬核心也可為上述參考第4圖所討論的核心基團204的一種實施方式。舉例來說,核心基團可包含N個金屬離子,例如Sn離子。在一些實施方式中,N係介於約1和約18之間。其餘的化學結構400(例如除了金屬核心之外)相當於高顯影劑溶解性類型的配體,高顯影劑溶解性類型的配體可由鍵接物單元將化學基團L”及化學基團L”’連接在一起表示。在一些實施方式中,化學基團L”包含1~6個可被氫(H)飽和的碳原子。在一些實施方式中,化學基團L”’可有直鏈或支鏈結構,或環狀或非環狀結構,具有1~6個可被H或F飽和的碳原子。鍵接物單元連接在化學基團L”和化學基團L”’之間,且可包含:C=O、-S-、-P-、-P(O2 )-、-C(=O)SH、-C(=O)OH、-OC(=O)-、-O-、-N-、-NH-、-NH2 -、-C(=O)NH、-SO2 OH、-SO2 SH、-SO-或-SO2 。一些高顯影劑溶解性配體的化學式實施例(例如包含L”、L”’及鍵接物單元)列於下方和第9圖。
Figure 02_image013
相比於傳統的EUV光阻劑,本揭示的EUV光阻劑可實現如上所述的高顯影劑溶解性類型的配體。這樣是有利的,因為在光阻劑顯影過程中,高顯影劑溶解性配體更容易被顯影液沖洗掉。因此,不需要更大的曝光劑量來改善線寬粗糙度(LWR)。也就是說,本揭示的高顯影劑溶解性配體改善了光微影性能,例如改善的LWR。在一些實施方式中,LWR可改善3~30%。換句話說,在此使用EUV光阻劑得到的圖案的LWR可以比使用傳統的EUV光阻劑得到的圖案的LWR好3~30%。
由於低活化能配體和/或高顯影劑溶解性配體的實施,本揭示的EUV光阻劑非常適合先進的EUV微影製程。舉例來說,本揭示的EUV光阻劑可用於需要達到小於約40奈米(nm)的間距的製程中。在各種實施例中,在此EUV光阻劑可用於10 nm技術節點、7 nm技術節點、5 nm技術節點或3 nm技術節點及其餘更進一步的技術節點。
參考第10圖,執行顯影製程500以圖案化光阻劑層160。在一些實施方式中,在已經執行顯影製程500之後,光阻劑層160的曝光部分將被保留,而光阻劑層160的未曝光部分會被洗掉。如上所述,顯影製程500使用的顯影劑溶液可包含:PGMEA、PGME、PGEE、GBL、CHN、EL、甲醇、乙醇、丙醇、正丁醇、丙酮、DMF、IPA、THF、MIBC、nBA、MAK、丙酸異丁酯等。因為光阻劑層160可包含高顯影劑溶解性配體,所以可更容易地使用顯影劑溶液去除光阻劑層160的未曝光區域。因此,如上所述,可改善LWR。
在任何情況下,圖案的光阻劑層160現在包含由開口510隔開的光阻劑層160的其餘部分。在隨後的製程中,圖案的光阻劑層160可用來圖案化在光阻劑層160下的材料層150。在一些實施方式中,開口510的橫向尺寸530可限定半導體元件100的臨界尺寸(critical dimension, CD)的特徵。在其他實施方式中,圖案的光阻劑層160組件的橫向尺寸540可限定半導體元件100的臨界尺寸(CD)的特徵。
參考第11圖,執行圖案化製程600以圖案化材料層150。光阻劑層160當作圖案的材料層150的遮罩。尺寸530和尺寸540轉移至材料層150。如上所述,金屬的光阻劑層160的配體讓CD的控制更加嚴格(例如達到更小的CD變化)。舉例來說,低活化能配體使用了較低的活化能,且改善了光子吸收效率。高顯影劑溶解性配體改善了圖案的光阻劑層160的LWR,進而改善了圖案的材料層150的LWR。因此,可以更好的控制尺寸530和尺寸540 (例如CDs)。
第12圖係製造半導體元件的簡化方法700的流程圖。方法700包含步驟710,塗佈光阻劑材料在基材之上。光阻劑材料包含核心基團以及附接此核心基團的一個或多個第一配體,或附接此核心基團的一個或多個第二配體。方法700包含步驟720,使用光阻劑材料以執行極紫外光(EUV)微影製程。核心基團包含金屬。
第一配體的化學結構包含:
Figure 02_image001
以及第二配體的化學結構包含:
Figure 02_image002
Figure 02_image004
代表核心基團;L’代表包含0~2個被氫(H)或氟(F)飽和的碳原子之化學基團;L代表包含1~6個被氫或氟飽和的碳原子之化學基團;L”代表包含1~6個被氫飽和的碳原子之化學基團;L”’代表包含1~6個被氫或氟飽和的碳原子之化學基團;以及鍵接物代表將L”和L”’連接在一起的化學基團。
在一些實施方式中,方法700更包含一個步驟,使用選自由下列基團所組成的溶劑建構光阻劑材料:丙二醇甲醚乙酸酯、丙二醇單甲醚、1-乙氧基-2-丙醇、γ-丁內酯、環己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺、異丙醇、四氫呋喃、甲基異丁基甲醇、乙酸正丁酯、2-庚酮。
在一些實施方式中,步驟720包含執行顯影製程,使用選自由下列基團所組成的顯影劑:丙二醇甲醚乙酸酯、丙二醇單甲醚、1-乙氧基-2-丙醇、γ-丁內酯、環己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺、異丙醇、四氫呋喃、甲基異丁基甲醇、乙酸正丁酯、2-庚酮。
在一些實施方式中,核心基團包含銫(Cs)、鋇(Ba)、鑭(La)、鈰(Ce)、銦(In)、錫(Sn)、銀(Ag)或銻(Sb)。
在一些實施方式中,鍵接物包含:C=O、-S-、-P-、-P(O2 )-、-C(=O)SH、-C(=O)OH、-OC(=O)-、-O-、-N-、-NH-、-NH2 -、-C(=O)NH、-SO2 OH、-SO2 SH、-SO-或-SO2
在一些實施方式中,核心基團包含複數個金屬離子。金屬離子的第一子集中各自具有附接的第一配體。金屬離子的第二子集中各自具有附接的第二配體。
在一些實施方式中,一個或多個第一配體根據選自由下列基團所組成的化學式附接至核心基團:
Figure 02_image008
Figure 02_image010
在一些實施方式中,一個或多個第二配體根據選自由下列基團所組成的化學式附接至核心基團:
Figure 02_image013
應當理解,在第12圖的步驟710至步驟720之前、期間或之後,可執行額外的製造製程。舉例來說,方法700可包含使用光阻劑材料在基材上形成圖案化的其他層的步驟。
基於以上討論,可以看出本揭示提供了EUV微影中的各種優點。然而,應當理解,並非所有的優點必須在此討論,其他的實施方式可提供不同的優點,且對於所有實施方式不需特定的優點。其中一個優點是較好的光子吸收。舉例來說,低活化能配體允許較低的活化能以分開在金屬核心基團和配體之間的連結/鍵結。因此,可以使用較小的曝光劑量或曝光能量。另一個優點係較好的線寬粗糙度。舉例來說,高顯影劑溶解性配體在顯影劑溶液中較容易溶解。如此,在顯影過程中應除去的光阻劑部分更容易被洗掉,這有助於改善所得的光阻劑圖案(以及要使用光阻劑圖案進行圖案化的層)的線寬粗糙度。另一個優點在於,此討論的製程與現存的製造製程兼容且容易實施。
本揭示的一種態樣屬於一種光阻劑。光阻劑包含核心基團,核心基團包含金屬以及附接此核心基團的一個或多個第一配體,或附接此核心基團的一個或多個第二配體。第一配體各自具有以下結構:
Figure 02_image001
; 第二配體各自具有以下一結構:
Figure 02_image002
Figure 02_image004
代表核心基團。L’代表包含0~2個被氫(H)或氟(F)飽和的碳原子之化學基團。L代表包含1~6個被氫或氟飽和的碳原子之化學基團。L”代表包含1~6個被氫飽和的碳原子之化學基團。L”’代表包含1~6個被氫或氟飽和的碳原子之化學基團。鍵接物代表將L”和L”’連接在一起的化學基團。
本揭示的一種態樣屬於一種光阻劑。光阻劑包含核心基團,核心基團包含金屬。光阻劑包含附接該核心基團的一第一配體,或附接該核心基團的一第二配體。第一配體具有以下化學式之一:
Figure 02_image008
Figure 02_image010
; 第二配體具有以下化學式之一:
Figure 02_image013
;在一些實施方式中,光阻劑係極紫外光(EUV)光阻劑。
而本揭露的另一種態樣屬於一種方法。此方法包含塗佈光阻劑材料於基材之上。光阻劑材料包含核心基團和附接至核心基團的一個或多個第一配體,或附接核心基團的一個或多個第二配體。方法包含使用光阻劑材料執行極紫外光(EUV)微影製程。核心基團包含金屬。第一配體的化學結構包含:
Figure 02_image001
; 第二配體的一化學結構包含:
Figure 02_image002
Figure 02_image004
代表核心基團。L’代表包含0~2個被氫(H)或氟(F)飽和的碳原子之化學基團。L代表包含1~6個被氫或氟飽和的碳原子之化學基團。L”代表包含1~6個被氫飽和的碳原子之化學基團。L”’代表包含1~6個被氫或氟飽和的碳原子之化學基團。鍵接物代表將L”和L”’連接在一起的化學基團。
前述內容概述了若干實施方式和實施例之特徵,使得本領域技術人員可以更好地理解本揭示的各方面。本領域技術人員應理解,他們可以容易地將本揭示用於執行本文所介紹之實施方式相同目的及/或達成相同優點的其他製程及結構之基礎。本領域技術人員也應同時認識到,這樣的等效構造不偏離本揭示的精神和範疇,並且在不偏離本揭示的精神和範疇的情況下於本文中進行各種變化、替換及變更。
10:光微影系統 12:輻射源 14:照明裝置 16:遮罩層 18:遮罩 20:投影光學箱 22:光瞳相位調變器 24:投影光瞳平面 26:目標物 28:基板 30: LTEM基材 32:導體層 34:反射多層結構 36:覆蓋層 38:緩衝層 40:吸收體層 100:半導體元件 140:基材 150:材料層 160:光阻劑層 170:旋轉塗佈置製程 200:結構 204:核心基團 212:配體 300, 400:化學結構 500:顯影製程 510:開口 530, 540:尺寸 600:圖案化製程 700:方法 710, 720:步驟
當結合隨附圖式進行閱讀時,本揭示之詳細描述將能被充分地理解。應注意,根據業界標準實務,各特徵並非按比例繪製且僅用於圖示目的。事實上,出於論述清晰之目的,各特徵之尺寸可任意增加或減小。 第1圖繪示了根據本揭示的一些實施方式之光微影系統的示意圖。 第2圖繪示了根據本揭示的一些實施方式之EUV遮罩的剖面圖。 第3圖繪示了根據本揭示的一些實施方式之製造階段的半導體元件的局部剖面側視圖。 第4圖繪示了根據本揭示的一些實施方式之光阻劑結構的示意圖。 第5-9圖繪示了根據本揭示的一些實施方式之光阻劑的化學式部分。 第10-11圖繪示了根據本揭示的一些實施方式之各個製造階段的半導體元件的局部剖面側視圖。 第12圖繪示了根據本揭示的一些實施方式之製造半導體元件之方法的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
Figure 109129380-A0101-11-0003-7
200:結構
204:核心基團
212:配體

Claims (20)

  1. 一種光阻劑,包含: 一核心基團,包含金屬;以及 附接該核心基團的一個或多個第一配體,或附接該核心基團的一個或多個第二配體; 其中: 該第一配體各自具有以下一結構:
    Figure 03_image001
    ; 該第二配體各自具有以下一結構:
    Figure 03_image002
    Figure 03_image004
    代表該核心基團; L’代表包含0~2個被氫或氟飽和的碳原子之一化學基團; L代表包含1~6個被氫或氟飽和的碳原子之一化學基團; L”代表包含1~6個被氫飽和的碳原子之一化學基團; L”’代表包含1~6個被氫或氟飽和的碳原子之一化學基團;以及 鍵接物代表將L”和L”’連接在一起的一化學基團。
  2. 如請求項1所述的光阻劑,其中該光阻劑係一極紫外光(EUV)微影光阻劑。
  3. 如請求項1所述的光阻劑,其中:該核心基團包含銫、鋇、鑭、鈰、銦、錫、銀或銻。
  4. 如請求項1所述的光阻劑,其中該核心基團包含1~18個金屬離子。
  5. 如請求項1所述的光阻劑,其中該鍵接物包含:C=O、-S-、-P-、-P(O2 )-、-C(=O)SH、-C(=O)OH、-OC(=O)-、-O-、-N-、-NH-、-NH2 -、-C(=O)NH、-SO2 OH、-SO2 SH、-SO- 或 -SO2
  6. 如請求項1所述的光阻劑,其中該核心基團具有至少一個的該第一配體以及至少一個的該第二配體附接至該核心基團。
  7. 如請求項6所述的光阻劑,其中: 該核心基團包含複數個金屬離子; 該些金屬離子的一第一子集中各自具有附接的一第一配體;以及 該些金屬離子的一第二子集中各自具有附接的一第二配體。
  8. 如請求項1所述的光阻劑,其中該一個或多個第一配體根據選自由下列基團所組成的化學式附接至該核心基團:
    Figure 03_image008
    Figure 03_image010
  9. 如請求項1所述的光阻劑,其中該一個或多個第二配體根據選自由下列基團所組成的化學式附接至該核心基團:
    Figure 03_image013
  10. 一種光阻劑,包含: 一核心基團,包含金屬;以及 附接該核心基團的一第一配體,或附接該核心基團的一第二配體; 其中: 該第一配體具有以下化學式之一:
    Figure 03_image008
    Figure 03_image010
    ; 該第二配體具有以下化學式之一:
    Figure 03_image013
    ; 其中該光阻劑係一極紫外光(EUV)光阻劑。
  11. 如請求項10所述的光阻劑,其中:該核心基團包含銫、鋇、鑭、鈰、銦、錫、銀或銻。
  12. 如請求項10所述的光阻劑,其中: 該核心基團包含複數個金屬離子; 該第一配體附接至該些金屬離子中的第一個;以及 該第二配體附接至該些金屬離子中的第二個。
  13. 一種方法,包含: 塗佈一光阻劑材料於一基材之上,該光阻劑材料包含一核心基團和附接至該核心基團的一個或多個第一配體,或一核心基團和附接該核心基團的一個或多個第二配體;以及 使用該光阻劑材料執行一極紫外光(EUV)微影製程; 其中: 該核心基團包含金屬; 該第一配體的一化學結構包含:
    Figure 03_image001
    ; 該第二配體的一化學結構包含:
    Figure 03_image002
    Figure 03_image004
    代表該核心基團; L’代表包含0~2個被氫或氟飽和的碳原子之一化學基團; L代表包含1~6個被氫或氟飽和的碳原子之一化學基團; L”代表包含1~6個被氫飽和的碳原子之一化學基團; L”’代表包含1~6個被氫或氟飽和的碳原子之一化學基團;以及 鍵接物代表將L”和L”’連接在一起的一化學基團。
  14. 如請求項13所述的方法,更包含:使用選自由下列基團所組成的一溶劑建構該光阻劑材料:丙二醇甲醚乙酸酯、丙二醇單甲醚、1-乙氧基-2-丙醇、γ-丁內酯、環己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺、異丙醇、四氫呋喃、甲基異丁基甲醇、乙酸正丁酯、2-庚酮。
  15. 如請求項13所述的方法,其中執行該EUV微影製程包含使用選自由下列基團所組成的一顯影劑執行一顯影製程:丙二醇甲醚乙酸酯、丙二醇單甲醚、1-乙氧基-2-丙醇、γ-丁內酯、環己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺、異丙醇、四氫呋喃、甲基異丁基甲醇、乙酸正丁酯、2-庚酮。
  16. 如請求項13所述的方法,其中:該核心基團包含銫、鋇、鑭、鈰、銦、錫、銀或銻。
  17. 如請求項13所述的方法,其中該鍵接物包含:C=O、-S-、-P-、-P(O2 )-、-C(=O)SH、-C(=O)OH、-OC(=O)-、-O-、-N-、-NH-、-NH2 -、-C(=O)NH、-SO2 OH、-SO2 SH、-SO-或-SO2
  18. 如請求項13所述的方法,其中: 該核心基團包含複數個金屬離子; 該些金屬離子的一第一子集中各自具有附接的一第一配體;以及 該些金屬離子的一第二子集中各自具有附接的一第二配體。
  19. 如請求項13所述的方法,其中該一個或多個第一配體根據選自由該基團組成的化學式附接至該核心基團:
    Figure 03_image008
    Figure 03_image010
  20. 如請求項13所述的方法,其中該一個或多個第二配體根據選自由該基團組成的化學式附接至該核心基團:
    Figure 03_image013
TW109129380A 2019-08-28 2020-08-27 光阻劑及其使用方法 TWI741763B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962892666P 2019-08-28 2019-08-28
US62/892,666 2019-08-28
US16/681,610 2019-11-12
US16/681,610 US11681221B2 (en) 2019-08-28 2019-11-12 EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands

Publications (2)

Publication Number Publication Date
TW202111430A true TW202111430A (zh) 2021-03-16
TWI741763B TWI741763B (zh) 2021-10-01

Family

ID=74565321

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129380A TWI741763B (zh) 2019-08-28 2020-08-27 光阻劑及其使用方法

Country Status (4)

Country Link
US (1) US20230305396A1 (zh)
KR (1) KR102401315B1 (zh)
DE (1) DE102019133965A1 (zh)
TW (1) TWI741763B (zh)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
CN107548473A (zh) * 2015-04-22 2018-01-05 亚历克斯·菲利普·格雷厄姆·罗宾逊 灵敏度增强的光致抗蚀剂
US20190129301A1 (en) * 2016-05-19 2019-05-02 Asml Netherlands B.V. Resist compositions
WO2018123537A1 (ja) * 2016-12-28 2018-07-05 Jsr株式会社 感放射線性組成物、パターン形成方法及び金属酸化物
US10741410B2 (en) * 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
WO2019021975A1 (ja) * 2017-07-24 2019-01-31 Jsr株式会社 極端紫外線又は電子線リソグラフィー用金属含有膜形成組成物、極端紫外線又は電子線リソグラフィー用金属含有膜及びパターン形成方法
KR20200054998A (ko) * 2017-09-29 2020-05-20 더 스쿨 코포레이션 칸사이 유니버시티 리소그래피용 조성물, 패턴 형성방법, 및 화합물
KR102226068B1 (ko) * 2017-12-19 2021-03-09 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102296818B1 (ko) * 2018-12-26 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물, 및 이를 이용한 패턴 형성 방법
US11681221B2 (en) * 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands

Also Published As

Publication number Publication date
KR102401315B1 (ko) 2022-05-24
US20230305396A1 (en) 2023-09-28
TWI741763B (zh) 2021-10-01
KR20210028055A (ko) 2021-03-11
DE102019133965A1 (de) 2021-03-04

Similar Documents

Publication Publication Date Title
CN108807150B (zh) 半导体装置的制作方法
TWI701505B (zh) 光阻材料與其形成方法及微影方法
US11307504B2 (en) Humidity control in EUV lithography
US8663878B2 (en) Mask and method for forming the same
US10859902B2 (en) Lithography mask and method
US10353285B2 (en) Pellicle structures and methods of fabricating thereof
US11630386B2 (en) Reflective mask and fabricating method thereof
US11681221B2 (en) EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
TWI741763B (zh) 光阻劑及其使用方法
US9983474B2 (en) Photoresist having sensitizer bonded to acid generator
US20230259024A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography
US11914301B2 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography