TWI701505B - 光阻材料與其形成方法及微影方法 - Google Patents
光阻材料與其形成方法及微影方法 Download PDFInfo
- Publication number
- TWI701505B TWI701505B TW108111457A TW108111457A TWI701505B TW I701505 B TWI701505 B TW I701505B TW 108111457 A TW108111457 A TW 108111457A TW 108111457 A TW108111457 A TW 108111457A TW I701505 B TWI701505 B TW I701505B
- Authority
- TW
- Taiwan
- Prior art keywords
- photoresist
- chemical formula
- following
- generator
- extreme ultraviolet
- Prior art date
Links
- 229920002120 photoresistant polymer Polymers 0.000 title claims abstract description 142
- 239000000463 material Substances 0.000 title claims abstract description 81
- 238000000034 method Methods 0.000 title claims abstract description 65
- 238000001459 lithography Methods 0.000 title claims description 12
- 238000004519 manufacturing process Methods 0.000 title description 12
- 239000000654 additive Substances 0.000 claims abstract description 51
- 238000001900 extreme ultraviolet lithography Methods 0.000 claims abstract description 44
- 239000002904 solvent Substances 0.000 claims abstract description 31
- 239000002253 acid Substances 0.000 claims abstract description 28
- 238000009835 boiling Methods 0.000 claims abstract description 21
- 229910052751 metal Inorganic materials 0.000 claims description 76
- 239000002184 metal Substances 0.000 claims description 75
- 239000000126 substance Substances 0.000 claims description 74
- 239000000203 mixture Substances 0.000 claims description 33
- 239000002585 base Substances 0.000 claims description 23
- 230000032683 aging Effects 0.000 claims description 21
- 125000002091 cationic group Chemical group 0.000 claims description 19
- 239000003446 ligand Substances 0.000 claims description 19
- 239000003795 chemical substances by application Substances 0.000 claims description 18
- 239000003431 cross linking reagent Substances 0.000 claims description 16
- 238000010791 quenching Methods 0.000 claims description 16
- 230000000171 quenching effect Effects 0.000 claims description 16
- 150000001450 anions Chemical class 0.000 claims description 15
- 125000000129 anionic group Chemical group 0.000 claims description 10
- 125000000524 functional group Chemical group 0.000 claims description 10
- 150000008065 acid anhydrides Chemical class 0.000 claims description 9
- 230000000996 additive effect Effects 0.000 claims description 9
- 150000001408 amides Chemical class 0.000 claims description 9
- 150000002148 esters Chemical class 0.000 claims description 9
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 9
- 125000002924 primary amino group Chemical class [H]N([H])* 0.000 claims description 9
- 125000000467 secondary amino group Chemical class [H]N([*:1])[*:2] 0.000 claims description 9
- 150000003553 thiiranes Chemical class 0.000 claims description 9
- 239000003513 alkali Substances 0.000 claims description 7
- 125000005647 linker group Chemical group 0.000 claims description 7
- 125000000217 alkyl group Chemical group 0.000 claims description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 5
- 229910052799 carbon Inorganic materials 0.000 claims description 5
- 238000006303 photolysis reaction Methods 0.000 claims description 5
- 230000015843 photosynthesis, light reaction Effects 0.000 claims description 5
- 125000003545 alkoxy group Chemical group 0.000 claims description 4
- 125000002877 alkyl aryl group Chemical group 0.000 claims description 3
- 125000003118 aryl group Chemical group 0.000 claims description 3
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 claims description 3
- 125000000753 cycloalkyl group Chemical group 0.000 claims description 3
- 229910052736 halogen Inorganic materials 0.000 claims description 3
- 150000002367 halogens Chemical class 0.000 claims description 3
- 125000001072 heteroaryl group Chemical group 0.000 claims description 3
- 229910052739 hydrogen Inorganic materials 0.000 claims description 3
- 239000001257 hydrogen Substances 0.000 claims description 3
- 125000000020 sulfo group Chemical group O=S(=O)([*])O[H] 0.000 claims description 3
- 125000000472 sulfonyl group Chemical group *S(*)(=O)=O 0.000 claims description 3
- 238000000354 decomposition reaction Methods 0.000 claims description 2
- 239000004593 Epoxy Substances 0.000 claims 6
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims 4
- 125000002837 carbocyclic group Chemical group 0.000 claims 4
- 150000002431 hydrogen Chemical class 0.000 claims 2
- 239000004971 Cross linker Substances 0.000 abstract description 7
- 206010034972 Photosensitivity reaction Diseases 0.000 abstract 1
- 230000036211 photosensitivity Effects 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 97
- 239000000758 substrate Substances 0.000 description 37
- 239000004065 semiconductor Substances 0.000 description 31
- 230000003287 optical effect Effects 0.000 description 22
- 210000001747 pupil Anatomy 0.000 description 15
- 230000005855 radiation Effects 0.000 description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 12
- 239000010703 silicon Substances 0.000 description 12
- 235000012431 wafers Nutrition 0.000 description 10
- 230000010363 phase shift Effects 0.000 description 9
- 238000010521 absorption reaction Methods 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 239000006096 absorbing agent Substances 0.000 description 7
- 150000001768 cations Chemical class 0.000 description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N nitrogen Substances N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- 229910052814 silicon oxide Inorganic materials 0.000 description 6
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 5
- 230000018109 developmental process Effects 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 5
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910052750 molybdenum Inorganic materials 0.000 description 4
- 239000011733 molybdenum Substances 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 238000002310 reflectometry Methods 0.000 description 4
- 229910052707 ruthenium Inorganic materials 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- DNIAPMSPPWPWGF-UHFFFAOYSA-N Propylene glycol Chemical compound CC(O)CO DNIAPMSPPWPWGF-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 125000003700 epoxy group Chemical group 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 2
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical group [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000002238 attenuated effect Effects 0.000 description 2
- CXOWYMLTGOFURZ-UHFFFAOYSA-N azanylidynechromium Chemical compound [Cr]#N CXOWYMLTGOFURZ-UHFFFAOYSA-N 0.000 description 2
- 229910052790 beryllium Inorganic materials 0.000 description 2
- 125000004432 carbon atom Chemical group C* 0.000 description 2
- 229910052804 chromium Inorganic materials 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 238000005286 illumination Methods 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 229910021645 metal ion Inorganic materials 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000001301 oxygen Chemical group 0.000 description 2
- 229910052705 radium Inorganic materials 0.000 description 2
- HCWPIIXVSYCSAN-UHFFFAOYSA-N radium atom Chemical compound [Ra] HCWPIIXVSYCSAN-UHFFFAOYSA-N 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 229910052718 tin Inorganic materials 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- -1 tungsten nitride Chemical class 0.000 description 2
- DNIAPMSPPWPWGF-VKHMYHEASA-N (+)-propylene glycol Chemical compound C[C@H](O)CO DNIAPMSPPWPWGF-VKHMYHEASA-N 0.000 description 1
- YPFDHNVEDLHUCE-UHFFFAOYSA-N 1,3-propanediol Substances OCCCO YPFDHNVEDLHUCE-UHFFFAOYSA-N 0.000 description 1
- LAVARTIQQDZFNT-UHFFFAOYSA-N 1-(1-methoxypropan-2-yloxy)propan-2-yl acetate Chemical compound COCC(C)OCC(C)OC(C)=O LAVARTIQQDZFNT-UHFFFAOYSA-N 0.000 description 1
- RZXAHVCTRLTLNA-UHFFFAOYSA-N 2-(2-methoxypropoxy)-1-propoxypropane Chemical compound CCCOCC(C)OCC(C)OC RZXAHVCTRLTLNA-UHFFFAOYSA-N 0.000 description 1
- WAEVWDZKMBQDEJ-UHFFFAOYSA-N 2-[2-(2-methoxypropoxy)propoxy]propan-1-ol Chemical compound COC(C)COC(C)COC(C)CO WAEVWDZKMBQDEJ-UHFFFAOYSA-N 0.000 description 1
- FQMIAEWUVYWVNB-UHFFFAOYSA-N 3-prop-2-enoyloxybutyl prop-2-enoate Chemical compound C=CC(=O)OC(C)CCOC(=O)C=C FQMIAEWUVYWVNB-UHFFFAOYSA-N 0.000 description 1
- FIHBHSQYSYVZQE-UHFFFAOYSA-N 6-prop-2-enoyloxyhexyl prop-2-enoate Chemical compound C=CC(=O)OCCCCCCOC(=O)C=C FIHBHSQYSYVZQE-UHFFFAOYSA-N 0.000 description 1
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 description 1
- 229910052582 BN Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- YYLLIJHXUHJATK-UHFFFAOYSA-N Cyclohexyl acetate Chemical compound CC(=O)OC1CCCCC1 YYLLIJHXUHJATK-UHFFFAOYSA-N 0.000 description 1
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- BUGBHKTXTAQXES-UHFFFAOYSA-N Selenium Chemical group [Se] BUGBHKTXTAQXES-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical group [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- UCKMPCXJQFINFW-UHFFFAOYSA-N Sulphide Chemical compound [S-2] UCKMPCXJQFINFW-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- WGLPBDUCMAPZCE-UHFFFAOYSA-N Trioxochromium Chemical compound O=[Cr](=O)=O WGLPBDUCMAPZCE-UHFFFAOYSA-N 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- SLYSCVGKSGZCPI-UHFFFAOYSA-N [B]=O.[Ta] Chemical compound [B]=O.[Ta] SLYSCVGKSGZCPI-UHFFFAOYSA-N 0.000 description 1
- 229910052767 actinium Inorganic materials 0.000 description 1
- QQINRWTZWGJFDB-UHFFFAOYSA-N actinium atom Chemical compound [Ac] QQINRWTZWGJFDB-UHFFFAOYSA-N 0.000 description 1
- 125000002723 alicyclic group Chemical group 0.000 description 1
- 150000001336 alkenes Chemical class 0.000 description 1
- 125000002947 alkylene group Chemical group 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 125000000732 arylene group Chemical group 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- ATBAMAFKBVZNFJ-UHFFFAOYSA-N beryllium atom Chemical compound [Be] ATBAMAFKBVZNFJ-UHFFFAOYSA-N 0.000 description 1
- XTDAIYZKROTZLD-UHFFFAOYSA-N boranylidynetantalum Chemical compound [Ta]#B XTDAIYZKROTZLD-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000001273 butane Substances 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910000423 chromium oxide Inorganic materials 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- UYAAVKFHBMJOJZ-UHFFFAOYSA-N diimidazo[1,3-b:1',3'-e]pyrazine-5,10-dione Chemical compound O=C1C2=CN=CN2C(=O)C2=CN=CN12 UYAAVKFHBMJOJZ-UHFFFAOYSA-N 0.000 description 1
- NKDDWNXOKDWJAK-UHFFFAOYSA-N dimethoxymethane Chemical compound COCOC NKDDWNXOKDWJAK-UHFFFAOYSA-N 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 125000001033 ether group Chemical group 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 125000001183 hydrocarbyl group Chemical group 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 239000011777 magnesium Substances 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- GALOTNBSUVEISR-UHFFFAOYSA-N molybdenum;silicon Chemical compound [Mo]#[Si] GALOTNBSUVEISR-UHFFFAOYSA-N 0.000 description 1
- 150000002892 organic cations Chemical class 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 229920000166 polytrimethylene carbonate Polymers 0.000 description 1
- 229940116423 propylene glycol diacetate Drugs 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 150000003304 ruthenium compounds Chemical class 0.000 description 1
- 229910052711 selenium Inorganic materials 0.000 description 1
- 239000011669 selenium Chemical group 0.000 description 1
- 150000003346 selenoethers Chemical class 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000011593 sulfur Chemical group 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229910052714 tellurium Inorganic materials 0.000 description 1
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 238000000233 ultraviolet lithography Methods 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
- 239000011701 zinc Substances 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
- G03F7/0043—Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0045—Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0046—Photosensitive materials with perfluoro compounds, e.g. for dry lithography
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0047—Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0048—Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70008—Production of exposure light, i.e. light sources
- G03F7/70033—Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Ceramic Engineering (AREA)
- Metallurgy (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Materials For Photolithography (AREA)
Abstract
形成光阻層於晶圓上。光阻層包括金屬光阻材料與一或多種添加劑。採用光阻層進行極紫外線微影製程。一或多種添加劑包括:沸點高於150℃的溶劑、光酸產生劑、光鹼產生劑、淬息劑、光分解鹼、熱酸產生劑、或光敏交聯劑。
Description
本發明關於光阻材料,更特別關於其添加劑。
半導體積體電路產業經歷快速成長。積體電路材料與設計的技術進步,使新一代的積體電路具有更小且更複雜的電路。新一代的積體電路的功能密度(比如固定晶片面積中的內連線元件數目)通常隨著尺寸(比如製程形成的最小構件或連線)縮小而增加。製程尺寸縮小往往有利於增加製程效率並降低相關成本,但亦增加製程複雜度。
為實現上述進展,積體電路製程需要類似發展。舉例來說,對更高解析度的微影製程之需求成長。微影技術之一為極紫外線微影。極紫外線採用之掃描機所用的光位於極紫外線區,其波長介於約1nm至100nm之間。除非極紫外線掃描機採用反射式而非折射式(比如反射鏡而非透鏡),某些極紫外線掃描機可提供4倍縮小的投影晒印(與某些光學掃描機類似)。極紫外線掃描機提供所需的圖案至形成於反射光罩上的吸收層(極紫外線光罩吸收物)上。
雖然極紫外線微影越來越普及,但習知的極紫外線微影仍具有缺點。舉例來說,金屬型光阻可用於極紫外線微影。然而習知的金屬型極紫外線光阻可能具有問題(如老化與關鍵尺寸控制)。
因此習知的極紫外線微影通常適用於特定目的,而無法滿足所有
領域。
本發明一實施例提供之光阻材料,包括:金屬光阻材料,用於極紫外線微影;以及添加劑,其中添加劑包括:沸點高於150℃的溶劑、光酸產生劑、光鹼產生劑、淬息劑、光分解鹼、熱酸產生劑、或光敏交聯劑。
本發明一實施例提供之微影方法,包括:形成光阻層於晶圓上,其中光阻層包括金屬光阻材料與一或多種添加劑;以及進行極紫外線微影製程,其採用該阻層,其中添加劑包括:沸點高於150℃的溶劑、光酸產生劑、光鹼產生劑、淬息劑、光分解鹼、熱產生劑、或光敏交聯劑。
本發明一實施例提供之光阻的形成方法,包括:接收含金屬的光阻;以及添加一或多種添加劑至光阻,其中添加劑包括:沸點高於150℃的溶劑、光酸產生劑、光鹼產生劑、淬息劑、光分解鹼、熱酸產生劑、或光敏交聯劑。
10:極紫外線微影系統
12:射線源
14:照射器
16:光罩站點
18:光罩
20:投影光學盒
22:瞳狀相位調整器
24:投影瞳面
26:半導體基板
28:基板站點
30:基板
32:導電層
34:多層結構
36:蓋層
38:緩衝層
40:吸收層
100:半導體裝置
140:基板
150:材料層
160:光阻層
170:旋轉塗佈製程
200、220:結構
204:核心基
212:配位基
310:高沸點溶劑
320A:陽離子
320B:陰離子
330:光鹼產生劑
340:淬息劑
350:光分解鹼
360:熱酸產生劑
370:光敏交聯劑
500:顯影製程
510:開口
530、540:橫向尺寸
600:圖案化製程
700、800:方法
710、720、810、820:步驟
圖1係本發明一些實施例中,微影系統的示意圖。
圖2係本發明一些實施例中,極紫外線光罩的剖視圖。
圖3係本發明一些實施例中,半導體裝置於製作階段的部份剖視圖。
圖4顯示金屬光阻的老化問題。
圖5、6A至6B、7、8、9A、9B、9C、10、與11A至11B係本發明一實施例中,可添加至金屬光阻的不同種類添加劑之化學式。
圖12與13係本發明一些實施例中,半導體裝置於多種製作階段的部份剖視圖。
圖14係本發明一些實施例中,製作半導體裝置的方法之流程圖。
圖15係本發明一些實施例中,製作半導體裝置的方法之流程圖。
下述揭露內容提供的不同實施例或實例可實施本揭露的不同結構。下述特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。另一方面,本揭露之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或組態中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/- 10%。舉例來說,用語「約5nm」包含的尺寸範圍介於4.5nm至5.5nm之間。
下述進階的微影製程、方法、與材料可用於多種應用,比如鰭狀場效電晶體。舉例來說,可圖案化鰭狀物以產生結構之間較緊密的間隔,其適於採用下述內容。此外,用於形成鰭狀場效電晶體的鰭狀物之間隔物(又稱作芯),其製程可依據本發明實施例。
極紫外線微影已廣泛應用,因其可達到小的半導體裝置尺寸。然而進行極紫外線微影的習知系統與方法仍具有缺點。舉例來說,極紫外線微影可採用金屬光阻。與習知的化學放大光阻相較,金屬光阻的優點在於解析度或
敏感性。除了這些優點之外,用於極紫外線微影中的金屬光阻仍具有缺點如老化相關的問題,及/或不良的關鍵尺寸控制。如此一來,用於目前極紫外線微影的金屬光阻仍未滿足所有方面。
本發明的發明人已發現這些添加劑可添加至極紫外線微影中的金屬光阻,以改善金屬光阻的效能。舉例來說,這些添加劑可包含但不限於高沸點溶劑、光酸產生劑、光鹼產生劑、一般淬息劑、光分解鹼、熱酸產生劑、或光敏交聯劑。這些材料並未存在用於極紫外線微影的現有金屬光阻中。此處經由添加這些添加劑,可延長金屬光阻的保存期超過一個月,且金屬光阻的關鍵尺寸控制可縮小至低於關鍵尺寸目標的10%變異。
本發明的多種實施例將進一步詳述於下。首先,極紫外線微影系統將搭配圖1與2說明如下。本發明實施例接著搭配圖3至14說明多種添加劑的細節。
圖1係一些實施例中,極紫外線微影系統10的示意圖。極紫外線微影系統10亦可稱作掃描機,其依個別的射線源與曝光模式進行微影曝光製程。極紫外線微影系統10設計為以極紫外線曝光光阻層。光阻層的材料對極紫外線敏感。極紫外線微影系統10採用射線源12以產生極紫外線,其波長介於約1nm至約100nm之間。在特定例子中,射線源12產生的極紫外線其波長中心為約13.5nm。綜上所述,射線源12亦稱作極紫外線的射線源。
極紫外線微影系統10亦採用照射器14。在多種實施例中,照射器14包含多種折射光學構件如單一透鏡或具有多個透鏡(波域片)的透鏡系統,或改用反射光學元件如單一反射鏡或具有多個反射鏡的反射鏡系統以用於極紫外線微影系統,使光自射線源12導向光罩站點16,特別是導向固定於光罩站點16上
的光罩18。在此實施例中,當射線源12產生極紫外線波長範圍的光時,照射器14採用反射光學元件。在一些實施例中,照射器14包含偶極照射構件。
在一些實施例中,可操作照射器14以設置反射鏡,以適當的照射至光罩18。在一例中,可調整照射器14的反射鏡以反射極紫外線至不同的照射位置。在一些實施例中,在照射器14前可增加站點如其他可調反射鏡,其可搭配照射器14之反射鏡使極紫外線導向不同的照射位置。在一些實施例中,照射器14係設置以提供軸上照射至光罩18。在一例中,碟狀的照射器14其部份同調值σ上限為0.3。在某些實施例中,照射器14用以提供離軸照射至光罩18。在一例中,照射器14為偶極照射器。一些實施例中的偶極照射器其部份同調值σ上限為0.3。
極紫外線微影系統10亦包含光罩站點16,其設置以固定光罩18。在一些實施例中,光罩站點16包含靜電晶圓座以固定光罩18。由於氣體分子吸收極紫外線,用於極紫外線微影圖案化之微影系統需維持於真空環境中,以避免極紫外線強度損失。
在此實施例中,極紫外線微影系統的光罩18為反射光罩。光罩18的結構之一例將描述如下。光罩18包含適當材料的基板,比如低熱膨脹材料或熔融石英。在多種例子中,低熱膨脹材料包含掺雜氧化鈦的氧化矽,或其他低熱膨脹的合適材料。在一些實施例中,低熱膨脹材料包含5wt%至20wt%的氧化鈦,且其熱膨脹係數低於約1.0×10-6/℃。舉例來說,一些實施例中的低熱膨脹材料為摻雜氧化鈦的氧化矽材料,其熱膨脹係數可低於60×10-9/℃。此外亦可採用熱膨脹係數低於或等於摻雜氧化鈦的氧化矽之其他合適材料。
光罩18亦包含反射多層沉積於基板上。多層包含多個膜對,比如
鉬-矽膜對,即每一膜對中均有一鉬層位於一矽層之上或之下。在其他實施例中,多層可包含鉬-鈹膜對,或其他對極紫外線具有高反射率的合適材料。
光罩18可進一步包含蓋層如釕於多層上以保護多層。光罩18更包含吸收層沉積於多層上。可圖案化吸收層,以定義積體電路的某一層。在其他實施例中,可沉積另一反射層於多層上,並圖案化另一反射層以定義積體電路的一層,即形成極紫外線相移光罩。
極紫外線微影系統10亦包含投影光學模組如投影光學盒20,其可將光罩18的圖案投影至極紫外線微影系統10之基板站點28所固定的半導體基板26上。在多種實施例中,投影光學盒20具有折射光學構件(用於紫外線微影系統)或反射光學構件(用於極紫外線微影系統)。投影光學盒20收集由光罩18導出的光,其具有光罩上定義的影像。投影光學盒20的放大率可小於1,即目標(如下述的半導體基板26)上的「影像」的尺寸小於光罩上對應「物件」的尺寸。照射器14與投影光學盒20統稱為極紫外線微影系統10的光學模組。
極紫外線微影系統10亦包含瞳狀相位調整器22,以調整來自光罩18的光,使其光學相位分佈於投影瞳面24上。在光學模組中,某一平面其場分佈對應物體(比如光罩18)之傅立葉轉換,此平面即稱作投影瞳面。瞳狀相位調整器22可調整投影瞳面24上的光其光學相位。在一些實施例中,瞳狀相位調整器22包含調整投影光學盒20之反射鏡以調整相位。舉例來說,可開關及控制投影光學盒20的反射鏡以反射極紫外線,進而調整穿過投影光學盒20的光其相位。
在一些實施例中,瞳狀相位調整器22採用之瞳狀濾光片位於投影瞳面上。瞳狀濾光片可濾除來自光罩18之極紫外線之特定空間頻率構件。特別的是,瞳狀濾光片為相位瞳狀濾光片,可用以調整穿過光學投影盒20之光的相
位分佈。然而所有的材料均吸收極紫外線,因此相位瞳狀濾光片僅限於一些微影系統(如極紫外線微影系統)中。
如前所述,極紫外線微影系統10亦包括基板站點28以固定即將圖案化的目標如半導體基板26。在此實施例中,半導體基板26為半導體晶圓如矽晶圓或其他類型的晶圓。在此實施例中,將對射線束(如極紫外光)敏感的光阻層塗佈至目標如半導體基板26。整合並操作上述的多種構件,以進行微影曝光製程。極紫外線微影系統10可進一步包括其他模組,或與其他模組整合(或偶接)。
一些實施例將進一步說明光罩18及其製造方法。在一些實施例中,光罩製程包括兩個步驟:空白光罩製程和光罩圖案化製程。在製作空白光罩時,沉積合適的層狀物如反射性的多層於適當基板上,以形成空白光罩。在光罩圖案化製程中,接著圖案化空白光罩以達積體電路的層狀物所需的設計。接著以圖案化的光罩將電路圖案(如積體電路的層狀物之設計)轉移至半導體晶圓上。經由多種微影製程,可將圖案反覆轉移至多個晶圓上。一組光罩可用於建構完整的積體電路。
在多種實施例中,光罩18包括合適結構如二元強度光罩和相移光罩。在一例中,圖案化二元強度光罩以定義待轉移至目標之積體電路圖案,其包含吸收區(又稱作不透明區)與反射區。不透明區中存在吸收物,且吸收物幾乎完全吸收入射光。反射區中移除吸收物,且具有多層以繞射入射光。相移光罩可為衰減式相移光罩或交替式相移光罩。在一例中,依據積體電路圖案圖案化相移光罩,且相移光罩包括第一反射層(如反射性多層)和第二反射層。在一些例子中,衰減式相移光罩其來自吸收物的反射率通常介於2%至15%之間,而交替式相移光罩其來自吸收物的反射率通常大於50%。
光罩18的一例如圖2所示。此例中的光罩18為極紫外線光罩,其包括由低熱膨脹材料組成的基板30。低熱膨脹材料可包括摻雜氧化鈦的氧化矽及/或本技術領域已知的其他低熱膨脹材料。在一些實施例中,將導電層32額外設置於低熱膨脹材料的基板30其下方的背面上,以達靜電晶圓座固定的目的。在一例中,導電層32包括氮化鉻。在其他實施例中,導電層32亦可為其他合適組成如含鉭材料。
極紫外線的光罩18包括反射性的多層結構34位於低熱膨脹材料的基板30上。多層結構34可擇以對特定射線類型/波長具有高反射率。多層結構34包括多個膜對如鉬/矽膜對,比如每個膜對中的鉬層位於矽層之上或之下。在其他實施例中,多層結構34可包括鉬/鈹膜對,或在極紫外線波長具高度反射且具折射率差異的任何材料。
同樣如圖2所示,極紫外線的光罩18亦包含蓋層36位於多層結構34上,以避免多層結構34氧化。在一實施例中,蓋層36包括厚度介於約4nm至約7nm之間的矽。極紫外線的光罩18可進一步包含緩衝層38於蓋層36上,以作為圖案化或修復吸收層時的蝕刻停止層,其將描述如下。緩衝層38之蝕刻特性不同於其上的吸收層。在多個例子中,緩衝層38包括釕化合物如硼化釕或釕矽化物、鉻、氧化鉻、或氮化鉻。
極紫外線的光罩18亦包括吸收層40於緩衝層38上。在一些實施例中,吸收層40吸收導向光罩上的極紫外線。在多種實施例中,吸收層之組成可為硼氮化鉭、硼氧化鉭、鉻、鐳、或下述金屬的合適氧化物、氮化物、或合金:錒、鐳、碲、鋅、銅、與鋁。
圖3與圖12至13係本發明實施例中,半導體裝置100於多種製作階
段中的部份剖視圖。如圖3所示,半導體裝置100包含基板140。在一些實施例中,基板140為摻雜p型摻質如硼的矽基板(比如p型基板)。另一方面,基板140可為另一合適的半導體材料。舉例來說,基板140可為摻雜n型摻質如磷或砷的矽基板(n型基板)。基板140可包含其他半導體元素如鍺與鑽石。基板140可視情況包含半導體化合物及/或半導體合金。此外,基板140可包含磊晶層、可具有應變以增進效能、或可包含絕緣層上矽結構。
在一些實施例中,基板140實質上為導體或半導體。其電阻可小於約103Ω‧m。在一些實施例中,基板140含有金屬、金屬合金、或金屬氮化物/硫化物/硒化物/氧化物/矽化物(化學式為MXa,其中M為金屬,X為氮、硫、硒、氧、或矽,且a介於約0.4至2.5之間)。舉例來說,基板140可包含鈦、鋁、鈷、釕、氮化鈦、氮化鎢、或氮化鉭。
在一些其他實施例中,基板140含有介電材料,其介電常數介於約1至約140之間。在一些其他實施例中,基板140包含矽、金屬氧化物、或金屬氮化物,其化學式為MXb,其中M為金屬或矽,X為氮或氧,且b介於約0.4至2.5之間。舉例來說,基板140可包含氧化矽、氮化矽、氧化鋁、氧化鉿、或氧化鑭。
材料層150形成於基板140上。可由微影製程圖案化材料層150,因此其亦稱作可圖案化層。在一實施例中,材料層150包含介電材料如氧化矽或氮化矽。在另一實施例中,材料層150包含金屬。在又一實施例中,材料層150包含半導體材料。
在一些實施例中,材料層150的光學特性不同於光阻。舉例來說,材料層150與光阻具有不同的n值、k值、或T值。在一些實施例中,材料層150包括至少一不同的聚合物結構、酸活性分子、光酸產生劑用量、淬息劑用量、發
色團、交聯劑、或溶劑,以與光阻具有不同的n值。在一些實施例中,材料層150與光阻具有不同的抗蝕刻性。在一些實施例中,材料層150含抗蝕刻分子。分子包含低Onishi數的結構、雙鍵、三鍵、矽、氮化矽、鈦、氮化鈦、鋁、氧化鋁、氮氧化矽、或上述之組合。應理解的是,其他實施例中的基板140與材料層150可各自包含額外的合適材料組成。
光阻層160形成於基板140上(具體而言,即形成於材料層150上)。舉例來說,光阻層160的形成方法可為旋轉塗佈製程170。光阻層160包含金屬光阻,其設置以用於極紫外線微影。舉例來說,金屬光阻材料對射線源(如搭配圖1說明的射線源12)敏感。金屬光阻材料中的金屬成份可增進對極紫外線的敏感性。
光阻層160可為單層結構或多層結構。在一實施例中,光阻層160包含金屬光阻材料,其曝光至射線源(如射線源12)的部份產生化學分解及/或極性改變後,實質上轉變為可溶於顯影劑中。另一方面,光阻層160包含金屬材料,其曝光至射線源(如射線源12)的部份產生聚合及/或交聯後,實質上轉變為不溶於顯影劑中。
如圖4所示的一些實施例,光阻層160的金屬光阻材料具有結構200。結構200可為粒子(如團簇),其包含多個配位基212圍繞核心基204。在圖4所示的實施例中,虛線指的是核心基204與配位基212之間的離子鍵、共價鍵、金屬鍵、或凡德瓦鍵。在許多實施例中,核心基204包含至少一金屬元素,其型態可為純金屬(如金屬原子)、金屬離子、金屬化合物(如金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽化物、金屬碳化物、或類似物)、金屬合金(如多種金屬元素的組合)、或上述之組合。在一些實施例中,核心基204包含的金屬元素係鋯、鑭、鎂、銅、鉭、鎢、鉿、錫、鋁、鈦、銅、鈷、或其他合適元素。在一
些實施例中,核心基204可包含金屬氧化物(如氧化鋯)或純金屬原子(如錫原子)。在一些實施例中,核心基204包含正電荷的金屬離子。
配位基212可彼此相同或不同。在一些實施例中,配位基可包含直鏈或環狀的烷基、烷氧基、羧酸、烯類、或各自具有1至12個碳原子的其他官能基。在此實施例中,結構200包含核心基204與多個配位基212組成的粒子(如團簇)。實施例不限於圖4所示的四個配位基212。
本發明的發明人發現金屬光阻材料具有老化的問題。舉例來說,隨著時間過去(如老化),金屬光阻的配位基212可能變得不穩定,而可能掉落或分離。換言之,金屬光阻材料隨著時間可能損失一或多個配位基212。掉落的配位基亦如圖4所示。如此一來,金屬光阻材料將產生化學變化。舉例來說,隨著配位基212因老化而自核心基204脫離,則可能產生較大粒子(如結構220),其包含多個(兩個或三個)核心基204與圍繞核心基204的配位基212。上述老化屬不希望的現象,且會劣化金屬光阻材料的效能。
除了老化以外,本發明的發明人亦發現與金屬光阻材料相關的另一問題,即關鍵尺寸控制。舉例來說,來自不同批次的金屬光阻材料,其關鍵尺寸可能具有無法接受的變化,進而劣化關鍵尺寸控制的問題,即使不同批次的金屬光阻材料來自相同供應商。當半導體製程進步到更小的技術節點時,關鍵尺寸控制不良可能劣化半導體裝置效能,甚至可能造成元件失效。
為克服上述老化及/或關鍵尺寸控制等問題,本發明實施例將一或多種添加劑添加至金屬光阻材料,以設置光阻層的金屬光阻材料。換言之,光阻層160包含金屬光阻材料與一或多種添加劑。添加劑實質上改善老化問題及/或關鍵尺寸控制問題,如下詳述。
如圖5所示的一些實施例,添加劑包括高沸點溶劑310。在一些實施例中,光阻層160中的高沸點溶劑310其濃度範圍介於約1%至約20%之間,比如介於約3%至約15%之間。具有特定設置濃度範圍的高沸點溶劑,可避免或減少金屬光阻材料的老化問題。在一些實施例中,高沸點溶劑310的沸點大於約150℃。
在一些實施例中,高沸點溶劑310包含乙酸環己酯、二甲氧基甲烷、丙二醇二乙酸酯、二丙二醇甲基丙基醚、二丙二醇甲基醚乙酸酯、1,4-丁二醇丙烯酸酯、1,3-丁二醇二丙烯酸酯、1,6-己二醇二丙烯酸酯、三丙二醇甲基醚、1,3-丙二醇、丙二醇、或上述之組合。在多種實施例中,高沸點溶劑310可具有下述化學組成或化學式之一(亦圖示於圖5):
如圖6A與6B所示的一些實施例,添加劑包含光酸產生劑。由於添加光酸產生劑,在曝光或熱處理後,酸將擴散至光阻層160的表面區域周圍。在一些實施例中,光阻層160中的光酸產生劑濃度介於約0.01%至約10%之間,比如
介於約1%至約10%之間。具有特定設置濃度範圍的光酸產生劑,可避免或減少金屬光阻材料的老化問題及/或關鍵尺寸控制問題。
如圖7所示的一些實施例,添加劑包含光鹼產生劑330。在一些實
施例中,光阻層160中的光鹼產生劑濃度介於約0.01%至約10%之間,比如介於約0.1%至約5%之間。具有此特定設置濃度範圍的光鹼產生劑,可避免或減少金屬光阻材料的老化問題及/或關鍵尺寸控制問題。在一些實施例中,光鹼產生劑可具有下列化學式之一:
如圖8所示的一些實施例,添加劑包含淬息劑340。在一些實施例中,光阻層160中的淬息劑濃度介於約0.01%至約10%之間,比如介於約0.1%至約5%之間。具有此特定設置濃度範圍的淬息劑,可避免或減少金屬光阻材料的老化問題及/或關鍵尺寸控制問題。在一些實施例中,淬息劑具有下述化學式之一:
在一些實施例中,添加劑包含光分解鹼350。在一些實施例中,光阻層160中的光分解鹼350其濃度介於約0.01%至約10%之間,比如介於約0.1%至約5%之間。具有此特定設置濃度範圍的光分解鹼350可避免或減少金屬光阻材料的老化問題及/或關鍵尺寸控制問題。在一些實施例中,光分解鹼350的化學式可如下(見圖9A):
在上述化學式中,R1為可具有取代基的5或更多碳原子的脂環族;X為二價連接基;Y為直鏈、支鏈、或環狀的烷撐基或芳撐基;Rf為含氟原子的碳氫基;且M+為有機陽離子或金屬陽離子。在一些實施例中,陽離子可具有下述化學式之一(亦圖示於圖9B中):
如圖10所示的一些實施例中,添加劑包含熱酸產生劑360。在一些實施例中,熱酸產生劑360占金屬光阻的約1wt%至10wt%之間。在一些實施例中,熱酸產生劑360的反應溫度為光阻層160之曝光後烘烤(曝光後烘烤將進行於後續步驟)之溫度的約±20℃之內。在一些實施例中,光阻層160中的熱酸產生劑360之濃度介於約0.01%至約10%之間。此特定設置濃度範圍中的熱酸產生劑360可避免或減少金屬光阻材料的老化問題及/或關鍵尺寸控制問題。在一些實施例中,熱酸產生劑具有下述化學式之一:
如圖11A與11B所示的一些實施例中,添加劑包含光敏交聯劑370。在一些實施例中,光阻層160中的光敏交聯積劑370之濃度介於約0.01%至約10%之間,比如介於約1%至約10%之間。具有此特定設置濃度範圍的光敏交聯劑370,可避免或減少金屬光阻材料的關鍵尺寸控制問題。在一些實施例中,淬息劑可具有下述化學式(亦圖示於圖11A中):
FG'指的是第一官能基,其為一級胺、二級胺、羥、醯胺、酯、環氧基、環硫化物、或酸酐。L3為共價鍵結FG'與磺基(-SO3-)的連接基。R2與R3可為相同或不同的含碳成份,或結合形成含碳環。R2與R3的至少一者或含碳環可取代至少一FG'。
FG'指的是第一官能基,其為一級胺、二級胺、羥、醯胺、酯、環氧基、環硫化物、或酸酐。W為羰基或磺醯基(-SO2-)。L4為共價鍵結FG'與W的
連接基。R4、R5、R6、R7、與R8各自獨立地為氫、鹵素、取代或未取代的烷基、環烷基、芳基、烷基芳基、或醚基;鹵化烷基;雜芳基;烷氧基;或上述之組合。R4、R5、R6、R7、與R8的至少一者包括的官能基係一級胺、二級胺、羥、醯胺、酯、環氧基、環硫化物、或酸酐。
如圖12所示,進行顯影製程500以圖案化光阻層160。若採用正型光阻,則顯影製程500後移除光阻層160的曝光部份,因曝光至極紫外線的部份改變光阻的化學結構,而更溶於顯影溶液中。同時保留光阻層160的未曝光部份,以形成開口510於移除部份處。另一方面,若光阻層160為負型光阻,則顯影製程500後將保留曝光部份,而移除未曝光部份。在任何狀況中,圖案化的光阻層160可在後續製程中用以圖案化其下方的材料層150。在一些實施例中,開口510的橫向尺寸530可定義半導體裝置100的結構之關鍵尺寸。在其他實施例中,圖案化的光阻層160之構件的橫向尺寸540可定義半導體裝置100的結構之關鍵尺寸。
如圖13所示,進行圖案化製程600以圖案化材料層150。光阻層160作為圖案化材料層150所用的遮罩。橫向尺寸530與540轉移至材料層150。如上所述,添加至金屬的光阻層160之多種添加劑,可縮小關鍵尺寸的控制以達更小的關鍵尺寸變異。此外,實質上可減少上述的老化問題,此亦改善光阻層160的效能。
圖14係製作半導體裝置的簡化之方法700其流程圖。方法700包含的步驟710接收含金屬的光阻。舉例來說,光阻可為極紫外線金屬光阻。在一些實施例中,金屬光阻的結構包含多個配位基圍繞核心基,如圖4所示。老化的結果為自核心基分離至少一些配位基。
方法700包含的步驟720添加一或多種添加劑至光阻。一或多種添加劑包括:沸點高於150℃的溶劑、光酸產生劑、光鹼產生劑、淬息劑、光分解鹼、熱酸產生劑、或光敏交聯劑。這些添加劑的化學式搭配圖5至11說明如上述。
在一些實施例中,可由光阻供應商形成金屬光阻以進行方法700。在其他實施例中,可由半導體廠商進行方法700,其接收供應商形成的金屬光阻(不含添加劑於其中),且半導體廠商添加一或多種添加劑至金屬光阻。
應理解的是,在圖14的步驟710至720之前、之中、或之後可具有額外製程。舉例來說,方法700在添加一或多種添加劑至光阻之後,可包含採用光阻進行極紫外線微影製程的步驟。
圖15係簡化的方法800之流程圖。方法800包括的步驟810形成光阻層於晶圓上。光阻層包含金屬光阻材料與一或多種添加劑。在一些實施例中,一或多種添加劑包括沸點大於約150℃的溶劑、光酸產生劑、光鹼產生劑、淬息劑、光分解鹼、熱酸產生劑、或光敏交聯劑。
方法800包含的步驟820採用光阻層進行極紫外線微影製程,其採用光阻層。
在一些實施例中,溶劑的化學式搭配圖5說明如上。
在一些實施例中,光酸產生劑的化學式搭配圖6A與6B說明如上。
在一些實施例中,光鹼產生劑的化學式搭配圖7說明如上。
在一些實施例中,淬息劑的化學式搭配圖8說明如上。
在一些實施例中,光分解鹼的化學式搭配圖9A至9C說明如上。
在一些實施例中,熱酸產生劑的化學式搭配圖10說明如上。
在一些實施例中,光敏交聯劑的化學式搭配圖11A與11B說明如
上。
應理解的是,可在圖15的步驟810至820之前、之中、或之後進行額外製程。舉例來說,方法800可包含半導體製程如離子佈植、沉積、蝕刻、或類似方法。這些其他製程並未詳述於此以簡化內容。
基於上述內容,可知本發明實施例提供多種優點於極紫外線微影中。然而應理解此處不必說明所有優點,其他實施例可具有不同優點,且所有實施例不需具有特定優點。優點之一為極紫外線金屬光阻的老化問題較少。藉由添加一或多種上述添加劑,可實質上減少配位基自核心結構分離的問題。如此一來,極紫外線金屬光阻(具有添加劑添加其中)與不含添加劑的習知極紫外線金屬光阻相較,可具有較長保存期(比如一個月或更長)。另一優點為較佳的關鍵尺寸控制。藉由添加一或多種上述添加劑,可將金屬材料的關鍵尺寸控制縮小至關鍵尺寸目標的10%變異中。另一優點為此處所述的製程可與現有製程流程相容,且易於實施。
本發明實施例提供材料。材料包括:金屬光阻材料,用於極紫外線微影;以及添加劑。添加劑包括:沸點高於150℃的溶劑、光酸產生劑、光鹼產生劑、淬息劑、光分解鹼、熱酸產生劑、或光敏交聯劑。
本發明實施例亦提供方法。形成光阻層於晶圓上。光阻層包括金屬光阻材料與一或多種添加劑。進行極紫外線微影製程,其採用光阻層,添加劑包括:沸點高於150℃的溶劑、光酸產生劑、光鹼產生劑、淬息劑、光分解鹼、熱酸產生劑、或光敏交聯劑。
本發明實施例更提供方法。方法包括接收含金屬的光阻;以及添加一或多種添加劑至光阻。添加劑包括:沸點高於150℃的溶劑、光酸產生劑、光鹼產生劑、淬息劑、光分解鹼、熱酸產生劑、或光敏交聯劑。
在一些實施例中,方法更包括在添加添加劑至光阻之後,採用光阻進行極紫外線微影製程。
在一些實施例中,光阻的結構包括多個配位基圍繞核心基,且老化的結果為自核心基分離至少一些配位基。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明實施例。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
700‧‧‧方法
710、720‧‧‧步驟
Claims (12)
- 如申請專利範圍第1項所述之光阻材料,其中該光敏交聯劑的化學式係下述之一者:,其中FG'為第一官能基,其為一級胺、二級胺、羥、醯胺、酯、環氧基、環硫化物、或酸酐;L3為共價鍵結FG'與磺基(-SO3-)的連接基;R2與R3可為相同或不同的含碳成份,或結合形成含碳環;R2與R3的至少一者或含碳環可取代至少一FG';,其中FG'為第一官能基,其為一級胺、二級 胺、羥、醯胺、酯、環氧基、環硫化物、或酸酐;W為羰基或磺醯基(-SO2-);L4為共價鍵結FG'與W的連接基;R4、R5、R6、R7、與R8各自獨立地為氫、鹵素、取代或未取代的烷基、環烷基、芳基、烷基芳基、或醚基;鹵化烷基;雜芳基;烷氧基;或上述之組合;R4、R5、R6、R7、與R8的至少一者包括的官能基係一級胺、二級胺、羥、醯胺、酯、環氧基、環硫化物、或酸酐。
- 如申請專利範圍第9項所述之光阻的形成方法,更包括在添加該些添加劑至該光阻之後,採用該光阻進行一極紫外線微影製程。
- 如申請專利範圍第9或10項所述之光阻的形成方法,其中該光阻的結構包括多個配位基圍繞一核心基,且老化的結果為自該核心基分離至少一些該些配位基。
- 如申請專利範圍第9或10項所述之光阻的形成方法,其中該光酸 產生劑包括一陽離子組成與一陰離子組成,其中該陽離子組成的化學式係下述之一者:
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/009,795 | 2018-06-15 | ||
US16/009,795 US11054742B2 (en) | 2018-06-15 | 2018-06-15 | EUV metallic resist performance enhancement via additives |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202001421A TW202001421A (zh) | 2020-01-01 |
TWI701505B true TWI701505B (zh) | 2020-08-11 |
Family
ID=68724508
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108111457A TWI701505B (zh) | 2018-06-15 | 2019-04-01 | 光阻材料與其形成方法及微影方法 |
Country Status (5)
Country | Link |
---|---|
US (3) | US11054742B2 (zh) |
KR (1) | KR102396016B1 (zh) |
CN (1) | CN110609442B (zh) |
DE (1) | DE102018114910B4 (zh) |
TW (1) | TWI701505B (zh) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11054742B2 (en) * | 2018-06-15 | 2021-07-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | EUV metallic resist performance enhancement via additives |
KR102628581B1 (ko) * | 2020-08-07 | 2024-01-25 | 성균관대학교산학협력단 | 포토레지스트 조성물 및 포토리소그래피 공정 |
KR102446355B1 (ko) * | 2022-02-04 | 2022-09-22 | 성균관대학교산학협력단 | 포토레지스트 조성물 |
US20240085785A1 (en) * | 2022-08-17 | 2024-03-14 | Inpria Corporation | Additives for metal oxide photoresists, positive tone development with additives, and double bake double develop processing |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2010055406A2 (en) * | 2008-11-12 | 2010-05-20 | Az Electronic Materials Usa Corp. | Coating compositions |
TW201029963A (en) * | 2008-12-01 | 2010-08-16 | Az Electronic Materials Usa | A photosensitive composition |
US20130078572A1 (en) * | 2011-09-22 | 2013-03-28 | Tokyo Ohka Kogyo Co., Ltd. | Resist composition and method of forming resist pattern |
TW201721711A (zh) * | 2015-12-10 | 2017-06-16 | 台灣積體電路製造股份有限公司 | 半導體裝置的製造方法 |
Family Cites Families (121)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100481601B1 (ko) * | 1999-09-21 | 2005-04-08 | 주식회사 하이닉스반도체 | 광산 발생제와 함께 광염기 발생제를 포함하는 포토레지스트 조성물 |
JP4148896B2 (ja) * | 2001-10-22 | 2008-09-10 | 太陽インキ製造株式会社 | 光硬化性・熱硬化性樹脂組成物 |
US6788477B2 (en) | 2002-10-22 | 2004-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus for method for immersion lithography |
US7394155B2 (en) | 2004-11-04 | 2008-07-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Top and sidewall bridged interconnect structure and method |
US7927779B2 (en) | 2005-06-30 | 2011-04-19 | Taiwan Semiconductor Manufacturing Companym, Ltd. | Water mark defect prevention for immersion lithography |
US8383322B2 (en) | 2005-08-05 | 2013-02-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Immersion lithography watermark reduction |
US7993808B2 (en) | 2005-09-30 | 2011-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | TARC material for immersion watermark reduction |
US8564759B2 (en) | 2006-06-29 | 2013-10-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for immersion lithography |
US8518628B2 (en) | 2006-09-22 | 2013-08-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Surface switchable photoresist |
US8208116B2 (en) | 2006-11-03 | 2012-06-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Immersion lithography system using a sealed wafer bath |
US8253922B2 (en) | 2006-11-03 | 2012-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Immersion lithography system using a sealed wafer bath |
US8068208B2 (en) | 2006-12-01 | 2011-11-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for improving immersion scanner overlay performance |
US8580117B2 (en) | 2007-03-20 | 2013-11-12 | Taiwan Semiconductor Manufactuing Company, Ltd. | System and method for replacing resist filter to reduce resist filter-induced wafer defects |
JP5546734B2 (ja) * | 2007-03-29 | 2014-07-09 | 東京応化工業株式会社 | 着色感光性樹脂組成物、ブラックマトリックス、カラーフィルター、及び液晶表示ディスプレイ |
US8264662B2 (en) | 2007-06-18 | 2012-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | In-line particle detection for immersion lithography |
US8003281B2 (en) | 2008-08-22 | 2011-08-23 | Taiwan Semiconductor Manufacturing Company, Ltd | Hybrid multi-layer mask |
KR101637213B1 (ko) * | 2008-12-02 | 2016-07-07 | 와코 쥰야꾸 고교 가부시키가이샤 | 광염기 발생제 |
US7862962B2 (en) | 2009-01-20 | 2011-01-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit layout design |
JP5601884B2 (ja) * | 2009-06-04 | 2014-10-08 | 富士フイルム株式会社 | 感活性光線または感放射線性樹脂組成物を用いたパターン形成方法及びパターン |
US8216767B2 (en) | 2009-09-08 | 2012-07-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning process and chemical amplified photoresist with a photodegradable base |
US8841058B2 (en) | 2010-08-03 | 2014-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photolithography material for immersion lithography processes |
US8764995B2 (en) | 2010-08-17 | 2014-07-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof |
US8323870B2 (en) | 2010-11-01 | 2012-12-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and photoresist with zipper mechanism |
US9632426B2 (en) | 2011-01-18 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | In-situ immersion hood cleaning |
US8464186B2 (en) | 2011-01-21 | 2013-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Providing electron beam proximity effect correction by simulating write operations of polygonal shapes |
US8507159B2 (en) | 2011-03-16 | 2013-08-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Electron beam data storage system and method for high volume manufacturing |
US8524427B2 (en) | 2011-04-14 | 2013-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Electron beam lithography system and method for improving throughput |
US8621406B2 (en) | 2011-04-29 | 2013-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and methods for converting planar design to FinFET design |
US9201022B2 (en) | 2011-06-02 | 2015-12-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extraction of systematic defects |
JP5601286B2 (ja) | 2011-07-25 | 2014-10-08 | 信越化学工業株式会社 | レジスト材料及びこれを用いたパターン形成方法 |
US8647796B2 (en) | 2011-07-27 | 2014-02-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoactive compound gradient photoresist |
US8601407B2 (en) | 2011-08-25 | 2013-12-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Geometric pattern data quality verification for maskless lithography |
US8473877B2 (en) | 2011-09-06 | 2013-06-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Striping methodology for maskless lithography |
US8664679B2 (en) | 2011-09-29 | 2014-03-04 | Toshiba Techno Center Inc. | Light emitting devices having light coupling layers with recessed electrodes |
US8736084B2 (en) | 2011-12-08 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for E-beam in-chip overlay mark |
US8691476B2 (en) | 2011-12-16 | 2014-04-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | EUV mask and method for forming the same |
US8732626B2 (en) | 2012-01-05 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method of circuit layout for multiple cells |
US8715890B2 (en) | 2012-01-31 | 2014-05-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor mask blanks with a compatible stop layer |
US9097978B2 (en) | 2012-02-03 | 2015-08-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus to characterize photolithography lens quality |
US8709682B2 (en) | 2012-02-08 | 2014-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mask and method for forming the mask |
US8822106B2 (en) | 2012-04-13 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Grid refinement method |
US8530121B2 (en) | 2012-02-08 | 2013-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple-grid exposure method |
US8589828B2 (en) | 2012-02-17 | 2013-11-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reduce mask overlay error by removing film deposited on blank of mask |
US8510687B1 (en) | 2012-03-01 | 2013-08-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Error diffusion and grid shift in lithography |
US8584057B2 (en) | 2012-03-01 | 2013-11-12 | Taiwan Semiconductor Manufacturing Copmany, Ltd. | Non-directional dithering methods |
US8572520B2 (en) | 2012-03-01 | 2013-10-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Optical proximity correction for mask repair |
US8589830B2 (en) | 2012-03-07 | 2013-11-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for enhanced optical proximity correction |
US8527916B1 (en) | 2012-03-14 | 2013-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dissection splitting with optical proximity correction to reduce corner rounding |
US8837810B2 (en) | 2012-03-27 | 2014-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for alignment in semiconductor device fabrication |
US8628897B1 (en) | 2012-07-05 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet lithography process and mask |
US9091930B2 (en) | 2012-04-02 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Enhanced EUV lithography system |
US8841047B2 (en) | 2012-04-02 | 2014-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet lithography process and mask |
US8741551B2 (en) | 2012-04-09 | 2014-06-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and composition of a dual sensitive resist |
US9367655B2 (en) | 2012-04-10 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Topography-aware lithography pattern check |
US8627241B2 (en) | 2012-04-16 | 2014-01-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Pattern correction with location effect |
US8631360B2 (en) | 2012-04-17 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methodology of optical proximity correction optimization |
US8877409B2 (en) | 2012-04-20 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reflective mask and method of making same |
US8677511B2 (en) | 2012-05-02 | 2014-03-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for charged particle lithography system |
US8728332B2 (en) | 2012-05-07 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of patterning small via pitch dimensions |
US8631361B2 (en) | 2012-05-29 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit design method with dynamic target point |
US8609308B1 (en) | 2012-05-31 | 2013-12-17 | Taiwan Semicondcutor Manufacturing Company, Ltd. | Smart subfield method for E-beam lithography |
US8722286B2 (en) | 2012-05-31 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Devices and methods for improved reflective electron beam lithography |
US9213234B2 (en) | 2012-06-01 | 2015-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photosensitive material and method of lithography |
US20130320451A1 (en) | 2012-06-01 | 2013-12-05 | Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") | Semiconductor device having non-orthogonal element |
US8563224B1 (en) | 2012-06-04 | 2013-10-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Data process for E-beam lithography |
US8468473B1 (en) | 2012-06-08 | 2013-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for high volume e-beam lithography |
US8762900B2 (en) | 2012-06-27 | 2014-06-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for proximity correction |
US8751976B2 (en) | 2012-06-27 | 2014-06-10 | Cheng-Lung Tsai | Pattern recognition for integrated circuit design |
US9851636B2 (en) | 2012-07-05 | 2017-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Materials and methods for improved photoresist performance |
US8745550B2 (en) | 2012-07-09 | 2014-06-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fracture aware OPC |
US20140017615A1 (en) | 2012-07-11 | 2014-01-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for resist coating and developing |
US9256133B2 (en) | 2012-07-13 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for developing process |
US8835082B2 (en) | 2012-07-31 | 2014-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for E-beam lithography with multi-exposure |
US8850366B2 (en) | 2012-08-01 | 2014-09-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for making a mask by forming a phase bar in an integrated circuit design layout |
US8679707B2 (en) | 2012-08-01 | 2014-03-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a lithography mask |
US8765330B2 (en) | 2012-08-01 | 2014-07-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Phase shift mask for extreme ultraviolet lithography and method of fabricating same |
US8828625B2 (en) | 2012-08-06 | 2014-09-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same |
US8765582B2 (en) | 2012-09-04 | 2014-07-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for extreme ultraviolet electrostatic chuck with reduced clamp effect |
US8785084B2 (en) | 2012-09-04 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for mask fabrication and repair |
US9028915B2 (en) | 2012-09-04 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a photoresist layer |
US8954899B2 (en) | 2012-10-04 | 2015-02-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contour alignment system |
US8739080B1 (en) | 2012-10-04 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mask error enhancement factor (MEEF) aware mask rule check (MRC) |
US9158209B2 (en) | 2012-10-19 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of overlay prediction |
US20140123084A1 (en) | 2012-11-01 | 2014-05-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and Method for Improving a Lithography Simulation Model |
US8906595B2 (en) | 2012-11-01 | 2014-12-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for improving resist pattern peeling |
US20140119638A1 (en) | 2012-11-01 | 2014-05-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | System, method and computer program product to evaluate a semiconductor wafer fabrication process |
US9128384B2 (en) | 2012-11-09 | 2015-09-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a pattern |
US8812999B2 (en) | 2013-01-02 | 2014-08-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system of mask data preparation for curvilinear mask patterns for a device |
US9012132B2 (en) | 2013-01-02 | 2015-04-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Coating material and method for photolithography |
US8753788B1 (en) | 2013-01-02 | 2014-06-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus of repairing a mask and a method for the same |
US8987142B2 (en) | 2013-01-09 | 2015-03-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-patterning method and device formed by the method |
US8799834B1 (en) | 2013-01-30 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company Limited | Self-aligned multiple patterning layout design |
US20140226893A1 (en) | 2013-02-11 | 2014-08-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and System for Image-Based Defect Alignment |
US8936903B2 (en) | 2013-03-09 | 2015-01-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photo-resist with floating acid |
US9690212B2 (en) | 2013-03-11 | 2017-06-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid focus-exposure matrix |
US10274839B2 (en) | 2013-03-11 | 2019-04-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Two-dimensional marks |
US8932799B2 (en) | 2013-03-12 | 2015-01-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist system and method |
US9223220B2 (en) | 2013-03-12 | 2015-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photo resist baking in lithography process |
US8984450B2 (en) | 2013-03-14 | 2015-03-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for extracting systematic defects |
US9146469B2 (en) | 2013-03-14 | 2015-09-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Middle layer composition for trilayer patterning stack |
US9054159B2 (en) | 2013-03-14 | 2015-06-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of patterning a feature of a semiconductor device |
US9053279B2 (en) | 2013-03-14 | 2015-06-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Pattern modification with a preferred position function |
US8716841B1 (en) | 2013-03-14 | 2014-05-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photolithography mask and process |
US9153478B2 (en) | 2013-03-15 | 2015-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Spacer etching process for integrated circuit design |
US9372402B2 (en) * | 2013-09-13 | 2016-06-21 | The Research Foundation For The State University Of New York | Molecular organometallic resists for EUV |
US20150234272A1 (en) * | 2014-02-14 | 2015-08-20 | Intel Corporation | Metal oxide nanoparticles and photoresist compositions |
US9529268B2 (en) | 2014-04-03 | 2016-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Systems and methods for improving pattern transfer |
US9256123B2 (en) | 2014-04-23 | 2016-02-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of making an extreme ultraviolet pellicle |
US9184054B1 (en) | 2014-04-25 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
KR101895241B1 (ko) * | 2014-09-02 | 2018-09-05 | 후지필름 가부시키가이샤 | 비화학 증폭형 레지스트 조성물, 비화학 증폭형 레지스트막, 패턴 형성 방법, 및 전자 디바이스의 제조 방법 |
JP6427590B2 (ja) * | 2014-09-30 | 2018-11-21 | 富士フイルム株式会社 | レジスト膜のパターニング用有機系処理液の製造方法、及び、レジスト膜のパターニング用有機系処理液が収容された収容容器、並びに、これらを使用したレジスト膜のパターニング用有機系処理液の保管方法、パターン形成方法、及び、電子デバイスの製造方法 |
WO2016172737A1 (en) | 2015-04-22 | 2016-10-27 | Robinson Alex Phillip Graham | Sensitivity enhanced photoresists |
US9696624B2 (en) * | 2015-07-29 | 2017-07-04 | Rohm And Haas Electronic Materials Llc | Nanoparticle-polymer resists |
KR101981081B1 (ko) * | 2015-07-29 | 2019-05-22 | 후지필름 가부시키가이샤 | 감활성광선성 또는 감방사선성 조성물과, 이 조성물을 이용한 감활성광선성 또는 감방사선성 조성물막 |
US10260154B2 (en) | 2015-08-01 | 2019-04-16 | Indian Institute Of Science Education And Research, Thiruvananthapuram (Iiser-Tvm) | Method for the synthesis of layered luminescent transition metal dichalcogenide quantum dots |
US20170059989A1 (en) * | 2015-08-24 | 2017-03-02 | A School Corporation Kansai University | Polymer compound, radiation sensitive composition and pattern forming method |
US9983474B2 (en) * | 2015-09-11 | 2018-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist having sensitizer bonded to acid generator |
EP4273625A3 (en) * | 2015-10-13 | 2024-02-28 | Inpria Corporation | Organotin oxide hydroxide patterning compositions, precursors, and patterning |
JP6651965B2 (ja) * | 2016-04-14 | 2020-02-19 | 信越化学工業株式会社 | 単量体、高分子化合物、レジスト組成物及びパターン形成方法 |
US10622211B2 (en) | 2016-08-05 | 2020-04-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-compound-removing solvent and method in lithography |
US11054742B2 (en) * | 2018-06-15 | 2021-07-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | EUV metallic resist performance enhancement via additives |
-
2018
- 2018-06-15 US US16/009,795 patent/US11054742B2/en active Active
- 2018-06-21 DE DE102018114910.7A patent/DE102018114910B4/de active Active
- 2018-08-28 CN CN201810989869.1A patent/CN110609442B/zh active Active
-
2019
- 2019-04-01 TW TW108111457A patent/TWI701505B/zh active
- 2019-06-13 KR KR1020190070165A patent/KR102396016B1/ko active IP Right Grant
-
2021
- 2021-06-30 US US17/364,020 patent/US20210325782A1/en active Pending
-
2023
- 2023-08-04 US US18/365,302 patent/US20230375924A1/en active Pending
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2010055406A2 (en) * | 2008-11-12 | 2010-05-20 | Az Electronic Materials Usa Corp. | Coating compositions |
TW201029963A (en) * | 2008-12-01 | 2010-08-16 | Az Electronic Materials Usa | A photosensitive composition |
US20130078572A1 (en) * | 2011-09-22 | 2013-03-28 | Tokyo Ohka Kogyo Co., Ltd. | Resist composition and method of forming resist pattern |
TW201721711A (zh) * | 2015-12-10 | 2017-06-16 | 台灣積體電路製造股份有限公司 | 半導體裝置的製造方法 |
Also Published As
Publication number | Publication date |
---|---|
DE102018114910B4 (de) | 2023-01-12 |
US11054742B2 (en) | 2021-07-06 |
US20210325782A1 (en) | 2021-10-21 |
DE102018114910A1 (de) | 2019-12-19 |
CN110609442B (zh) | 2022-10-28 |
KR102396016B1 (ko) | 2022-05-11 |
US20190384173A1 (en) | 2019-12-19 |
TW202001421A (zh) | 2020-01-01 |
KR20190142242A (ko) | 2019-12-26 |
CN110609442A (zh) | 2019-12-24 |
US20230375924A1 (en) | 2023-11-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI701505B (zh) | 光阻材料與其形成方法及微影方法 | |
TWI713114B (zh) | 微影光罩、微影光罩之製造方法以及進行微影製程之方法 | |
CN109521648B (zh) | Euv光刻中的湿度控制 | |
US8663878B2 (en) | Mask and method for forming the same | |
TW201839802A (zh) | 半導體裝置的製作方法 | |
US20190369484A1 (en) | Mask, method of forming the same and method of manufacturing a semiconductor device using the same | |
US11681221B2 (en) | EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands | |
US9983474B2 (en) | Photoresist having sensitizer bonded to acid generator | |
TWI741763B (zh) | 光阻劑及其使用方法 | |
CN108121152A (zh) | 微影光掩模 | |
US20230259024A1 (en) | Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography | |
TWI843085B (zh) | 光阻劑、半導體裝置之製造方法及極紫外線微影術方法 | |
US11914301B2 (en) | Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography |