US20130320451A1 - Semiconductor device having non-orthogonal element - Google Patents

Semiconductor device having non-orthogonal element Download PDF

Info

Publication number
US20130320451A1
US20130320451A1 US13/486,185 US201213486185A US2013320451A1 US 20130320451 A1 US20130320451 A1 US 20130320451A1 US 201213486185 A US201213486185 A US 201213486185A US 2013320451 A1 US2013320451 A1 US 2013320451A1
Authority
US
United States
Prior art keywords
gate structure
gate
segment
semiconductor device
interconnection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/486,185
Inventor
Chia-Chu Liu
Shiao-Chian YEH
Hong-Jang Wu
Kuei-Shun Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US13/486,185 priority Critical patent/US20130320451A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, KUEI-SHUN, WU, HONG-JANG, YEH, SHIAO-CHIAN, LIU, CHIA-CHU
Priority to CN201210382566.6A priority patent/CN103456774B/en
Priority to KR1020120131515A priority patent/KR101401747B1/en
Publication of US20130320451A1 publication Critical patent/US20130320451A1/en
Priority to US15/720,593 priority patent/US10276488B2/en
Priority to US16/397,581 priority patent/US11211323B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • interconnections between elements of a semiconductor device can take up valuable area of the layout of a semiconductor device.
  • a device and method that may reduce the layout area and provide flexible patterning of interconnections between elements of a semiconductor device.
  • FIG. 1 is a flow chart of an embodiment of a method of fabricating a semiconductor device according to one or more aspects of the present disclosure.
  • FIGS. 2-12 illustrate an embodiment of a semiconductor device according to one or more steps of the method of FIG. 1 .
  • FIGS. 13-14 illustrate another embodiment of a semiconductor device according to one or more steps of the method of FIG. 1 .
  • first and second features are formed in direct contact
  • additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.
  • Various features may be arbitrarily drawn in different scales for simplicity and clarity.
  • Illustrated in FIG. 1 is a method 100 of fabricating a semiconductor device.
  • the method 100 may be useful for fabricating a semiconductor device having at least one non-orthogonal element.
  • the non-orthogonal element is an interconnect, for example, connecting gate structures.
  • the method 100 including a non-orthogonal cut of a plurality of gate structure elements (e.g., lines or strips).
  • the term non-orthogonal as used herein may be used to describe any element or method that includes an inclined or slanted feature (e.g., not at a substantially right angle or perpendicular to a referenced feature).
  • the non-orthogonal cut may provide for a non-orthogonal end of a gate structure.
  • FIGS. 2-14 are cross-sectional and top-views of a semiconductor device 200 fabricated according to embodiments of the method 100 of FIG. 1 .
  • CMOS complementary metal-oxide-semiconductor
  • the semiconductor device 200 may include various other devices and features, such as additional transistors, bipolar junction transistors, resistors, capacitors, diodes, fuses, etc., but is simplified for a better understanding of the inventive concepts of the present disclosure.
  • the semiconductor device 200 includes a plurality of semiconductor devices (e.g., transistors), which may be interconnected.
  • the method 100 begins at block 102 where a substrate is provided.
  • the semiconductor substrate may typically be a silicon substrate.
  • the substrate may include various doping configurations depending on design requirements as is known in the art.
  • the substrate may also include other elementary semiconductors such as germanium and diamond.
  • the substrate may include a compound semiconductor and/or an alloy semiconductor.
  • the substrate may optionally include an epitaxial layer (epi layer), may be strained for performance enhancement, may include a silicon-on-insulator (SOI) structure, and/or have other suitable enhancement features.
  • the substrate may include active regions on which MOS devices can be formed. The active regions may be doped with suitable n-type or p-type dopants (impurities) to form well regions.
  • the boundaries of the active regions may be defined by isolation structures such as shallow trench isolation (STI) features. In other embodiments, other types of isolation structures are possible.
  • the substrate may include isolation features such as a shallow trench isolation (STI), field oxide, a local-oxidation of silicon (LOCOS) feature, and/or other suitable isolation features.
  • the isolation structure may be formed of silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric, combinations thereof, and/or other suitable material.
  • FIG. 2 a top view of a semiconductor device 200 having a substrate 202 is provided in FIG. 2 .
  • a cross-sectional view of a portion of the semiconductor device 200 is provided in FIG. 3 .
  • the substrate 202 includes a plurality of active regions 204 .
  • Isolation features 206 interpose the active regions 204 .
  • the isolation features 206 include STI features.
  • the method 100 then proceeds to block 104 where a plurality of gate structure elements is formed on the substrate.
  • the gate structure elements may be formed a “strips” extending substantially parallel to one another.
  • the gate structure elements may include a gate dielectric and/or a gate electrode layer.
  • one or more of the layers of the gate structure elements are sacrificial (e.g., dummy) layers.
  • the gate structure element includes a gate dielectric layer.
  • the gate dielectric layer includes a dielectric material such as silicon oxide, for example, formed by thermal oxidation or suitable deposition methods.
  • the gate dielectric layer includes a high-k dielectric layer, for example, formed by atomic layer deposition (ALD) or other suitable technique.
  • the high-k dielectric layer may include hafnium oxide (HfO 2 ).
  • the high-k dielectric layer may include other high-k dielectrics, such as TiO 2 , HfZrO, Ta 2 O 3 , HfSiO 4 , ZrO 2 , ZrSiO 2 , combinations thereof, and/or other suitable material.
  • the gate dielectric layer may include a multiple layer configuration.
  • the gate structure element may further include a gate electrode.
  • the gate electrode may be sacrificial, for example, such as formed in a replacement gate process.
  • the gate electrode layer includes polysilicon.
  • the polysilicon layer may be formed by suitable deposition processes such as, for example, low-pressure chemical vapor deposition (LPCVD) and plasma-enhanced CVD (PECVD).
  • a plurality of gate structure elements 208 are disposed on the substrate 202 .
  • the gate structure elements 208 are patterned such that they form strips.
  • the gate structure elements 208 may be formed by depositing one or more layers of the gate structure elements.
  • the layers may be patterned using suitable photolithography processes such as, for example, forming a photoresist layer, exposing the photoresist layer to a pattern, baking and developing the photoresist to form masking elements.
  • the masking elements may then be used to etch a pattern (e.g., strips) into the layers of the gate structure element (e.g., the polysilicon).
  • the plurality of gate structure elements 208 are substantially parallel to each other and extend from one active region 204 to another. While the illustrated embodiment shows the gate structure elements 208 being of substantially equal width and pitch, other embodiments are possible.
  • the method 100 then proceeds to block 106 where the gate structure elements are patterned again.
  • the patterning includes an inclined or non-orthogonal cut of at least one gate structure such that it is sectioned.
  • a gate structure element is cut such that it is provided in two sections, with an space interposing the sections.
  • the two sections of the gate structure may be collinear.
  • a plane extending from the face of the end of a segment of a gate structure element is not substantially perpendicular to or orthogonal to a plane extending along a center line of the length of the gate structure element (i.e., it is at a slant or incline with respect to the length of the gate structure element or a plane perpendicular to the length of the gate structure).
  • the face of the end of the gate structure element may be non-orthogonal to one or more of the sidewalls of the gate structure.
  • two (e.g., adjacent) gate structure elements are cut and the cut extends across the gate structures in a non-orthogonal manner.
  • the cut may extend across the gate structures at an angle other than 90 degrees, for example approximately 45 degrees from a centerline drawn along the length of the gate structure element(s).
  • adjacent gate structures are cut such that an imaginary line drawn from the ends of the gate structure elements is non-orthogonal (or inclined) with respect to a line parallel to a centerline drawn along the length of the gate structure(s).
  • one or more gate structure elements may be cut or etched such that a portion of the gate structure element is removed from the substrate.
  • a photoresist layer is deposited on the gate structure element (e.g., strip); the photoresist layer then is exposed to an inclined pattern formed on a suitable photomask, developed thereby forming a masking element on the gate structure element(s).
  • the masking elements may be used to protect regions of the gate elements while portions are etched. Other methods of patterning such as e-beam lithography are possible.
  • the patterning of block 106 may be separate and distinct from the patterning of block 104 , where the gate structure elements (e.g., strips) are formed.
  • a first and second gate structure element 208 are cut non-orthogonally or at an incline.
  • the region removed from the gate structures is denoted 402 .
  • the region 402 may be defined by photolithography methods.
  • the cut is a non-orthogonal or inclined cut as illustrated by line 404 .
  • FIG. 6 illustrates further details of an embodiment of cut gate structure elements 208 .
  • the end of the gate structure 208 is illustrated as 602 .
  • Surface 602 is inclined or non-orthogonally, for example, compared to a centerline extending along the gate structure element, denoted as line 604 .
  • the center line 604 may extend in the direction substantially parallel the gate structure elements.
  • a single end 602 (e.g., lateral face) of a cut gate structure may be substantially perpendicular to the respective center line 604 .
  • FIGS. 4 , 5 , and 6 also illustrate a non-orthogonal cut across a plurality of gate structure elements.
  • the non-orthogonal cut provides adjacent gate structure elements 208 disposed non-orthogonally to one another, or in other words, at an angle 606 with respect to the center line 604 .
  • the angle 606 may be less than approximately 90 degrees. In an embodiment, the angle 606 is approximately 45 degrees. In another embodiment, the angle 606 is between approximately 75 degrees and approximately 20 degrees.
  • the non-orthogonal cut provides a second set of adjacent gate structure elements 208 disposed non-orthogonally to one another, or in other words, at an angle 608 with respect to the center line 604 .
  • the angle 608 may be less than approximately 90 degrees.
  • the angle 608 is approximately 45 degrees. In another embodiment, the angle 608 is between approximately 75 degrees and approximately 20 degrees. While the non-orthogonal cut is illustrated as segmenting two adjacent gate structure elements, any number of gate structure elements may be segment and thus, be disposed non-orthogonally form one another.
  • the gate structure elements 208 may be sectioned using a single photolithography and/or etching process, where those processes provide a non-orthogonal sectioning of the gate structure elements.
  • spacer elements are formed on sidewalls of the gate structure elements.
  • spacer elements may be formed abutting the sidewalls of the gate structures prior to or after the formation of an associated source/drain regions (or portions thereof).
  • the spacer elements may be formed by depositing a dielectric material followed by an isotropic etching process, however other embodiments are possible.
  • the spacer elements include silicon oxide, silicon nitride, and/or other suitable dielectrics.
  • the spacer elements may include a plurality of layers.
  • the spacer elements include seal liners, main spacer wall layers, spacers defining low-dose drain (LDD) regions, and/or other suitable spacers.
  • LDD low-dose drain
  • spacer elements 702 are formed abutting the sidewalls of the gate structures 208 .
  • the spacer elements 702 may also be referred to as main spacer walls.
  • source/drain regions are formed in the active regions of the substrate associated with a gate structure element.
  • the source/drain regions may include the introduction of suitable dopant types: n-type or p-type dopants.
  • the source/drain regions may include halo or low-dose drain (LDD) implantation, source/drain implantation, source/drain activation (e.g., anneal), and/or other suitable processes.
  • the source/drain regions may include raised source/drain regions, strained regions, epitaxially-grown regions, and/or other suitable techniques.
  • the method 100 includes the silicidation of doped source/drain regions.
  • the silicide materials may include nickel silicide (NiSi), nickel-platinum silicide (NiPtSi), nickel-platinum-germanium silicide (NiPtGeSi), nickel-germanium silicide (NiGeSi), ytterbium silicide (YbSi), platinum silicide (PtSi), iridium silicide (IrSi), erbium silicide (ErSi), cobalt silicide (CoSi), other suitable conductive materials, and/or combinations thereof.
  • the silicide features can be formed by a process that includes depositing a metal layer, annealing the metal layer such that the metal layer is able to react with silicon to form silicide, and then removing the non-reacted metal layer.
  • the method 100 then proceeds to block 112 where a metal gate structure is formed using a replacement gate methodology.
  • the method 100 may include a gate-first process or other technology such that the non-orthogonal cut described above with reference to block 106 is provided on a metal gate structure or a polysilicon gate structure that remains on the substrate in the final device.
  • the method 100 includes a replacement gate process.
  • a contact etch stop layer (CESL) and/or intermediate dielectric layer (ILD) are formed on and/or interposing the plurality of gate structures.
  • Examples of materials that may be used to form CESL include silicon nitride, silicon oxide, silicon oxynitride, and/or other materials known in the art.
  • the CESL may be formed by PECVD process and/or other suitable deposition or oxidation processes.
  • the dielectric layer may include materials such as, tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials.
  • TEOS tetraethylorthosilicate
  • BPSG borophosphosilicate glass
  • FSG fused silica glass
  • PSG phosphosilicate glass
  • BSG boron doped silicon glass
  • the dielectric layer may be deposited by a PECVD process or other suitable deposition technique.
  • a planarization process is then performed to expose a top surface of a gate structure.
  • the planarization process may include a chemical mechanical planarization (CMP).
  • a sacrificial layer of the gate structures described above, for example, polysilicon, may then be removed to form a trench in which a metal gate structure may be formed.
  • a metal gate is then formed in the trench(es).
  • the metal gate may include gate dielectric layer(s), work function layer(s), capping layer(s), fill layer(s), and/or other suitable layers.
  • a work function metal layer included in the metal gate may be an n-type or p-type work function layer.
  • Exemplary p-type work function metals include TiN, TaN, Ru, Mo, Al, WN, ZrSi 2 , MoSi 2 , TaSi 2 , NiSi 2 , WN, other suitable p-type work function materials, or combinations thereof.
  • Exemplary n-type work function metals include Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function materials, or combinations thereof.
  • the work function layer may include a plurality of layers.
  • the work function layer(s) may be deposited by CVD, PVD, and/or other suitable process.
  • a dielectric layer of the metal gate structure may include a high-k dielectric layer such as hafnium oxide (HfO 2 ).
  • the high-k dielectric layer may optionally include other high-k dielectrics, such as TiO 2 , HfZrO, Ta 2 O 3 , HfSiO 4 , ZrO 2 , ZrSiO 2 , combinations thereof, or other suitable material.
  • the dielectric layer may be formed by ALD and/or other suitable methods.
  • a fill layer of the metal gate structure may include Al, W, or Cu and/or other suitable materials.
  • the fill metal may be formed by CVD, PVD, plating, and/or other suitable processes.
  • the fill metal may be deposited over a work function metal layer(s), and thereby filling in the remaining portion of the trenches or openings.
  • the gate structure 208 has been replaced (in whole or in part) by a metal gate structure 902 .
  • the metal gate structure 902 includes the same dimensions as the gate structure 208 (e.g., having been formed in a trench created by its removal).
  • the metal gate structure 902 includes the non-orthogonal features discussed above with reference to FIG. 6 .
  • the metal gate structures 902 have non-orthogonal or inclined segments.
  • the method 100 then proceeds to block 114 where a contact layer including a plurality of contact elements is formed on the substrate.
  • the contact layer includes an interconnect structure connecting two gate structures (e.g., gate structure segments having been provided by the orthogonal or inclined cut described above with reference to block 106 ).
  • an inter-layer dielectric (ILD) layer is first formed on the substrate on and/or above the metal gate structure.
  • the ILD layer may include dielectric materials such as, tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials.
  • TEOS tetraethylorthosilicate
  • BPSG borophosphosilicate glass
  • FSG fused silica glass
  • PSG phosphosilicate glass
  • BSG boron doped silicon glass
  • the ILD layer may be deposited by a PECVD process or other suitable deposition technique.
  • the ILD layer may be the same as or differently composed as the dielectric layer described above with reference to block 112 .
  • the contact element may also provide interconnection to one or more of the interconnect layers of a multilayer interconnect (MLI), described below, and a transistor feature.
  • the contact elements may include tungsten or other suitable conductive element.
  • the contact elements may be formed by etching trenches or openings in the ILD layer and filling the trenches with a conductive material to form vias or plugs.
  • the vias or plugs may contact features such as source/drain regions and/or the gate structure (e.g., metal gate structure).
  • the contact elements may be provided to a silicided region of the source/drain or other feature. Such contact elements may be referred to as contact plugs, or simply plugs.
  • the contact layer of the device of the method 100 includes contact elements and an interconnection structure between segments of the non-orthogonally cut gate structure elements.
  • the interconnection between the segments of the gate structure elements is provided on a contact layer (or level) of the semiconductor device.
  • the interconnection is co-planar with a contact, for example, a contact to a gate structure element.
  • the interconnection in the contact layer may be non-orthogonal or not a straight, linear connection.
  • the interconnection may be a slanted or traverse interconnection connecting adjacent gate structures.
  • the interconnection may connect adjacent gate structures using a plurality of line segments including those parallel and traverse to the gate structure lengths.
  • the interconnection may be formed on portions of the gate structures, such as a top surface.
  • the interconnection may be formed on a top surface of the gate electrode, on a top surface of the spacer elements, on a top surface of a metal layer in the gate structure, and/or other suitable layers as illustrated in the embodiments of FIGS. 11-14 , described below.
  • a contact-level interconnection 1102 is illustrated connecting gate structures 902 a and 902 b .
  • the contact-level interconnection 1102 provides physical and electrical connection between gate structures 902 a and 902 b .
  • the contact-level interconnection 1102 includes two segments 1104 extending on and parallel with the length of the gate structure elements 902 and further includes a lateral segment 1106 extending substantially transverse or perpendicular to the length of the gate structure elements 902 .
  • the contact-level interconnection 1102 is co-planar with a contact element (or plug) 1108 provided to the gate structure element 902 .
  • the contact-level interconnection 1102 is co-planar with a contact element (or plug) 1110 provided to the substrate 202 (e.g., a source/drain region).
  • a contact-level interconnection 1302 is illustrated connecting gate structures 902 a and 902 b .
  • the contact-level interconnection 1302 provides physical and electrical connection between gate structures 902 a and 902 b .
  • the contact-level interconnection 1302 extends substantially transverse to the length of the gate structure elements 902 .
  • the contact-level interconnection 1302 is co-planar with the contact element (or plug) 1108 provided to the gate structure element 902 .
  • the contact-level interconnection 1302 is co-planar with a contact element (or plug) 1110 provided to the substrate 202 (e.g., a source/drain region).
  • the method 100 then proceeds to block 116 where a multiple layer interconnect (MLI) structure is formed on the substrate.
  • the MLI structure includes a plurality of conductive (metal) lines stacked laterally with vias interposing and connecting the layers.
  • the conductive lines are typically referred to as metal 1, metal 2, etc.
  • the MLI structure may include a plurality of ILD layers interposing the conductive layers.
  • the methods and devices disclosed herein provide for a semiconductor device and method of fabricating thereof, that provides for a non-orthogonal element.
  • embodiments of the present disclosure offer several advantages over prior art devices.
  • Advantages of aspects of the present disclosure include horizontal interconnection between adjacent gate structures in a manner that is efficient and effective with respect to layout space.
  • horizontal patterning of the gate structure itself e.g., connecting 908 a and 908 b at the gate-level
  • the spacer process may be unable to sustain a horizontal interconnection.
  • the disclosure provides a device and method having a layout that provides a horizontal connection without or with minimal impact to the spacer process.
  • Another example of an advantage of some embodiments is that as the distance between active regions (e.g., distance between 204 ) of a substrate decrease with decreasing technology nodes one slanted cut (e.g., etch process/mask) can be performed as opposed to etching each gate structure separately. See FIG. 4 allowing for a single but of a plurality of gate structures 208 . This single cut may save process time and/or device area.
  • active regions e.g., distance between 204
  • one slanted cut e.g., etch process/mask
  • the semiconductor device includes a first gate structure segment and a collinear second gate structure segment, as well as a third gate structure segment and a collinear fourth gate structure segment.
  • An interconnection structure extends from the first gate structure segment to the fourth gate structure segment. The interconnection structure is disposed above the first gate structure segment and the fourth gate structure segment.
  • the interconnection structure includes a first portion disposed on the first gate structure segment and a second portion disposed on the fourth gate structure segment.
  • the first and second portions may be substantially parallel.
  • a third portion of the interconnect structure connects the first and second portions and is substantially perpendicular to the first and second portions.
  • a contact plug may be co-planar with the interconnection structure, the interconnection structure, for example, being formed on the same “layer” of the semiconductor device as the contact elements (e.g., underlying metal 1).
  • the interconnection structure may include tungsten, or other conductive material.
  • the semiconductor device in embodiments, includes spacer elements formed on sidewalls of the gate structure segments; the interconnection structure may be disposed on a top surface of the spacer elements.
  • the first gate structure segment is non-orthogonal with respect to a third gate structure segment such that an imaginary line drawn from a first end of the first gate structure segment to a first end of the third gate structure segment is non-orthogonal with respect to a sidewall of the first gate structure segment. See FIG. 6 .
  • a semiconductor device in another embodiment described herein, includes a first gate structure, a second adjacent gate structure, and a third gate structure disposed on a substrate.
  • An interconnection structure is between the second gate structure and the third gate structure.
  • a third gate structure may be aligned with and spaced a first distance from the first gate structure, and the third gate structure may be parallel to the second gate structure.
  • the first gate structure may be non-orthogonally disposed with respect to the second gate structure.
  • the first gate structure may be non-orthogonally with respect to the second gate structure such that an imaginary line drawn from a first end of the first gate structure to a first end of the second gate structure is non-orthogonal with respect to a sidewall of at least one of the first and second gate structures.
  • the respective first ends of the gate structures may be disposed on an isolation region (e.g., STI).
  • an end of the first gate structure is non-orthogonal with respect to a sidewall of the first gate structure.
  • the interconnection may not be co-planar with a plane extending through the second gate structure and the third gate structure (e.g., a lateral plane).
  • the interconnection structure may be co-planar with a contact connected to the first gate structure.
  • a fourth gate structure collinear with the second gate structure and spaced a second distance from the second gate structure is provided in the device. The first distance and the second distance may be substantially equal, although the associated space between the third gate structure and the first gate structure and the associated space between the fourth gate structure and the second gate structure may be offset from one another in a direction parallel to the length of the first gate structure.
  • a method of semiconductor fabrication includes forming a first gate structure and a second gate structure on a semiconductor substrate and cutting the first gate structure and the second gate structure concurrently.
  • the cutting may be defined by a photolithography process and etching of the gate structures.
  • the first gate structure is cut to form a first gate segment and a second gate segment, and the second gate structure is cut to form a third segment and a fourth segment.
  • the cutting includes performing an inclined cut (e.g., 45 degrees with respect to a length of the first gate structure) of the first gate structure and the second gate structure.
  • the gate structure may be formed by forming a dielectric layer; forming a polysilicon layer on the dielectric layer; and patterning the dielectric layer and the polysilicon layer to provide the first gate structure and the second gate structure.
  • the method continues to include forming an interconnect structure connecting a segment of the first gate structure and a segment of the second gate structure by forming a conductive material on the second segment and on the third segment.
  • the segments of the first and second gate structure are formed by the cutting process.
  • the interconnect structure may be formed concurrently with a contact plug coupled to the first gate structure.
  • the interconnect structure may be formed on the segments of the first and second gate structures, for example, on a top surface of the gate electrode, on a top surface of the spacer elements, on a top surface of a metal layer in the gate structure, and/or other suitable layers.

Abstract

The present disclosure provides a device includes a first gate structure segment and a collinear second gate structure segment, as well as a third gate structure segment and a collinear fourth gate structure segment. An interconnection extends from the first gate structure segment to the fourth gate structure segment. The interconnection is disposed above the first gate structure segment and the fourth gate structure segment. The interconnection may be formed on or co-planar with a contact layer of the semiconductor device.

Description

    BACKGROUND
  • The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs and, for these advances to be realized, similar developments in IC processing and manufacturing are needed.
  • One challenge with the decreasing geometry of semiconductor ICs is the formation of interconnections between elements of a semiconductor device. These interconnections can take up valuable area of the layout of a semiconductor device. Thus, what is desired is a device and method that may reduce the layout area and provide flexible patterning of interconnections between elements of a semiconductor device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a flow chart of an embodiment of a method of fabricating a semiconductor device according to one or more aspects of the present disclosure.
  • FIGS. 2-12 illustrate an embodiment of a semiconductor device according to one or more steps of the method of FIG. 1.
  • FIGS. 13-14 illustrate another embodiment of a semiconductor device according to one or more steps of the method of FIG. 1.
  • DETAILED DESCRIPTION
  • It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. Moreover, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact. Various features may be arbitrarily drawn in different scales for simplicity and clarity.
  • Illustrated in FIG. 1 is a method 100 of fabricating a semiconductor device. The method 100 may be useful for fabricating a semiconductor device having at least one non-orthogonal element. In an embodiment, the non-orthogonal element is an interconnect, for example, connecting gate structures. In an embodiment, the method 100 including a non-orthogonal cut of a plurality of gate structure elements (e.g., lines or strips). The term non-orthogonal as used herein may be used to describe any element or method that includes an inclined or slanted feature (e.g., not at a substantially right angle or perpendicular to a referenced feature). The non-orthogonal cut may provide for a non-orthogonal end of a gate structure. The non-orthogonal cut across adjacent gate structure elements (strips) may provide a configuration of the elements such that the ends are not collinear with respect to a line drawn perpendicular to the length of the gate structures. FIGS. 2-14 are cross-sectional and top-views of a semiconductor device 200 fabricated according to embodiments of the method 100 of FIG. 1.
  • It is understood that parts of the method 100 and/or device 200 are provided by complementary metal-oxide-semiconductor (CMOS) technology process flow, and thus some processes are only briefly described herein. Further, the semiconductor device 200 may include various other devices and features, such as additional transistors, bipolar junction transistors, resistors, capacitors, diodes, fuses, etc., but is simplified for a better understanding of the inventive concepts of the present disclosure. The semiconductor device 200 includes a plurality of semiconductor devices (e.g., transistors), which may be interconnected.
  • The method 100 begins at block 102 where a substrate is provided. The semiconductor substrate may typically be a silicon substrate. The substrate may include various doping configurations depending on design requirements as is known in the art. The substrate may also include other elementary semiconductors such as germanium and diamond. Alternatively, the substrate may include a compound semiconductor and/or an alloy semiconductor. Further, the substrate may optionally include an epitaxial layer (epi layer), may be strained for performance enhancement, may include a silicon-on-insulator (SOI) structure, and/or have other suitable enhancement features. The substrate may include active regions on which MOS devices can be formed. The active regions may be doped with suitable n-type or p-type dopants (impurities) to form well regions. The boundaries of the active regions may be defined by isolation structures such as shallow trench isolation (STI) features. In other embodiments, other types of isolation structures are possible. The substrate may include isolation features such as a shallow trench isolation (STI), field oxide, a local-oxidation of silicon (LOCOS) feature, and/or other suitable isolation features. The isolation structure may be formed of silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric, combinations thereof, and/or other suitable material.
  • Referring to the examples of FIGS. 2 and 3, a top view of a semiconductor device 200 having a substrate 202 is provided in FIG. 2. A cross-sectional view of a portion of the semiconductor device 200 is provided in FIG. 3. The substrate 202 includes a plurality of active regions 204. Isolation features 206 interpose the active regions 204. As illustrated, the isolation features 206 include STI features.
  • The method 100 then proceeds to block 104 where a plurality of gate structure elements is formed on the substrate. In an embodiment, the gate structure elements may be formed a “strips” extending substantially parallel to one another. The gate structure elements may include a gate dielectric and/or a gate electrode layer. In an embodiment, one or more of the layers of the gate structure elements are sacrificial (e.g., dummy) layers.
  • In an embodiment, the gate structure element includes a gate dielectric layer. In an embodiment, the gate dielectric layer includes a dielectric material such as silicon oxide, for example, formed by thermal oxidation or suitable deposition methods. In an embodiment, the gate dielectric layer includes a high-k dielectric layer, for example, formed by atomic layer deposition (ALD) or other suitable technique. The high-k dielectric layer may include hafnium oxide (HfO2). Alternatively, the high-k dielectric layer may include other high-k dielectrics, such as TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, combinations thereof, and/or other suitable material. Further, the gate dielectric layer may include a multiple layer configuration.
  • The gate structure element may further include a gate electrode. The gate electrode may be sacrificial, for example, such as formed in a replacement gate process. In an embodiment, the gate electrode layer includes polysilicon. However, other embodiments are possible. The polysilicon layer may be formed by suitable deposition processes such as, for example, low-pressure chemical vapor deposition (LPCVD) and plasma-enhanced CVD (PECVD).
  • Referring to the example of FIGS. 2 and 3, a plurality of gate structure elements 208 are disposed on the substrate 202. As illustrated, the gate structure elements 208 are patterned such that they form strips. The gate structure elements 208 may be formed by depositing one or more layers of the gate structure elements. The layers may be patterned using suitable photolithography processes such as, for example, forming a photoresist layer, exposing the photoresist layer to a pattern, baking and developing the photoresist to form masking elements. The masking elements may then be used to etch a pattern (e.g., strips) into the layers of the gate structure element (e.g., the polysilicon). The plurality of gate structure elements 208 are substantially parallel to each other and extend from one active region 204 to another. While the illustrated embodiment shows the gate structure elements 208 being of substantially equal width and pitch, other embodiments are possible.
  • The method 100 then proceeds to block 106 where the gate structure elements are patterned again. The patterning includes an inclined or non-orthogonal cut of at least one gate structure such that it is sectioned. For example, a gate structure element is cut such that it is provided in two sections, with an space interposing the sections. The two sections of the gate structure may be collinear. In an embodiment, a plane extending from the face of the end of a segment of a gate structure element is not substantially perpendicular to or orthogonal to a plane extending along a center line of the length of the gate structure element (i.e., it is at a slant or incline with respect to the length of the gate structure element or a plane perpendicular to the length of the gate structure). In other words, the face of the end of the gate structure element may be non-orthogonal to one or more of the sidewalls of the gate structure.
  • In an embodiment, two (e.g., adjacent) gate structure elements are cut and the cut extends across the gate structures in a non-orthogonal manner. For example, the cut may extend across the gate structures at an angle other than 90 degrees, for example approximately 45 degrees from a centerline drawn along the length of the gate structure element(s). In an embodiment, adjacent gate structures are cut such that an imaginary line drawn from the ends of the gate structure elements is non-orthogonal (or inclined) with respect to a line parallel to a centerline drawn along the length of the gate structure(s).
  • As discussed above, one or more gate structure elements (e.g., strips) may be cut or etched such that a portion of the gate structure element is removed from the substrate. In an embodiment, a photoresist layer is deposited on the gate structure element (e.g., strip); the photoresist layer then is exposed to an inclined pattern formed on a suitable photomask, developed thereby forming a masking element on the gate structure element(s). The masking elements may be used to protect regions of the gate elements while portions are etched. Other methods of patterning such as e-beam lithography are possible. The patterning of block 106 may be separate and distinct from the patterning of block 104, where the gate structure elements (e.g., strips) are formed.
  • Referring to the example of FIGS. 4 and 5, a first and second gate structure element 208 are cut non-orthogonally or at an incline. The region removed from the gate structures is denoted 402. The region 402 may be defined by photolithography methods. The cut is a non-orthogonal or inclined cut as illustrated by line 404.
  • FIG. 6 illustrates further details of an embodiment of cut gate structure elements 208. The end of the gate structure 208 is illustrated as 602. Surface 602 is inclined or non-orthogonally, for example, compared to a centerline extending along the gate structure element, denoted as line 604. The center line 604 may extend in the direction substantially parallel the gate structure elements. In other embodiments, a single end 602 (e.g., lateral face) of a cut gate structure may be substantially perpendicular to the respective center line 604.
  • FIGS. 4, 5, and 6 also illustrate a non-orthogonal cut across a plurality of gate structure elements. The non-orthogonal cut provides adjacent gate structure elements 208 disposed non-orthogonally to one another, or in other words, at an angle 606 with respect to the center line 604. The angle 606 may be less than approximately 90 degrees. In an embodiment, the angle 606 is approximately 45 degrees. In another embodiment, the angle 606 is between approximately 75 degrees and approximately 20 degrees. The non-orthogonal cut provides a second set of adjacent gate structure elements 208 disposed non-orthogonally to one another, or in other words, at an angle 608 with respect to the center line 604. The angle 608 may be less than approximately 90 degrees. In an embodiment, the angle 608 is approximately 45 degrees. In another embodiment, the angle 608 is between approximately 75 degrees and approximately 20 degrees. While the non-orthogonal cut is illustrated as segmenting two adjacent gate structure elements, any number of gate structure elements may be segment and thus, be disposed non-orthogonally form one another. The gate structure elements 208 may be sectioned using a single photolithography and/or etching process, where those processes provide a non-orthogonal sectioning of the gate structure elements.
  • The method 100 then proceeds to block 108 where spacer elements are formed on sidewalls of the gate structure elements. In embodiments, spacer elements may be formed abutting the sidewalls of the gate structures prior to or after the formation of an associated source/drain regions (or portions thereof). The spacer elements may be formed by depositing a dielectric material followed by an isotropic etching process, however other embodiments are possible. In an embodiment, the spacer elements include silicon oxide, silicon nitride, and/or other suitable dielectrics. The spacer elements may include a plurality of layers. For example, in an embodiment, the spacer elements include seal liners, main spacer wall layers, spacers defining low-dose drain (LDD) regions, and/or other suitable spacers. Referring to the example of FIGS. 7 and 8, spacer elements 702 are formed abutting the sidewalls of the gate structures 208. The spacer elements 702 may also be referred to as main spacer walls.
  • The method 100 then proceeds to block 110 where a transistor element is formed. In an embodiment, source/drain regions are formed in the active regions of the substrate associated with a gate structure element. The source/drain regions may include the introduction of suitable dopant types: n-type or p-type dopants. The source/drain regions may include halo or low-dose drain (LDD) implantation, source/drain implantation, source/drain activation (e.g., anneal), and/or other suitable processes. In other embodiments, the source/drain regions may include raised source/drain regions, strained regions, epitaxially-grown regions, and/or other suitable techniques. In an embodiment, the method 100 includes the silicidation of doped source/drain regions. The silicide materials may include nickel silicide (NiSi), nickel-platinum silicide (NiPtSi), nickel-platinum-germanium silicide (NiPtGeSi), nickel-germanium silicide (NiGeSi), ytterbium silicide (YbSi), platinum silicide (PtSi), iridium silicide (IrSi), erbium silicide (ErSi), cobalt silicide (CoSi), other suitable conductive materials, and/or combinations thereof. The silicide features can be formed by a process that includes depositing a metal layer, annealing the metal layer such that the metal layer is able to react with silicon to form silicide, and then removing the non-reacted metal layer.
  • The method 100 then proceeds to block 112 where a metal gate structure is formed using a replacement gate methodology. In alternative embodiments, the method 100 may include a gate-first process or other technology such that the non-orthogonal cut described above with reference to block 106 is provided on a metal gate structure or a polysilicon gate structure that remains on the substrate in the final device.
  • In an embodiment, the method 100 includes a replacement gate process. For example, a contact etch stop layer (CESL) and/or intermediate dielectric layer (ILD) are formed on and/or interposing the plurality of gate structures. Examples of materials that may be used to form CESL include silicon nitride, silicon oxide, silicon oxynitride, and/or other materials known in the art. The CESL may be formed by PECVD process and/or other suitable deposition or oxidation processes. The dielectric layer may include materials such as, tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials. The dielectric layer may be deposited by a PECVD process or other suitable deposition technique. A planarization process is then performed to expose a top surface of a gate structure. The planarization process may include a chemical mechanical planarization (CMP). A sacrificial layer of the gate structures described above, for example, polysilicon, may then be removed to form a trench in which a metal gate structure may be formed. A metal gate is then formed in the trench(es). The metal gate may include gate dielectric layer(s), work function layer(s), capping layer(s), fill layer(s), and/or other suitable layers. A work function metal layer included in the metal gate may be an n-type or p-type work function layer. Exemplary p-type work function metals include TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, other suitable p-type work function materials, or combinations thereof. Exemplary n-type work function metals include Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function materials, or combinations thereof. The work function layer may include a plurality of layers. The work function layer(s) may be deposited by CVD, PVD, and/or other suitable process. A dielectric layer of the metal gate structure may include a high-k dielectric layer such as hafnium oxide (HfO2). Alternatively, the high-k dielectric layer may optionally include other high-k dielectrics, such as TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, combinations thereof, or other suitable material. The dielectric layer may be formed by ALD and/or other suitable methods.
  • A fill layer of the metal gate structure may include Al, W, or Cu and/or other suitable materials. The fill metal may be formed by CVD, PVD, plating, and/or other suitable processes. The fill metal may be deposited over a work function metal layer(s), and thereby filling in the remaining portion of the trenches or openings.
  • Referring to the example of FIGS. 9 and 10, the gate structure 208 has been replaced (in whole or in part) by a metal gate structure 902. The metal gate structure 902 includes the same dimensions as the gate structure 208 (e.g., having been formed in a trench created by its removal). Thus, the metal gate structure 902 includes the non-orthogonal features discussed above with reference to FIG. 6. In other words, the metal gate structures 902 have non-orthogonal or inclined segments.
  • The method 100 then proceeds to block 114 where a contact layer including a plurality of contact elements is formed on the substrate. The contact layer includes an interconnect structure connecting two gate structures (e.g., gate structure segments having been provided by the orthogonal or inclined cut described above with reference to block 106).
  • In an embodiment, an inter-layer dielectric (ILD) layer is first formed on the substrate on and/or above the metal gate structure. The ILD layer may include dielectric materials such as, tetraethylorthosilicate (TEOS) oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials. The ILD layer may be deposited by a PECVD process or other suitable deposition technique. The ILD layer may be the same as or differently composed as the dielectric layer described above with reference to block 112.
  • Contact elements are then formed to one or more of the features on the substrate. The contact element may also provide interconnection to one or more of the interconnect layers of a multilayer interconnect (MLI), described below, and a transistor feature. The contact elements may include tungsten or other suitable conductive element. The contact elements may be formed by etching trenches or openings in the ILD layer and filling the trenches with a conductive material to form vias or plugs. The vias or plugs may contact features such as source/drain regions and/or the gate structure (e.g., metal gate structure). The contact elements may be provided to a silicided region of the source/drain or other feature. Such contact elements may be referred to as contact plugs, or simply plugs.
  • In an embodiment, the contact layer of the device of the method 100 includes contact elements and an interconnection structure between segments of the non-orthogonally cut gate structure elements. Thus, the interconnection between the segments of the gate structure elements is provided on a contact layer (or level) of the semiconductor device. In other words, the interconnection is co-planar with a contact, for example, a contact to a gate structure element. The interconnection in the contact layer may be non-orthogonal or not a straight, linear connection. In an embodiment, the interconnection may be a slanted or traverse interconnection connecting adjacent gate structures. In an embodiment, the interconnection may connect adjacent gate structures using a plurality of line segments including those parallel and traverse to the gate structure lengths. The interconnection may be formed on portions of the gate structures, such as a top surface. For example, the interconnection may be formed on a top surface of the gate electrode, on a top surface of the spacer elements, on a top surface of a metal layer in the gate structure, and/or other suitable layers as illustrated in the embodiments of FIGS. 11-14, described below.
  • Referring to the example of FIGS. 11 and 12, a contact-level interconnection 1102 is illustrated connecting gate structures 902 a and 902 b. The contact-level interconnection 1102 provides physical and electrical connection between gate structures 902 a and 902 b. The contact-level interconnection 1102 includes two segments 1104 extending on and parallel with the length of the gate structure elements 902 and further includes a lateral segment 1106 extending substantially transverse or perpendicular to the length of the gate structure elements 902.
  • The contact-level interconnection 1102 is co-planar with a contact element (or plug) 1108 provided to the gate structure element 902. The contact-level interconnection 1102 is co-planar with a contact element (or plug) 1110 provided to the substrate 202 (e.g., a source/drain region).
  • Referring to the example of FIGS. 13 and 14, a contact-level interconnection 1302 is illustrated connecting gate structures 902 a and 902 b. The contact-level interconnection 1302 provides physical and electrical connection between gate structures 902 a and 902 b. The contact-level interconnection 1302 extends substantially transverse to the length of the gate structure elements 902.
  • The contact-level interconnection 1302 is co-planar with the contact element (or plug) 1108 provided to the gate structure element 902. The contact-level interconnection 1302 is co-planar with a contact element (or plug) 1110 provided to the substrate 202 (e.g., a source/drain region).
  • The method 100 then proceeds to block 116 where a multiple layer interconnect (MLI) structure is formed on the substrate. The MLI structure includes a plurality of conductive (metal) lines stacked laterally with vias interposing and connecting the layers. The conductive lines are typically referred to as metal 1, metal 2, etc. The MLI structure may include a plurality of ILD layers interposing the conductive layers.
  • In summary, the methods and devices disclosed herein provide for a semiconductor device and method of fabricating thereof, that provides for a non-orthogonal element. In doing so, embodiments of the present disclosure offer several advantages over prior art devices. Advantages of aspects of the present disclosure include horizontal interconnection between adjacent gate structures in a manner that is efficient and effective with respect to layout space. For example, horizontal patterning of the gate structure itself (e.g., connecting 908 a and 908 b at the gate-level) may be difficult to achieve in shrinking geometries. For example, the spacer process may be unable to sustain a horizontal interconnection. Thus, the disclosure provides a device and method having a layout that provides a horizontal connection without or with minimal impact to the spacer process. Another example of an advantage of some embodiments is that as the distance between active regions (e.g., distance between 204) of a substrate decrease with decreasing technology nodes one slanted cut (e.g., etch process/mask) can be performed as opposed to etching each gate structure separately. See FIG. 4 allowing for a single but of a plurality of gate structures 208. This single cut may save process time and/or device area.
  • It is understood that different embodiments disclosed herein offer different disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure. As but one example, though the present disclosure and the embodiment of method 100 include a replacement gate metal gate process, the present disclosure may be applicable to other methods and device types including those with a polysilicon gate structure.
  • Thus, provided in an embodiment is a semiconductor device. The semiconductor device includes a first gate structure segment and a collinear second gate structure segment, as well as a third gate structure segment and a collinear fourth gate structure segment. An interconnection structure extends from the first gate structure segment to the fourth gate structure segment. The interconnection structure is disposed above the first gate structure segment and the fourth gate structure segment.
  • In a further embodiment, the interconnection structure includes a first portion disposed on the first gate structure segment and a second portion disposed on the fourth gate structure segment. The first and second portions may be substantially parallel. A third portion of the interconnect structure connects the first and second portions and is substantially perpendicular to the first and second portions. A contact plug may be co-planar with the interconnection structure, the interconnection structure, for example, being formed on the same “layer” of the semiconductor device as the contact elements (e.g., underlying metal 1). Like a contact plug, the interconnection structure may include tungsten, or other conductive material.
  • The semiconductor device, in embodiments, includes spacer elements formed on sidewalls of the gate structure segments; the interconnection structure may be disposed on a top surface of the spacer elements.
  • In embodiments of the semiconductor device, the first gate structure segment is non-orthogonal with respect to a third gate structure segment such that an imaginary line drawn from a first end of the first gate structure segment to a first end of the third gate structure segment is non-orthogonal with respect to a sidewall of the first gate structure segment. See FIG. 6.
  • In another embodiment described herein, a semiconductor device includes a first gate structure, a second adjacent gate structure, and a third gate structure disposed on a substrate. An interconnection structure is between the second gate structure and the third gate structure. A third gate structure may be aligned with and spaced a first distance from the first gate structure, and the third gate structure may be parallel to the second gate structure. The first gate structure may be non-orthogonally disposed with respect to the second gate structure. For example, the first gate structure may be non-orthogonally with respect to the second gate structure such that an imaginary line drawn from a first end of the first gate structure to a first end of the second gate structure is non-orthogonal with respect to a sidewall of at least one of the first and second gate structures. The respective first ends of the gate structures may be disposed on an isolation region (e.g., STI). In an embodiment, an end of the first gate structure is non-orthogonal with respect to a sidewall of the first gate structure.
  • As discussed above, in a further embodiment of the device, the interconnection may not be co-planar with a plane extending through the second gate structure and the third gate structure (e.g., a lateral plane). The interconnection structure may be co-planar with a contact connected to the first gate structure. In embodiments, a fourth gate structure collinear with the second gate structure and spaced a second distance from the second gate structure is provided in the device. The first distance and the second distance may be substantially equal, although the associated space between the third gate structure and the first gate structure and the associated space between the fourth gate structure and the second gate structure may be offset from one another in a direction parallel to the length of the first gate structure.
  • In another embodiment, a method of semiconductor fabrication includes forming a first gate structure and a second gate structure on a semiconductor substrate and cutting the first gate structure and the second gate structure concurrently. (The cutting may be defined by a photolithography process and etching of the gate structures.) The first gate structure is cut to form a first gate segment and a second gate segment, and the second gate structure is cut to form a third segment and a fourth segment. The cutting includes performing an inclined cut (e.g., 45 degrees with respect to a length of the first gate structure) of the first gate structure and the second gate structure.
  • In a further embodiment, the gate structure may be formed by forming a dielectric layer; forming a polysilicon layer on the dielectric layer; and patterning the dielectric layer and the polysilicon layer to provide the first gate structure and the second gate structure.
  • In embodiments, the method continues to include forming an interconnect structure connecting a segment of the first gate structure and a segment of the second gate structure by forming a conductive material on the second segment and on the third segment. The segments of the first and second gate structure are formed by the cutting process. The interconnect structure may be formed concurrently with a contact plug coupled to the first gate structure. The interconnect structure may be formed on the segments of the first and second gate structures, for example, on a top surface of the gate electrode, on a top surface of the spacer elements, on a top surface of a metal layer in the gate structure, and/or other suitable layers.

Claims (20)

1. A semiconductor device, comprising:
a first gate structure segment and a collinear second gate structure segment;
a third gate structure segment and a collinear fourth gate structure segment; and
an interconnection structure extending from the first gate structure segment to the fourth gate structure segment, wherein the interconnection structure is disposed above the first gate structure segment and the fourth gate structure segment, and wherein the interconnect structure has a planar bottom surface, the planar bottom surface extending from on the first gate structure to on the fourth gate structure.
2. The device of claim 1, wherein the interconnection structure includes:
a first portion disposed on the first gate structure segment;
a second portion disposed on the fourth gate structure segment, wherein the first and second portions are substantially parallel; and
a third portion connecting the first and second portions, wherein the third portion is substantially perpendicular to the first and second portions, wherein each of the first, second and third portions are co-planar.
3. The device of claim 1, further comprising:
a contact plug co-planar with the interconnection structure.
4. The device of claim 1, further comprising:
spacer elements formed on sidewalls of the first and fourth gate structure segments, wherein the interconnection structure is disposed on a top surface of the spacer elements.
5. The device of claim 1, wherein the interconnection structure includes tungsten.
6. The device of claim 1, wherein the first gate structure segment is non-orthogonal with respect to a third gate structure segment such that an imaginary line drawn from a first end of the first gate structure segment to a first end of the third gate structure segment is non-orthogonal with respect to a sidewall of the first gate structure segment.
7. A semiconductor device, comprising:
a substrate;
a first gate structure disposed on the substrate;
a second gate structure disposed on the substrate adjacent and parallel to the first gate structure, wherein the first gate structure is non-orthogonally disposed with respect to the second gate structure;
a third gate structure aligned with and spaced a first distance from the first gate structure, wherein the third gate structure is parallel to the second gate structure; and
an interconnection structure extending between the second gate structure and the third gate structure, wherein the interconnection structure includes a conductive material disposed in a single layer extending from the second gate structure to the third gate structure; and
a contact element connected to one of the first, second and third gate structures, wherein the conductive material is co-planar with the contact element.
8. The semiconductor device of claim 7, wherein the first gate structure is non-orthogonal with respect to the second gate structure such that an imaginary line drawn from a first end of the first gate structure to a first end of the second gate structure is non-orthogonal with respect to a sidewall of at least one of the first and second gate structures.
9. The semiconductor device of claim 8, wherein the first end of the first gate structure and the first end of the second gate structure are disposed on an isolation region.
10. The semiconductor device of claim 7, wherein a first end of the first gate structure is non-orthogonal with respect to a sidewall of the first gate structure.
11. The semiconductor device of claim 7, further comprising:
a fourth gate structure aligned with the second gate structure and spaced a second distance from the second gate structure.
12. The semiconductor device of claim 7, wherein the interconnection is not co-planar with a plane extending through the second gate structure and the third gate structure.
13. (canceled)
14. The semiconductor device of claim 11, wherein the first distance and the second distance are substantially equal, and wherein the space between the third gate structure and the first gate structure is offset from the space between the fourth gate structure and the second gate structure in a direction parallel to the length of the first gate structure.
15.-20. (canceled)
21. A semiconductor device, comprising:
a gate layer formed on a substrate, the gate layer including:
a first gate structure and a collinear second gate structure;
a third gate structure and a collinear fourth gate structure; and
a contact layer disposed above the gate layer, the contact layer including:
an interconnection structure extending from the first gate structure to the fourth gate structure; and
a contact plug connected one of the first, second, third and fourth gate structure.
22. The semiconductor device of claim 21, wherein the contact plug is disposed in a dielectric layer of the contact layer.
23. The semiconductor device of claim 21, wherein the interconnection structure extending from the first gate structure to the fourth gate structure includes tungsten.
24. The semiconductor device of claim 21, wherein the interconnection structure directly interfaces with the first gate structure and the second gate structure.
25. The device of claim 1, wherein the bottom surface of the interconnect structure includes tungsten.
US13/486,185 2012-06-01 2012-06-01 Semiconductor device having non-orthogonal element Abandoned US20130320451A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US13/486,185 US20130320451A1 (en) 2012-06-01 2012-06-01 Semiconductor device having non-orthogonal element
CN201210382566.6A CN103456774B (en) 2012-06-01 2012-10-10 There is the semiconductor devices of nonopiate element
KR1020120131515A KR101401747B1 (en) 2012-06-01 2012-11-20 Semiconductor device having non-orthogonal element
US15/720,593 US10276488B2 (en) 2012-06-01 2017-09-29 Method of fabricating field effect transistor having non-orthogonal gate electrode
US16/397,581 US11211323B2 (en) 2012-06-01 2019-04-29 Method of fabricating field effect transistor having non-orthogonal gate electrode

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/486,185 US20130320451A1 (en) 2012-06-01 2012-06-01 Semiconductor device having non-orthogonal element

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/720,593 Division US10276488B2 (en) 2012-06-01 2017-09-29 Method of fabricating field effect transistor having non-orthogonal gate electrode

Publications (1)

Publication Number Publication Date
US20130320451A1 true US20130320451A1 (en) 2013-12-05

Family

ID=49669186

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/486,185 Abandoned US20130320451A1 (en) 2012-06-01 2012-06-01 Semiconductor device having non-orthogonal element
US15/720,593 Active US10276488B2 (en) 2012-06-01 2017-09-29 Method of fabricating field effect transistor having non-orthogonal gate electrode
US16/397,581 Active US11211323B2 (en) 2012-06-01 2019-04-29 Method of fabricating field effect transistor having non-orthogonal gate electrode

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/720,593 Active US10276488B2 (en) 2012-06-01 2017-09-29 Method of fabricating field effect transistor having non-orthogonal gate electrode
US16/397,581 Active US11211323B2 (en) 2012-06-01 2019-04-29 Method of fabricating field effect transistor having non-orthogonal gate electrode

Country Status (3)

Country Link
US (3) US20130320451A1 (en)
KR (1) KR101401747B1 (en)
CN (1) CN103456774B (en)

Cited By (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245763B2 (en) 2014-03-13 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9293341B2 (en) 2014-03-13 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US20160086947A1 (en) * 2014-09-18 2016-03-24 Panjae PARK Semiconductor devices and methods for manufacturing the same
KR20160034163A (en) * 2014-09-18 2016-03-29 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9418868B1 (en) 2015-03-13 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions
US9431300B1 (en) * 2015-08-27 2016-08-30 Globalfoundries Inc. MOL architecture enabling ultra-regular cross couple
US9443768B2 (en) 2013-11-25 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9449880B1 (en) 2015-02-26 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin patterning methods for increased process margin
US9472414B2 (en) 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned multiple spacer patterning process
US9502261B2 (en) 2013-03-15 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US9530660B2 (en) 2015-05-15 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple directed self-assembly patterning process
US9570302B1 (en) 2016-02-10 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning a material layer
US9589890B2 (en) 2015-07-20 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for interconnect scheme
US9627215B1 (en) 2015-09-25 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9684236B1 (en) 2016-03-17 2017-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning a film layer
US9703918B2 (en) 2015-03-16 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Two-dimensional process window improvement
US9711604B1 (en) 2015-12-31 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes
US9711369B2 (en) 2015-03-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterns with sharp jogs
US9728407B2 (en) 2015-12-30 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming features with various dimensions
US9761436B2 (en) 2014-06-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9767248B2 (en) 2014-09-18 2017-09-19 Samsung Electronics, Co., Ltd. Semiconductor having cross coupled structure and layout verification method thereof
US9768061B1 (en) 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
US9799529B2 (en) 2016-03-17 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizing a film layer
US9811626B2 (en) 2014-09-18 2017-11-07 Samsung Electronics Co., Ltd. Method of designing layout of semiconductor device
US9853112B2 (en) 2015-07-17 2017-12-26 Qualcomm Incorporated Device and method to connect gate regions separated using a gate cut
US9881794B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
US9911606B2 (en) 2016-04-28 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mandrel spacer patterning in multi-pitch integrated circuit manufacturing
US9929153B2 (en) 2013-10-18 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9935199B2 (en) 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US9941139B2 (en) 2014-09-10 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9972526B2 (en) 2016-07-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conductive structure in semiconductor structure
US9991132B2 (en) 2015-04-17 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
US10008382B2 (en) 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
US10020261B2 (en) 2016-10-14 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Split rail structures located in adjacent metal layers
US10020379B2 (en) 2016-11-18 2018-07-10 Taiwan Semiconuctor Manufacturing Co., Ltd. Method for forming semiconductor device structure using double patterning
US10026661B2 (en) 2014-09-18 2018-07-17 Samsung Electronics Co., Ltd. Semiconductor device for testing large number of devices and composing method and test method thereof
US10032639B2 (en) 2016-05-31 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for improved critical dimension uniformity in a semiconductor device fabrication process
US10049885B2 (en) 2012-02-09 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a plurality of features for fin-like field-effect transistor (FinFET) devices
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US10084040B2 (en) 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US10095825B2 (en) 2014-09-18 2018-10-09 Samsung Electronics Co., Ltd. Computer based system for verifying layout of semiconductor device and layout verify method thereof
US10147649B2 (en) 2016-05-27 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate stack and method for forming the same
US20180350607A1 (en) * 2017-06-01 2018-12-06 Globalfoundries Inc. Semiconductor structure
US10157742B2 (en) 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US10163652B2 (en) 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US10249605B2 (en) 2016-12-07 2019-04-02 Samsung Electronics Co., Ltd. Integrated circuit devices
US10282504B2 (en) 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US20190164772A1 (en) * 2017-11-30 2019-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning
US10361286B2 (en) 2016-06-24 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for mandrel and spacer patterning
US10446662B2 (en) 2016-10-07 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing metal gate overhang by forming a top-wide bottom-narrow dummy gate electrode
US10483164B2 (en) * 2017-11-14 2019-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
US10957529B2 (en) 2016-11-28 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for drying wafer with gaseous fluid
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US11164863B2 (en) * 2016-12-15 2021-11-02 Samsung Electronics Co., Ltd. Integrated circuit having vertical transistor and semiconductor device including the integrated circuit
US11450611B2 (en) * 2019-09-11 2022-09-20 Kioxia Corporation Semiconductor device and method of manufacturing the same
US11502182B2 (en) 2020-05-11 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective gate air spacer formation
US11527444B2 (en) 2019-09-25 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer formation for semiconductor devices

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9431381B2 (en) 2014-09-29 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of processing cutting layout and example switching circuit
KR102296062B1 (en) * 2014-11-06 2021-08-31 삼성전자주식회사 Semiconductor integrated circuit and method of manufacturing the same
US10417369B2 (en) * 2017-05-26 2019-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, corresponding mask and method for generating layout of same
EP3798687A1 (en) 2019-09-27 2021-03-31 Schott AG Layered optical composite having a reduced content of highly refractive layers and its application in augmented reality
US11616432B2 (en) * 2020-07-29 2023-03-28 Dr. Ing. H.C. F. Porsche Aktiengesellschaft Controlled active snubber in converter power stage

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5148246A (en) * 1990-05-22 1992-09-15 Fujitsu Limited Cell array of a non-volatile semiconductor memory devices
US5385865A (en) * 1990-04-26 1995-01-31 Max-Planck-Gesellschaft Zur Forderung Der Wissenschaften Method of generating active semiconductor structures by means of starting structures which have a 2D charge carrier layer parallel to the surface

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4844115A (en) 1988-10-31 1989-07-04 Bowers Rudy M Butterfly valve
JPH06314692A (en) 1993-04-27 1994-11-08 Intel Corp Method for improving viahole / contact coating range in integrated circuit
US5808330A (en) 1994-11-02 1998-09-15 Lsi Logic Corporation Polydirectional non-orthoginal three layer interconnect architecture
US5619420A (en) 1995-05-04 1997-04-08 Lsi Logic Corporation Semiconductor cell having a variable transistor width
US6051870A (en) 1997-12-17 2000-04-18 Advanced Micro Devices Process for fabricating semiconductor device including improved phosphorous-doped silicon dioxide dielectric film
US5923955A (en) 1998-05-28 1999-07-13 Xerox Corporation Fine flip chip interconnection
JP4008629B2 (en) 1999-09-10 2007-11-14 株式会社東芝 Semiconductor device, design method thereof, and computer-readable recording medium storing the design program
US6737347B1 (en) 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
WO2001068311A1 (en) 2000-03-10 2001-09-20 Chippac, Inc. Flip chip interconnection structure
US6340608B1 (en) 2000-07-07 2002-01-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating copper metal bumps for flip-chip or chip-on-board IC bonding on terminating copper pads
JP4794030B2 (en) 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 Semiconductor device
US6522579B2 (en) * 2001-01-24 2003-02-18 Infineon Technologies, Ag Non-orthogonal MRAM device
US6818545B2 (en) 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
US6617696B1 (en) 2002-03-14 2003-09-09 Fairchild Semiconductor Corporation Supporting control gate connection on a package using additional bumps
TWI307152B (en) 2002-04-03 2009-03-01 Advanced Semiconductor Eng Under bump metallurgy
JP2005268610A (en) 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd Design method of standard cell, and semiconductor integrated circuit
US7045433B1 (en) * 2004-04-06 2006-05-16 Advanced Micro Devices, Inc. Tip architecture with SPE for buffer and deep source/drain regions
JP4778689B2 (en) 2004-06-16 2011-09-21 パナソニック株式会社 Standard cells, standard cell libraries, and semiconductor integrated circuits
US20060012055A1 (en) 2004-07-15 2006-01-19 Foong Chee S Semiconductor package including rivet for bonding of lead posts
JP4175649B2 (en) 2004-07-22 2008-11-05 松下電器産業株式会社 Semiconductor device
JP2007043049A (en) 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd Cell, standard cell, placement method using standard cell, standard cell library, and semiconductor integrated circuit
US20060223313A1 (en) 2005-04-01 2006-10-05 Agency For Science, Technology And Research Copper interconnect post for connecting a semiconductor chip to a substrate and method of fabricating the same
US7495330B2 (en) 2005-06-30 2009-02-24 Intel Corporation Substrate connector for integrated circuit devices
US7732289B2 (en) * 2005-07-05 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a MOS device with an additional layer
TWI273667B (en) 2005-08-30 2007-02-11 Via Tech Inc Chip package and bump connecting structure thereof
TW200711154A (en) 2005-09-08 2007-03-16 Advanced Semiconductor Eng Flip-chip packaging process
US7960838B2 (en) 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
CN101371357B (en) 2006-01-24 2011-04-13 Nxp股份有限公司 Stress buffering package for a semiconductor component
US7956421B2 (en) * 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7446352B2 (en) * 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7932545B2 (en) * 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
JP2007335512A (en) * 2006-06-13 2007-12-27 Renesas Technology Corp Semiconductor device and method for manufacturing same
EP2206145A4 (en) 2007-09-28 2012-03-28 Tessera Inc Flip chip interconnection with double post
CN101656256B (en) * 2008-08-19 2011-04-20 中芯国际集成电路制造(上海)有限公司 Active area structure
JP2010118410A (en) * 2008-11-11 2010-05-27 Nec Electronics Corp Semiconductor device
US20100127333A1 (en) * 2008-11-21 2010-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. novel layout architecture for performance enhancement
US7939384B2 (en) * 2008-12-19 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminating poly uni-direction line-end shortening using second cut
US8247904B2 (en) * 2009-08-13 2012-08-21 International Business Machines Corporation Interconnection between sublithographic-pitched structures and lithographic-pitched structures
KR101675458B1 (en) * 2010-07-27 2016-11-14 삼성전자 주식회사 Method for manufacturing semiconductor device using acid diffusion
US9711612B2 (en) * 2010-07-30 2017-07-18 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device structure and method for fabricating the same
US8631374B2 (en) 2011-03-30 2014-01-14 Synopsys, Inc. Cell architecture for increasing transistor size
US8669137B2 (en) 2011-04-01 2014-03-11 International Business Machines Corporation Copper post solder bumps on substrate
US8643196B2 (en) 2011-07-27 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for bump to landing trace ratio
CN104701297B (en) * 2013-12-05 2017-12-29 中芯国际集成电路制造(北京)有限公司 Interconnection structure and forming method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5385865A (en) * 1990-04-26 1995-01-31 Max-Planck-Gesellschaft Zur Forderung Der Wissenschaften Method of generating active semiconductor structures by means of starting structures which have a 2D charge carrier layer parallel to the surface
US5148246A (en) * 1990-05-22 1992-09-15 Fujitsu Limited Cell array of a non-volatile semiconductor memory devices

Cited By (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10049885B2 (en) 2012-02-09 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a plurality of features for fin-like field-effect transistor (FinFET) devices
US9502261B2 (en) 2013-03-15 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US11854820B2 (en) 2013-03-15 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US10665467B2 (en) 2013-03-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US9929153B2 (en) 2013-10-18 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9443768B2 (en) 2013-11-25 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9875906B2 (en) 2014-03-13 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9245763B2 (en) 2014-03-13 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9293341B2 (en) 2014-03-13 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US10153166B2 (en) 2014-03-13 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using lithography processes
US11145519B2 (en) 2014-03-13 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US10163652B2 (en) 2014-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US10770303B2 (en) 2014-06-30 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US10276363B2 (en) 2014-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9761436B2 (en) 2014-06-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9941139B2 (en) 2014-09-10 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9811626B2 (en) 2014-09-18 2017-11-07 Samsung Electronics Co., Ltd. Method of designing layout of semiconductor device
US10095825B2 (en) 2014-09-18 2018-10-09 Samsung Electronics Co., Ltd. Computer based system for verifying layout of semiconductor device and layout verify method thereof
US10242984B2 (en) 2014-09-18 2019-03-26 Samsung Electronics Co., Ltd. Semiconductor devices and methods for manufacturing the same
US20160086947A1 (en) * 2014-09-18 2016-03-24 Panjae PARK Semiconductor devices and methods for manufacturing the same
US10026661B2 (en) 2014-09-18 2018-07-17 Samsung Electronics Co., Ltd. Semiconductor device for testing large number of devices and composing method and test method thereof
US9767248B2 (en) 2014-09-18 2017-09-19 Samsung Electronics, Co., Ltd. Semiconductor having cross coupled structure and layout verification method thereof
KR20160034163A (en) * 2014-09-18 2016-03-29 삼성전자주식회사 Semiconductor device and method for manufacturing the same
KR102133377B1 (en) 2014-09-18 2020-07-15 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10002223B2 (en) 2014-09-18 2018-06-19 Samsung Electronics Co., Ltd. Method of designing layout of semiconductor device
US9704862B2 (en) * 2014-09-18 2017-07-11 Samsung Electronics Co., Ltd. Semiconductor devices and methods for manufacturing the same
US9472414B2 (en) 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned multiple spacer patterning process
US9449880B1 (en) 2015-02-26 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin patterning methods for increased process margin
US9418868B1 (en) 2015-03-13 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions
US11387113B2 (en) 2015-03-13 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions
US11894238B2 (en) 2015-03-13 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions
US10818509B2 (en) 2015-03-13 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions
US10163654B2 (en) 2015-03-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions
US9711369B2 (en) 2015-03-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterns with sharp jogs
US9703918B2 (en) 2015-03-16 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Two-dimensional process window improvement
US10312109B2 (en) 2015-04-17 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
US9991132B2 (en) 2015-04-17 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
US9530660B2 (en) 2015-05-15 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple directed self-assembly patterning process
US9853112B2 (en) 2015-07-17 2017-12-26 Qualcomm Incorporated Device and method to connect gate regions separated using a gate cut
US9589890B2 (en) 2015-07-20 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for interconnect scheme
US10679846B2 (en) 2015-07-30 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of forming a porous low-K structure
US10008382B2 (en) 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
US11637010B2 (en) 2015-07-30 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of forming a porous low-k structure
US9431300B1 (en) * 2015-08-27 2016-08-30 Globalfoundries Inc. MOL architecture enabling ultra-regular cross couple
US9627215B1 (en) 2015-09-25 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US10672866B2 (en) 2015-12-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US9728407B2 (en) 2015-12-30 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming features with various dimensions
US10084040B2 (en) 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US11239310B2 (en) 2015-12-30 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US11798984B2 (en) 2015-12-30 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US10755936B2 (en) 2015-12-31 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes
US10157742B2 (en) 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US10748768B2 (en) 2015-12-31 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US9711604B1 (en) 2015-12-31 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes
US11387105B2 (en) 2015-12-31 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes
US10276392B2 (en) 2015-12-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Loading effect reduction through multiple coat-etch processes
US10431473B2 (en) 2016-01-15 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET with source/drain structure and method of fabrication thereof
US9935199B2 (en) 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US9570302B1 (en) 2016-02-10 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning a material layer
US9684236B1 (en) 2016-03-17 2017-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning a film layer
US9799529B2 (en) 2016-03-17 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizing a film layer
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US10692725B2 (en) 2016-03-18 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US9911606B2 (en) 2016-04-28 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mandrel spacer patterning in multi-pitch integrated circuit manufacturing
US10763178B2 (en) 2016-05-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure
US11348841B2 (en) 2016-05-27 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with recessed spacer
US10147649B2 (en) 2016-05-27 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate stack and method for forming the same
US10163691B2 (en) 2016-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Low-K dielectric interconnect systems
US11289338B2 (en) 2016-05-31 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improved critical dimension uniformity in a semiconductor device fabrication process
US10714357B2 (en) 2016-05-31 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for improved critical dimension uniformity in a semiconductor device fabrication process
US11901188B2 (en) 2016-05-31 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improved critical dimension uniformity in a semiconductor device fabrication process
US9768061B1 (en) 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
US10032639B2 (en) 2016-05-31 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for improved critical dimension uniformity in a semiconductor device fabrication process
US10361286B2 (en) 2016-06-24 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for mandrel and spacer patterning
US10818779B2 (en) 2016-06-24 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for mandrel and spacer patterning
US9972526B2 (en) 2016-07-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conductive structure in semiconductor structure
US10282504B2 (en) 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US11392745B2 (en) 2016-09-30 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US10853552B2 (en) 2016-09-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US10446662B2 (en) 2016-10-07 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing metal gate overhang by forming a top-wide bottom-narrow dummy gate electrode
US10269715B2 (en) 2016-10-14 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Split rail structures located in adjacent metal layers
US10522469B2 (en) 2016-10-14 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Split rail structures located in adjacent metal layers
US10020261B2 (en) 2016-10-14 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Split rail structures located in adjacent metal layers
US10020379B2 (en) 2016-11-18 2018-07-10 Taiwan Semiconuctor Manufacturing Co., Ltd. Method for forming semiconductor device structure using double patterning
US10957529B2 (en) 2016-11-28 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for drying wafer with gaseous fluid
US9881794B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10276381B2 (en) 2016-11-29 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10840097B2 (en) 2016-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10249605B2 (en) 2016-12-07 2019-04-02 Samsung Electronics Co., Ltd. Integrated circuit devices
US11164863B2 (en) * 2016-12-15 2021-11-02 Samsung Electronics Co., Ltd. Integrated circuit having vertical transistor and semiconductor device including the integrated circuit
US20180350607A1 (en) * 2017-06-01 2018-12-06 Globalfoundries Inc. Semiconductor structure
US10483164B2 (en) * 2017-11-14 2019-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US11901190B2 (en) * 2017-11-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning
US20190164772A1 (en) * 2017-11-30 2019-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
US11664237B2 (en) 2018-06-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having improved overlay shift tolerance
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US11764286B2 (en) 2018-09-28 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US11450611B2 (en) * 2019-09-11 2022-09-20 Kioxia Corporation Semiconductor device and method of manufacturing the same
US11527444B2 (en) 2019-09-25 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer formation for semiconductor devices
US11502182B2 (en) 2020-05-11 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective gate air spacer formation

Also Published As

Publication number Publication date
US20190252308A1 (en) 2019-08-15
US10276488B2 (en) 2019-04-30
KR101401747B1 (en) 2014-05-30
CN103456774B (en) 2016-05-18
US20180025968A1 (en) 2018-01-25
US11211323B2 (en) 2021-12-28
KR20130135717A (en) 2013-12-11
CN103456774A (en) 2013-12-18

Similar Documents

Publication Publication Date Title
US11211323B2 (en) Method of fabricating field effect transistor having non-orthogonal gate electrode
US9397097B2 (en) Gate structure for semiconductor device
US8586436B2 (en) Method of forming a variety of replacement gate types including replacement gate types on a hybrid semiconductor device
US9640535B2 (en) Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques and the resulting semiconductor devices
US9601489B2 (en) Dummy metal gate structures to reduce dishing during chemical-mechanical polishing
US9406776B2 (en) High temperature gate replacement process
KR101366484B1 (en) Enhanced gate replacement process for high-k metal gate technology
US9887189B2 (en) Integrated circuits with resistors
CN107068757B (en) FINFET device and method of forming the same
US20110248348A1 (en) Hybrid Gate Process For Fabricating Finfet Device
US9524934B2 (en) Integrated circuits with electrical fuses and methods of forming the same
CN101661936A (en) Semiconductor device and fabricating method for same
US20100240204A1 (en) Methods for forming metal gate transistors
US11239120B2 (en) Semiconductor device
TWI679767B (en) Method for source/drain contact formation in semiconductor devices
TWI761234B (en) Electrostatic discharging device and manufacturing method of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD., T

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIU, CHIA-CHU;YEH, SHIAO-CHIAN;WU, HONG-JANG;AND OTHERS;SIGNING DATES FROM 20120530 TO 20120606;REEL/FRAME:028746/0509

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION