CN109521648B - Euv光刻中的湿度控制 - Google Patents

Euv光刻中的湿度控制 Download PDF

Info

Publication number
CN109521648B
CN109521648B CN201810004571.0A CN201810004571A CN109521648B CN 109521648 B CN109521648 B CN 109521648B CN 201810004571 A CN201810004571 A CN 201810004571A CN 109521648 B CN109521648 B CN 109521648B
Authority
CN
China
Prior art keywords
water
layer
photoresist layer
euv
baking
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810004571.0A
Other languages
English (en)
Other versions
CN109521648A (zh
Inventor
訾安仁
郑雅如
张庆裕
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109521648A publication Critical patent/CN109521648A/zh
Application granted granted Critical
Publication of CN109521648B publication Critical patent/CN109521648B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/06Silver salts
    • G03F7/063Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3042Imagewise removal using liquid means from printing plates transported horizontally through the processing stations
    • G03F7/3057Imagewise removal using liquid means from printing plates transported horizontally through the processing stations characterised by the processing units other than the developing unit, e.g. washing units
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

本公开涉及EUV光刻中的湿度控制。在晶片上涂覆光敏层。曝光光敏层。在一些实施例中,光敏层被暴露于EUV光。烘焙光敏层。使光敏层显影。在涂覆、烘焙或显影中的至少一者中引入湿度。

Description

EUV光刻中的湿度控制
技术领域
本公开涉及半导体领域,具体地,涉及极紫外(EUV)光刻中的湿度控制。
背景技术
半导体集成电路(IC)产业经历着指数级的增长。IC材料和设计的技术进步已经产生了数代IC,其中每一代具有比上一代更小且更复杂的电路。在IC进化的过程中,功能密度(即,每芯片面积中的互连器件的数量)通常在增大,而几何尺寸(即,可以使用制造工艺创建的最小组件(或线))在减小。这种缩减过程通常通过提高生产效率和降低相关成本来提供益处。这种缩减还增大了IC加工和制造的复杂性。
为了实现这些改进,IC加工和制造中需要类似的发展。例如,对执行更高分辨率的光刻处理的需求在增大。一种光刻技术是极紫外(EUV)光刻技术。EUV光刻技术采用的扫描仪使用极紫外区域中的光,波长约为1-100纳米(nm)。除了EUV扫描仪使用反射光学器件而不是折射光学器件(即使用反射镜而不是透镜)之外,类似于一些光学扫描仪,一些EUV扫描仪能提供4倍缩小投影印刷。EUV扫描仪在形成在反射掩模上的吸收层(“EUV”掩模吸收体)上提供所需的图案。
然而,尽管EUV光刻技术越来越普及,但传统的EUV光刻技术可能仍有缺点。例如,传统的EUV光刻技术可能难以同时提供良好的光刻性能和产量。通常,随着产量的提高,光刻性能会受到影响,反之亦然。
因此,虽然传统的EUV光刻技术通常就其预期目的而言是足够的,但其并未在各个方面都令人满意。
发明内容
本公开提供了一种方法。该方法包括:在晶片上涂覆光敏层;曝光光敏层;烘焙光敏层;使光敏层显影;并且在涂覆、烘焙或显影中的至少一个中引入湿度。
本公开还提供了一种材料。该材料包括:用于极紫外(EUV)光刻的光敏材料;溶剂;和水。
本公开还提供半导体制造系统。该半导体制造系统包括:第一组件,被配置为在晶片上涂覆光刻胶层;第二组件,被配置为烘焙光刻胶层;第三组件,被配置为曝光光刻胶层;以及第四组件,被配置为使光刻胶层显影;其中第一组件、第二组件和第四组件中的至少一者还被配置为将湿度或水引入光刻胶层。
附图说明
当与附图一起阅读时,从以下详细描述中可以最好地理解本公开的方面。值得注意的是,按照行业的标准做法,并没有按比例绘制各种特征。事实上,为了清楚的讨论,可能任意增大或减小各种特征的尺寸。
图1是根据本公开的一些实施例构造的光刻系统的示意图。
图2是根据本公开的一些实施例构造的EUV掩模的截面图。
图3至图9是根据本公开的一些实施例,半导体器件在制造的各个阶段的示意性局部横截面侧视图。
图10是根据本公开的一些实施例的用于制造半导体器件的系统的示意图。
图11是示出根据本公开的一些实施例的光刻胶的湿度和灵敏度之间的关系的曲线图。
图12是根据本公开的一些实施例的制造半导体器件的方法的流程图。
具体实施方式
以下公开内容提供了用于实现本发明的不同特征的许多不同的实施例或示例。下文描述的组件和布置的具体示例是为了简化本公开。当然,这些仅仅是示例,而不意欲进行限制。例如,在下文的描述中,在第二特征上形成第一特征可以包括其中第一特征和第二特征以直接接触方式形成的实施例,并且还可以包括其中在第一特征和第二特征之间可以形成额外的特征以使得第一和第二特征可以不直接接触的实施例。此外,本公开可能在各种示例中重复附图标号和/或字母。该重复是为了简单和清楚的目的,并且其本身并不指定所讨论的各种实施例和/或配置之间的关系。
此外,在本文中可以使用诸如“在…下方”、“在…下”、“下部”、“在…上”、“上部”等之类的空间相对位置术语,以便于描述如图所示的一个元件或特征与另外的(一个或多个)元件或特征的关系。空间相对位置术语旨在包括设备除了附图中所示的方向之外在使用或操作中的不同方向。设备可能以其他方式定向(旋转90度或在其他方向),并且本文中使用的空间相对位置描述符同样可以被相应地解释。
由于极紫外(EUV)光刻技术能够实现小的半导体器件尺寸,所以其已被广泛使用。然而,执行EUV光刻的常规系统和方法可能仍然存在缺点。例如,功率/能量的增大(其对应于抗蚀剂灵敏度的降低)可能产生更好的光刻性能,例如较小的线宽粗糙度(LWR)。但不幸的是,功率的增大也可能导致产量降低,这增加了使用EUV光刻来制造半导体器件的成本。传统的EUV光刻系统和方法无法为此问题提供解决方案。
本公开的发明人发现EUV光刻胶可能对湿度或水的存在敏感。例如,发明人发现,随着湿度的增加,EUV光刻胶的灵敏度可能降低,但不必然影响EUV光刻的功率或能量。这意味着EUV光刻中湿度的增加可以同时改善EUV光刻性能和产量。因此,本公开特意将水或湿度引入EUV光刻的各个步骤中,如下面更详细地讨论的。首先,下面将参照图1和图2讨论EUV光刻系统。接下来,参照图3-12,根据本公开的实施例,描述如何将水或湿度引入到EUV光刻的各个步骤的细节。
图1是根据一些实施例构造的EUV光刻系统10的示意图。EUV光刻系统10也可以被统称为扫描仪,其被配置为利用相应的辐射源和曝光模式执行光刻曝光处理。EUV光刻系统10被设计为通过EUV光或EUV辐射曝光光刻胶层。光刻胶层是对EUV光敏感的材料。EUV光刻系统10使用辐射源12产生EUV光,例如波长范围在约1nm至约100nm之间的EUV光。在一个具体示例中,辐射源12产生具有以约13.5nm为中心的波长的EUV光。因此,辐射源12也被称为EUV辐射源12。
光刻系统10还采用照明器14。在各种实施例中,照射器14包括各种折射光学组件(例如单个透镜或具有多个透镜(波带片)的透镜系统)或替代的(用于EUV光刻系统的)反射光学器件(例如单个反射镜或具有多个反射镜的反射镜系统),以将来自辐射源12的光引导到掩模台16上,尤其是引导到固定在掩模台16上的掩模18上。在本实施例中,辐射源12产生在EUV波长范围内的光,照明器14采用反射光学器件。在一些实施例中,照明器14包括偶极照明组件。
在一些实施例中,照明器14可操作来将反射镜配置为向掩模18提供适当的照明。在一个示例中,照明器14的反射镜可切换以将EUV光反射到不同的照明位置。在一些实施例中,在照明器14之前的阶段可以另外包括其他可切换的反射镜,这些反射镜可被控制以与照明器14的反射镜一起将EUV光引导到不同的照明位置。在一些实施例中,照明器14被配置为向掩模18提供轴上照明(on-axis illumination,ONI)。在一个示例中,采用部分相干性σ至多为0.3的盘状照明器14。在一些其它实施例中,照明器14被配置为向掩模18提供离轴照明(off-axis illumination,OAI)。在一个示例中,照明器14是偶极照明器。在一些实施例中,偶极照明器的部分相干性σ至多为0.3。
光刻系统10还包括被配置为固定掩模18的掩模台16。在一些实施例中,掩模台16包括用于固定掩模18的静电卡盘(e卡盘)。这是因为气体分子吸收EUV光,并且用于EUV光刻图案化的光刻系统被保持在真空环境中以避免EUV强度损失。在本公开中的术语如掩模、光掩模和掩模版(reticle)可互换使用以指代相同的项目。
在本实施例中,光刻系统10是EUV光刻系统,并且掩模18是反射掩模。提供了掩模18的一个示例性结构来进行说明。掩模18包括具有合适材料的衬底,例如低热膨胀材料(LTEM)或熔石英。在各种示例中,LTEM包括掺杂TiO2的SiO2或具有低热膨胀的其它合适的材料。在一些实施例中,LTEM包括重量为5%至20%的TiO2,并且具有低于约1.0×10-6/℃的热膨胀系数。例如,在一些实施例中,掺杂TiO2的SiO2材料的LTEM具有的热膨胀系数使其针对每1摄氏度的温度变化的变化小于十亿分之六十。当然,也可以使用具有等于或小于掺杂TiO2的SiO2的热膨胀系数的其它合适的材料。
掩模18还包括沉积在衬底上的反射性多层(ML)。ML包括多个膜对,例如钼-硅(Mo/Si)膜对(例如,在每个膜对中钼层在硅层的上方或下方)。或者,ML可以包括钼-铍(Mo/Be)膜对或可被配置为高度反射EUV光的其它合适的材料。
掩模18还可以包括设置在ML上的用于保护的覆盖层,例如钌(Ru)。掩模18还包括沉积在ML上的吸收层。吸收层被图案化以定义集成电路(IC)层。或者,另一反射层可以沉积在ML上并被图案化以定义集成电路层,从而形成EUV相移掩模。
光刻系统10还包括投影光学模块(或投影光学箱(projection optics box;POB))20,用于将掩模18的图案成像到固定在衬底台28上的半导体衬底(作为靶26的示例)上。在各种实施例中,POB 20具有折射光学器件(例如用于UV光刻系统)或者反射光学器件(例如用于EUV光刻系统)。由POB 20收集从掩模18引导的、衍射到各种衍射级并且承载掩模上定义的图案的图像的光。POB 20可以包括小于1的放大倍数(从而,靶(target)(例如下面讨论的靶26)上的“图像”的尺寸小于掩模上相应的“对象”的尺寸),照明器14和POB 20被统称为光刻系统10的光学模块。
光刻系统10还包括光瞳相位调制器22,以调制从掩模18引导的光的光学相位,使得光在投影光瞳平面24上具有相位分布。在光学模块中,存在具有与对象(在本例中为掩模18)的傅里叶变换相对应的场分布的平面。该平面称为投影光瞳平面。光瞳相位调制器22提供了在投影光瞳平面24上调制光的光相位的机制。在一些实施例中,光瞳相位调制器22包括用于调谐POB 20的反射镜以进行相位调制的机制。例如,POB 20的反射镜是可切换的并且被控制以反射EUV光,从而通过POB 20调制光的相位。
在一些实施例中,光瞳相位调制器22利用放置在投影光瞳平面上的光瞳滤光器。光瞳滤光器滤除来自掩模18的EUV光的特定空间频率分量。特别地,光瞳滤光器是用于调制通过POB 20引导的光的相位分布的相位光瞳滤光器。然而,在一些光刻系统(例如EUV光刻系统)中利用相位光瞳滤光器是受限制的,因为所有用以制作相位光瞳滤光器的材料都会吸收EUV光。
如上所述,光刻系统10还包括用于固定待图案化的靶26(例如,半导体衬底)的衬底台28。在本实施例中,半导体衬底是诸如硅晶片或其它类型的晶片的半导体晶片。靶26(例如衬底)涂覆有对辐射光束(例如在本实施例中的EUV光)敏感的抗蚀剂层。包括上述的那些组件的各种组件被集成在一起并且可操作以执行光刻曝光工艺。光刻系统10还可以包括其它模块或者可以与其他模块集成(或耦合)。
根据一些实施例进一步描述掩模18及其制造方法。在一些实施例中,掩模制造过程包括两个操作:空白掩模制造处理和掩模图案化处理。在空白掩模制造处理期间,通过在合适的衬底上沉积合适的层(例如反射性的多个层)来形成空白掩模。然后在掩模图案化处理期间对空白掩模进行图案化,以实现期望的集成电路(IC)层的设计。然后图案化的掩模被用于将电路图案(例如,IC层的设计)传递到半导体晶片上。这些图案可以通过各种光刻处理一次又一次地传递到多个晶片上。使用一组掩模来构建完整的IC。
在各种实施例中,掩模18包括合适的结构,例如二元强度掩模(BIM)和相移掩模(PSM)。示例性BIM包括吸收区域(也称为不透明区域)和反射区域,这些区域被图案化以限定要传递到靶的IC图案。在不透明区域中,存在吸收体,入射光几乎完全被吸收体吸收。在反射区域中,吸收体被移除并且入射光被多层(ML)衍射。PSM可以是衰减PSM(AttPSM)或交替PSM(AltPSM)。示例性PSM包括根据IC图案图案化的第一反射层(例如反射ML)和第二反射层。在一些实例中,AttPSM通常具有来自其吸收体的2%-15%的反射率,而AltPSM通常具有来自其吸收体的大于50%的反射率。
掩模18的一个示例在图2中示出。所示实施例中的掩模18是EUV掩模,并且包括由LTEM制成的衬底30。LTEM材料可以包括掺杂TiO2的SiO2和/或本领域已知的其它低热膨胀材料。在一些实施例中,导电层32被另外设置在LTEM衬底30的背面下方以用于静电夹持目的。在一个示例中,导电层32包括氮化铬(CrN)。在其它实施例中,其它合适的组合物是可能的,例如含钽材料。
EUV掩模18包括设置在LTEM衬底30上的反射性多层结构34。可以选择反射性多层结构34使其对所选择的辐射类型/波长提供高反射率。反射性多层结构34包括多个膜对,例如Mo/Si膜对(例如,在每个膜对中钼层在硅层上方或下方)。替换地,反射性多层结构34可以包括Mo/Be膜对,或者在EUV波长处具有高反射性的折射率差的任何材料。
参考图2,EUV掩模18还包括设置在反射性多层结构34上的覆盖层36,以防止ML的氧化。在一个实施例中,覆盖层36包括厚度范围为约4nm至约7nm的硅。EUV掩模18还可以包括设置在覆盖层36上方的缓冲层38,以在吸收层的图案化或修复过程中用作蚀刻停止层,这将在后面进行描述。缓冲层38具有与设置在其上方的吸收层不同的蚀刻特性。在各种实施例中,缓冲层38包括钌(Ru)、含钌材料(例如RuB、RuSi等含钌化合物)、铬(Cr)、氧化铬和氮化铬。
EUV掩模18还包括在缓冲层38上形成的吸收体层40(也称为吸收层)。在一些实施例中,吸收体层40吸收被引导到掩模上的EUV辐射。在各种实施例中,吸收体层可由钽硼氮(TaBN)、钽硼氧(TaBO)或铬(Cr)、镭(Ra)、或以下一种或多种材料的合适的氧化物或氮化物(或合金)制成:锕(Actium)、镭、碲、锌、铜和铝。
图3-9示出了根据本公开的实施例,半导体器件100在制造的各个阶段的简化示意性局部横截面侧视图。参考图3,半导体器件100包括衬底140。在一些实施例中,衬底140是掺杂有诸如硼的p型掺杂剂的硅衬底(例如p型衬底)。或者,衬底140可以是另一种合适的半导体材料。例如,衬底140可以是掺杂有诸如磷或砷的n型掺杂剂的硅衬底(n型衬底)。衬底140可以包括其他基本半导体,例如锗和金刚石。衬底140可以可选地包括化合物半导体和/或合金半导体。此外,衬底140可以包括外延层(epi层),可以具有应变以用于性能增强,并且可以包括绝缘体上硅(SOI)结构。
在一些实施例中,衬底140是基本上导电的或半导电的。电阻可以小于约103欧姆米。在一些实施例中,衬底140包含金属、金属合金或金属氮化物/硫化物/硒化物/氧化物/硅化物,其公式为MXa,其中M是金属,X是N、S、Se、O、Si,并且其中“a”在约0.4至2.5的范围内。例如,衬底140可以包含Ti、Al、Co、Ru、TiN、WN2或TaN。
在一些其它实施例中,衬底140包含介电常数在约1至约140的范围内的介电材料。在一些其它实施例中,衬底140包含Si、金属氧化物或金属氮化物,其公式是MXb,其中M是金属或Si、X是N或O,并且其中“b”在约0.4至2.5的范围内。例如,衬底140可以包含SiO2、氮化硅、氧化铝、氧化铪或氧化镧。
材料层150形成在衬底140上。材料层150可经由光刻处理被图案化,因此也可称为可图案化层。在一个实施例中,材料层150包括介电材料,例如氧化硅或氮化硅。在另一实施例中,材料层150包括金属。在又一实施例中,材料层150包括半导体材料。
在一些实施例中,材料层150具有与光刻胶不同的光学性质。例如,材料层150具有与光刻胶不同的n、k或T值。在一些实施例中,材料层150包含以下至少一种以导致与光刻胶不同的n值:不同的聚合物结构、酸不稳定(acid labile)分子、PAG(photo acidgenerator,光酸产生剂)加载、猝灭剂加载、发色团、交联剂或溶剂。在一些实施例中,材料层150和光刻胶具有不同的耐蚀刻性。在一些实施例中,材料层150含有抗蚀刻分子。该分子包括低onishi数结构,双键、三键、硅、氮化硅、Ti,TiN、Al、氧化铝、SiON或其组合。应当理解,在其它实施例中,衬底140和材料层150可以各自包括另外合适的材料组分。
光刻胶层160形成在衬底140上(具体地,在材料层150上方)。光刻胶层160可以通过旋涂处理170形成。在图3所示的实施例中,光刻胶层160包括正性光刻胶,但是应当理解,在替代实施例中,光刻胶160可以包括负性光刻胶。光刻胶层160含有化学组分,例如聚合物、光酸产生剂(PAG)、猝灭剂、发色团、表面活性剂、交联剂等。在一个实施例中,光酸产生剂与聚合物结合(bond)。在随后的光刻过程中,光子诱导PAG的分解。从而形成少量的酸,这进一步在光刻胶层160中引起了一连串的化学转化。光刻胶材料内的猝灭剂有助于改善临界尺寸(CD)控制。
应当理解,上文讨论的化学组分(例如聚合物、PAG、猝灭剂、发色团、表面活性剂、交联剂)可以是光刻胶层160的小部分(例如,在约1%至10%之间,例如,约5%)。光刻胶层160的大部分是溶剂。在一些实施例中,溶剂可以包括丙二醇单甲醚(PGME)或丙二醇单甲醚乙酸酯(PGMEA),或其组合。在将光刻胶层160旋涂或以其它方式涂覆在层150上之前,溶剂可以在瓶子或另一合适的容器中与光刻胶160的其它化学组分(例如聚合物)混合。
对于常规光刻胶层而言,没有特别地将水引入光刻胶。相比之下,根据本公开的各个方面,特意将水(即H2O)添加到光刻胶层160中。在一些实施例中,将水加入到还含有上文讨论的光刻胶层160的化学组分(例如,聚合物、PAG等)以及溶剂(例如,PGME或PGMEA)的瓶子(或其它合适的容器)中。从而产生混合物,其中该混合物包含:光刻胶的各种化学成分、溶剂和水。
在一些实施例中,小心地添加一定量的水以确保混合物中的含水量处于预定水平。在一些实施例中,该预定水平在约1%至约15%之间。例如,向混合物中加入适量的水,使得混合物中大约1%-15%是水。在这样的实施例中,光刻胶层160的化学组分仍然可以构成混合物的约1%-10%(例如5%),并且溶剂可构成混合物的约80%-94%。在一些实施例中,该预定水平为约0.1%至8%(即混合物的约0.1%-8%为水)。
应当理解,混合物中含水量的预定水平不是随机选择的,而是被特别地配置以确保光刻胶层160达到所需的湿度量。如上所述,光刻胶的敏感性与光刻胶的湿度水平相关,并且光刻胶的适量的湿度将降低光刻胶的灵敏度,而不需要改变EUV光刻的功率或能量水平。因此,可以既提高EUV光刻性能又提高产量。还应当理解的是,包含光刻胶的化学成分、溶剂和水的混合物在处理170中被旋涂在材料层150上以形成光刻胶层160。换句话说,混合物实际上变成被旋涂的光刻胶层160。
现在参考图4,在旋涂处理170之后,对半导体器件100执行烘焙处理200。由于该烘焙处理200是在曝光光刻胶层160之前被执行,所以烘焙处理200也可以被称为曝光前烘焙处理。烘焙处理200可以在具有可调湿度设定的腔室中执行,例如在半导体制造的轨道(track)系统的烤箱工具中执行。在一些实施例中,腔室可以包括“热板”。根据本公开的各个方面,特意引入预定水平的湿度作为烘焙处理200的一部分。在一些实施例中,工具(在其中执行烘焙处理200)被配置为使得光刻胶层160接触的空气具有大于约44%的湿度水平,例如在约46%-55%之间的湿度水平。这种具体配置的湿度水平允许光刻胶层160具有降低的灵敏度,但不需改变光刻处理(例如,随后要执行的曝光处理)的功率或能量,并且这提高了光刻性能和产量。
现在参考图5,在烘焙处理200之后对半导体器件100执行曝光处理220。在所示实施例中,曝光220包括EUV曝光。作为曝光的一部分,使用波长小于约250nm的光源(或照明源),例如,在一些实施例中约13.5nm。在一些实施例中,照明源具有以下至少一者:KrF、ArF、EUV或电子束。光源曝光光刻胶层160的一个或多个预定区域160A,同时通过使用图案化的光刻光掩模来防止曝光光刻胶层160的其它区域160B。例如,图案化的光刻光掩模可以是上面参照图1和图2讨论的EUV掩模18的实施例。
现在参考图6,在曝光处理220之后,对半导体器件100执行冲洗(rinse)处理240。冲洗处理240被配置为将水或湿度引入光刻胶层160。在一些实施例中,冲洗处理240包括用包括溶剂和水的液体溶液来冲洗光刻胶层160。在一些实施例中,溶剂包括丙二醇甲醚乙酸酯、丙二醇甲醚、乙酸丁酯、1-乙氧基-2-丙醇、γ-丁内酯、环己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺、乙腈、异丙醇、四氢呋喃、乙酸、或其组合。添加到液体溶液中的水的量也是被仔细配置的。在一些实施例中,水构成液体溶液的约0.1%至约10%,例如液体溶液的约0.1%和约5%。应当理解,在一些实施例中,可以执行水蒸气处理过程来替代冲洗处理240或与冲洗处理240组合,其中水蒸汽处理过程将水蒸汽施加到光刻胶层160。还应当理解,在一些实施例中,冲洗处理240的执行是可选的。
现在参考图7,在冲洗处理240之后(或者如果冲洗处理240被跳过,则在曝光处理220之后),对半导体器件100执行烘焙处理250。由于在曝光光刻胶层160的曝光处理220之后进行该烘焙处理250,所以烘焙处理250也可以称为曝光后烘焙(PEB)处理。烘焙处理250也可以在具有可调湿度设置的腔室(例如,热板)中执行,例如在半导体制造的轨道系统的烤箱工具中。
在一些实施例中,烘焙处理250和烘焙处理200在相同的热板中或使用相同的工具进行。与烘焙处理200类似,烘焙处理250特意向光刻胶层160引入预定水平的湿度。例如,热板(或在其中执行烘焙处理250的任何其它合适的工具)被特别地配置为针对暴露于光刻胶层160的空气实现约46%-55%之间的湿度水平。如同烘焙处理200的情况一样,与烘焙处理250相关联的这种被具体配置的湿度水平也允许降低光刻胶层160的灵敏度而不改变光刻处理的功率或能量水平,这有助于提高光刻性能和产量。可以理解的是,烘焙处理250和烘焙处理200的特定湿度水平在一些实施例中可被配置为相同,或者在其他实施例中被配置为不同。
现在参考图8,在烘焙处理250之后,对半导体器件100执行冲洗处理270。类似于冲洗处理240,冲洗处理270被配置为将水或湿度引入光刻胶层160。在一些实施例中,冲洗处理240包括利用包括溶剂和水的液体溶液来冲洗光刻胶层160。在一些实施例中,溶剂包括丙二醇甲醚乙酸酯、丙二醇甲醚、乙酸丁酯、1-乙氧基-2-丙醇、γ-丁内酯、环己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺、乙腈、异丙醇、四氢呋喃、乙酸、或其组合。添加到液体溶液中的水的量也是被仔细配置的。在一些实施例中,水构成液体溶液的约0.1%至约10%之间,例如液体溶液的约0.1%和约5%。应当理解,可以执行水蒸气处理过程来替代冲洗处理270或与冲洗处理270组合,并且在一些实施例中,冲洗处理270的执行是可选的。
现在参考图9,在冲洗处理270之后(或如果冲洗处理270被跳过,则在烘焙处理250之后),对光刻胶层160执行显影处理300。显影处理300包括将显影剂溶液涂覆到光刻胶层160,其中显影剂溶液包括溶剂型显影剂和水。在一些实施例中,溶剂型显影剂包括2-庚酮、乙酸正丁酯(NBA)、乙酸异戊酯或其组合。
同样,这里将水添加到显影剂溶液中以将湿度引入光刻胶层160,并且添加到显影剂溶液中的水的量也是被仔细配置的。在一些实施例中,水构成显影剂溶液的约0.1%至约10%之间,例如显影剂溶液的约0.1%和约5%。
如图9所示,显影处理300的执行将光刻胶层160图案化。假设使用正色调光刻胶,光刻胶层160的被曝光部分160A在显影处理300之后被去除,因为暴露于EUV光下改变了光刻胶的化学结构并使其更易溶于显影剂溶液。同时,保留了光刻胶层160的未曝光部分160B,从而在被去除部分160A的位置形成开口310。另一方面,如果光刻胶层160是负色调光刻胶,则被曝光部分160A将在显影处理300之后保留,而未曝光部分160B将被去除。在任何情况下,图案化的光刻胶层160现在可以被用于在随后的制造过程中对材料层150进行图案化。
图10是半导体制造系统400的一部分的简化示意图,该系统可以被用于执行上面参考图3-9讨论的各种制造过程。制造系统400包括光刻胶涂覆工具410。作为上文参考图3讨论的旋涂处理170的一部分,光刻胶涂覆工具410可用于在层150上涂覆光刻胶层160。在一些实施例中,光刻胶涂覆工具410包括用于混合光刻胶化学品(例如聚合物、PAG等)、溶剂和水的瓶子或容器。光刻胶涂覆工具410还可以包括用于喷射液体(例如由光刻胶化学品、溶剂和水组成的混合物)的喷嘴。光刻胶涂覆工具410还可以包括平台,用于在晶片上涂覆光刻胶层160的同时保持和/或旋转晶片。光刻胶涂覆工具410还可以包括控制器415,用于配置旋涂处理170的各种工艺参数(例如添加到混合物中的水的量(例如,以得到水的含量在1%至约15%之间的混合物))。
制造系统400包括烘焙工具420。作为上文参照图4讨论的烘焙处理200的一部分,烘焙工具420可用于烘焙光刻胶层160。在一些实施例中,烘焙工具420包括作为轨道半导体制造系统的一部分的“热板”。热板可以具有可调的设定,用于湿度控制。烘焙工具420还可以包括控制器425,用于配置烘焙处理200的各种工艺参数(例如热板的湿度设定)。在一些实施例中,控制器425可将烘焙工具的湿度配置为超过约44%,例如在约46%至约55%之间的范围内。
制造系统400包括曝光工具430。作为上文参考图5讨论的曝光处理220的一部分,曝光工具430可以用于曝光光刻胶层160。在一些实施例中,曝光工具430包括EUV扫描仪,例如上面参考图1讨论的EUV光刻系统10。曝光工具430还可以包括控制器435,用于配置曝光处理220的各种工艺参数。
制造系统400包括冲洗工具440。作为上文参照图6讨论的冲洗处理240的一部分,冲洗工具440可以用于冲洗光刻胶层160。在一些实施例中,冲洗工具440包括喷嘴或其他分配单元,这些单元被配置为分配混合有水的溶剂。冲洗工具440还可以包括控制器445,用于配置冲洗处理240的各种工艺参数(例如,要添加到溶剂中的水的量)。在一些实施例中,控制器445可以将分配的清洗液体溶液中的含水量配置在约0.1%和约10%之间的范围内。
制造系统400包括曝光后烘焙(PEB)工具450。作为上面参照图7讨论的烘焙处理250的一部分,PEB工具420可以用于烘焙光刻胶层160。在一些实施例中,烘焙工具450包括作为轨道半导体制造系统的一部分的“热板”。热板可以具有可调的设定,用于湿度控制。烘焙工具450还可以包括控制器455,用于配置烘焙处理250的各种工艺参数(例如,热板的湿度设定)。在一些实施例中,控制器455可将烘焙工具的湿度配置为超过约44%,例如在约46%至约55%之间的范围内。在一些实施例中,含有N2和CO2的吹扫(purge)气体可以被混合到PEB烘焙工具450的热板(或任何其它存储位置)中,以改善反应控制。吹扫气体中的N2/CO2的比率在约54%至约100%之间,以便优化灵敏度控制。在一些实施例中,含有N2/CO2的吹扫气体可选地也可以用于烘焙工具420。
制造系统400包括冲洗工具460。作为上文参考图8讨论的冲洗处理270的一部分,冲洗工具460可以用于冲洗光刻胶层160。在一些实施例中,冲洗工具460包括喷嘴或其它分配单元,这些单元被配置为分配混合有水的溶剂。冲洗工具460还可以包括控制器465,用于配置冲洗处理270的各种工艺参数(例如,要添加到溶剂中的水的量)。在一些实施例中,控制器465可以将分配的冲洗液体溶液中的含水量配置在约0.1%至约10%之间的范围内。
制造系统400包括显影工具470。作为上文参考图9讨论的显影处理300的一部分,显影工具470可用于使光刻胶层160显影。在一些实施例中,显影工具470包括喷嘴或其他分配单元,这些单元被配置为分配混合有水的显影剂溶液。显影工具470还可以包括控制器475,用于配置显影处理240的各种工艺参数(例如,要添加到显影剂溶液中的水的量)。在一些实施例中,控制器475可以将分配的显影剂溶液中的含水量配置在约0.1%和约10%之间的范围内。
应当理解,图10所示的箭头表示使用系统400执行半导体制造过程的顺序。冲洗工具440和冲洗工具460之前和之后的虚线箭头表示使用冲洗工具440和460的冲洗处理是被可选地执行的。换句话说,在一些实施例中,在使用曝光工具的曝光处理220之后并且使用烘焙工具450的烘焙处理250之前执行与冲洗工具440相关联的冲洗处理240,但不执行与冲洗工具460相关联的冲洗处理270。在其它实施例中,不执行与冲洗工具440相关联的冲洗处理240,而是在使用烘焙工具450的烘焙处理250之后并且在使用显影工具470的显影处理300之前执行与冲洗工具460相关联的冲洗处理270。
虽然在图10中系统400的工具410-470被示出为分离的工具,但是应当理解,这些工具中的一个或多个可以集成到同一工具中。例如,PEB工具450和烘焙工具420可以被实现为同一工具。换句话说,同一“热板”既被用作执行烘焙处理200的烘焙工具420,也被用作执行烘焙处理250的PEB工具450。类似地,冲洗工具440和冲洗工具460可以被实现为用于执行冲洗处理240和冲洗处理270两者的同一工具。另外,上述工具410-470中的一些可以共享某些组件,例如用于分配液体的喷嘴或分配单元,或者甚至是控制器415-475。此外,在一些实施例中,系统400还可以包括独立的(即,实体上处于工具410-470外部的)控制器480,其中控制器480可用于复制或替换功能控制器415-475中任何一个的一个或多个功能。
图11是示出本公开的光刻胶的灵敏度和湿度之间的关系的曲线图600。具体地,曲线图600的X轴表示光刻胶的灵敏度(以百分比测量),曲线图600的Y轴表示光刻胶的湿度(也以百分比测量)。曲线图600包括多个数据样本,例如数据样本610-614,其中每个数据样本对应于一定的湿度和一定的灵敏度。基于数据样本610-614为曲线图600生成曲线620。
如曲线620所示,随着湿度的增加(至少在某一点之前),灵敏度降低。因此,可以期望根据上述的本公开的各种步骤增加光刻胶的湿度来降低光刻胶的灵敏度。当然,在实际制造中,光刻胶不应该被水稀释太多。在不使光刻胶稀释太多的情况下,为了实现最佳结果,本公开的各种方法被配置为使得EUV光刻中的湿度被控制在区域630内。
图12是示出简化方法700的流程图。方法700包括在晶片上涂覆光敏层的步骤710。
方法700包括曝光光敏层的步骤720。在一些实施例中,曝光包括使光敏层暴露于极紫外(EUV)光。
方法700包括烘焙光敏层的步骤730。
方法700包括使光敏层显影的步骤740。
作为方法700的一部分,湿度被引入到如下步骤中的至少一个步骤中:涂覆光敏层的步骤710、烘焙光敏层的步骤720、或使光敏层显影的步骤740。在一些实施例中,在涂覆光敏层的步骤710之前或在该步骤期间执行湿度的引入。在一些实施例中,湿度的引入被执行以使得光敏层的约1%至约15%为水。
在一些实施例中,在烘焙步骤730期间执行湿度的引入。在一些实施例中,以约46%至约55%之间的湿度设定来执行烘焙。在一些实施例中,在曝光步骤720之前和曝光步骤720之后执行烘焙。
在一些实施例中,在显影步骤740期间执行湿度的引入。在一些实施例中,显影中使用的显影剂溶液中的含水量在约0.1%至约10%的范围内。
应当理解,可以在图12的步骤710-740之前、期间或之后执行另外的制造过程。例如,方法700可以在曝光步骤720之后但在烘焙步骤730之前包括冲洗光敏层的步骤。在一些实施例中,用液体执行冲洗,其中液体中的含水量在约0.1%至约10%的范围内。作为另一示例,方法700可以包括在烘焙步骤730之后但在显影步骤740之前冲洗光敏层的步骤。在一些实施例中,用液体执行冲洗,其中液体中的含水量在约0.1%至约10%的范围内。为简化起见,本文不详细讨论其它过程。
基于上述讨论,可以看出,本公开在EUV光刻中提供了各种优点。然而,应当理解,本文不一定讨论了所有优点,并且其他实施例可能提供不同的优点,并且不要求所有实施例都有特定的优点。优点之一是通过增加EUV光刻胶的湿度来使光刻胶的灵敏度降低。因此,可以在不需要增加曝光处理的功率或能量的情况下提高EUV光刻性能。同时,还可以提高晶片的产量。换句话说,本公开可以通过在光刻处理中引入仔细配置的水或湿度来同时改善产量和光刻性能。另一个优点是上述处理过程与现有制造工艺流程兼容并且易于实现。
根据示例1,本公开提供了一种方法。该方法包括:在晶片上涂覆光敏层;曝光光敏层;烘焙光敏层;使光敏层显影;并且在涂覆、烘焙或显影中的至少一个中引入湿度。
示例2包括根据示例1所述的方法,其中所述引入是在所述涂覆光敏层之前或期间执行的。
示例3包括根据示例2所述的方法,其中执行所述引入使得所述光敏层的约1%至约15%为水。
示例4包括根据示例1所述的方法,其中所述曝光包括将所述光敏层暴露于极紫外EUV光。
示例5包括根据示例1所述的方法,其中所述引入是在所述烘焙期间执行的。
示例6包括根据示例5所述的方法,其中所述烘焙是在所述曝光之前和所述曝光之后执行的,并且具有在约46%至约55%之间的湿度设定。
示例7包括根据示例1所述的方法,还包括:在所述曝光之后执行的所述烘焙期间,添加包含N2和CO2的吹扫气体。
示例8包括根据示例1所述的方法,还包括:在所述曝光之后但在所述烘焙之前冲洗所述光敏层。
示例9包括根据示例8所述的方法,其中所述冲洗是用液体执行的,其中所述液体中的含水量在约0.1%至约10%的范围内。
示例10包括根据示例1所述的方法,还包括:在所述烘焙之后但在所述显影之前冲洗所述光敏层。
示例11包括根据示例10所述的方法,其中所述冲洗是用液体执行的,其中所述液体中的含水量在约0.1%至约10%的范围内。
示例12包括根据示例1所述的方法,其中所述引入是在所述显影期间执行的,并且其中所述显影使用的显影剂溶液中的含水量在约0.1%至约10%的范围内。
根据示例13,本公开还提供了一种材料。该材料包括:用于极紫外(EUV)光刻的光敏材料;溶剂;和水。
示例14包括根据示例13所述的材料,其中所述材料被涂覆在半导体晶片上。
示例15包括根据示例13所述的材料,其中:所述光敏材料包括以下一项或多项:聚合物、光酸产生剂、猝灭剂、发色团、表面活性剂或交联剂;所述溶剂包括丙二醇单甲醚(PGME)或丙二醇单甲醚乙酸酯(PGMEA)。
示例16包括根据示例13所述的材料,其中:所述材料中的光敏材料的含量在约1%至约10%的范围内;所述材料中的溶剂的含量在约80%至约94%的范围内;所述材料中的水的含量在约1%至约15%的范围内。
根据示例17,本公开还提供半导体制造系统。该半导体制造系统包括:第一组件,被配置为在晶片上涂覆光刻胶层;第二组件,被配置为烘焙光刻胶层;第三组件,被配置为曝光光刻胶层;以及第四组件,被配置为使光刻胶层显影;其中第一组件、第二组件和第四组件中的至少一者还被配置为将湿度或水引入光刻胶层。
示例18包括根据示例17所述的半导体制造系统,其中所述第三组件被配置为使用极紫外EUV光来曝光所述光刻胶层。
示例19包括根据示例17所述的半导体制造系统,还包括:第五组件,其被配置为用包含水的液体冲洗所述光刻胶层。
示例20包括根据示例17所述的半导体制造系统,还包括:控制器,其被配置为设置被引入到所述光刻胶层的湿度水平或水量。
上述内容概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应当理解,他们可以容易地将本公开的内容用作对其它过程和结构进行设计或修改的基础,以实现与本文介绍的实施例相同的目的和/或获得相同优点。本领域技术人员还应该意识到,这种等同构造不脱离本公开的精神和范围,并且它们可以在不脱离本公开的精神和范围的情况下进行各种改变、替换和变更。

Claims (19)

1.一种用于光刻的方法,包括:
在晶片上涂覆光敏层;
曝光所述光敏层;
烘焙所述光敏层;
使所述光敏层显影;和
在所述涂覆、所述烘焙或所述显影中的至少一者中引入湿度,其中执行所述引入使得所述光敏层的1%至15%为水,并且其中,所述水的含量是基于所述光敏层的灵敏度来选择的。
2.根据权利要求1所述的方法,其中所述引入是在所述涂覆光敏层之前或期间执行的。
3.根据权利要求1所述的方法,其中所述曝光包括将所述光敏层暴露于极紫外EUV光。
4.根据权利要求1所述的方法,其中所述引入是在所述烘焙期间执行的。
5.根据权利要求4所述的方法,其中所述烘焙是在所述曝光之前和所述曝光之后执行的,并且具有在46%至55%之间的湿度设定。
6.根据权利要求1所述的方法,还包括:在所述曝光之后执行的所述烘焙期间,添加包含N2和CO2的吹扫气体。
7.根据权利要求1所述的方法,还包括:在所述曝光之后但在所述烘焙之前冲洗所述光敏层。
8.根据权利要求7所述的方法,其中所述冲洗是用液体执行的,其中所述液体中的含水量在0.1%至10%的范围内。
9.根据权利要求1所述的方法,还包括:在所述烘焙之后但在所述显影之前冲洗所述光敏层。
10.根据权利要求9所述的方法,其中所述冲洗是用液体执行的,其中所述液体中的含水量在0.1%至10%的范围内。
11.根据权利要求1所述的方法,其中所述引入是在所述显影期间执行的,并且其中所述显影使用的显影剂溶液中的含水量在0.1%至10%的范围内。
12.一种材料,包括:
用于极紫外EUV光刻的光敏材料;
溶剂;和
水,其中水的含量在1%至15%的范围内,并且其中,所述水的含量是基于所述材料的灵敏度来选择的。
13.根据权利要求12所述的材料,其中所述材料被涂覆在半导体晶片上。
14.根据权利要求12所述的材料,其中:
所述光敏材料包括以下一项或多项:聚合物、光酸产生剂、猝灭剂、发色团、表面活性剂或交联剂;和
所述溶剂包括丙二醇单甲醚(PGME)或丙二醇单甲醚乙酸酯(PGMEA)。
15.根据权利要求12所述的材料,其中:
所述材料中的光敏材料的含量在1%至10%的范围内;并且
所述材料中的溶剂的含量在80%至94%的范围内。
16.一种半导体制造系统,包括:
第一组件,被配置为在晶片上涂覆光刻胶层;
第二组件,被配置为烘焙所述光刻胶层;
第三组件,被配置为曝光所述光刻胶层;和
第四组件,被配置为使所述光刻胶层显影;
其中所述第一组件、所述第二组件和所述第四组件中的至少一者还被配置为将湿度或水引入所述光刻胶层,其中所述引入使得所述光刻胶层的1%至15%为水,并且其中,所述水的含量是基于所述光刻胶层的灵敏度来选择的。
17.根据权利要求16所述的半导体制造系统,其中所述第三组件被配置为使用极紫外EUV光来曝光所述光刻胶层。
18.根据权利要求16所述的半导体制造系统,还包括:第五组件,其被配置为用包含水的液体冲洗所述光刻胶层。
19.根据权利要求16所述的半导体制造系统,还包括:控制器,其被配置为设置被引入到所述光刻胶层的湿度水平或水量。
CN201810004571.0A 2017-09-19 2018-01-03 Euv光刻中的湿度控制 Active CN109521648B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/708,800 US10274847B2 (en) 2017-09-19 2017-09-19 Humidity control in EUV lithography
US15/708,800 2017-09-19

Publications (2)

Publication Number Publication Date
CN109521648A CN109521648A (zh) 2019-03-26
CN109521648B true CN109521648B (zh) 2021-09-07

Family

ID=65526905

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810004571.0A Active CN109521648B (zh) 2017-09-19 2018-01-03 Euv光刻中的湿度控制

Country Status (5)

Country Link
US (3) US10274847B2 (zh)
KR (2) KR20190032149A (zh)
CN (1) CN109521648B (zh)
DE (1) DE102017122398B4 (zh)
TW (1) TWI655509B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386723B2 (en) 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
US11221554B2 (en) 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
US20230012705A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control or aqueous treatment for euv metallic resist

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200307852A (en) * 2002-05-27 2003-12-16 Tokyo Ohka Kogyo Co Ltd Negative resist composition
CN1743951A (zh) * 2005-06-03 2006-03-08 广西真龙彩印包装有限公司 丝印网点印刷工艺
CN102540771A (zh) * 2010-12-24 2012-07-04 无锡华润上华半导体有限公司 正性光刻胶用显影液及光刻工艺中的显影方法
CN103827752A (zh) * 2011-10-06 2014-05-28 日产化学工业株式会社 形成含有硅的euv抗蚀剂下层膜的组合物
CN105339183A (zh) * 2013-03-15 2016-02-17 东京毅力科创Fsi公司 用于提供加热的蚀刻溶液的系统
CN106158590A (zh) * 2016-08-01 2016-11-23 合肥佳瑞林电子技术有限公司 一种薄膜晶体管液晶显示器的tft加工工艺
CN107111253A (zh) * 2014-12-26 2017-08-29 富士胶片株式会社 有机系处理液及图案形成方法

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07199482A (ja) * 1993-12-28 1995-08-04 Fujitsu Ltd レジストパターン形成方法
TW464944B (en) * 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
SG71082A1 (en) * 1997-01-30 2000-03-21 Tokyo Electron Ltd Method and apparatus for coating resist and developing the coated resist
JP3559133B2 (ja) * 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
US6265323B1 (en) * 1998-02-23 2001-07-24 Kabushiki Kaisha Toshiba Substrate processing method and apparatus
US6780461B2 (en) * 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
JP2002202570A (ja) * 2000-12-28 2002-07-19 Fuji Photo Film Co Ltd 画像露光記録装置および方法
US20020110760A1 (en) * 2001-02-09 2002-08-15 Macronix International Co., Ltd. Method for improving hydrophilic character of photoresist and effect of development
US6787286B2 (en) * 2001-03-08 2004-09-07 Shipley Company, L.L.C. Solvents and photoresist compositions for short wavelength imaging
US6800415B2 (en) 2001-09-28 2004-10-05 Clariant Finance (Bvi) Ltd Negative-acting aqueous photoresist composition
JP4233314B2 (ja) * 2002-11-29 2009-03-04 東京応化工業株式会社 レジスト組成物および溶解制御剤
CN1717630A (zh) * 2003-06-30 2006-01-04 株式会社新克 正型感光性组合物
US20060285091A1 (en) 2003-07-21 2006-12-21 Parekh Bipin S Lithographic projection apparatus, gas purging method, device manufacturing method and purge gas supply system related application
JP2005070319A (ja) * 2003-08-22 2005-03-17 Canon Inc 近接場露光用フォトレジスト、及びこれを用いた微細パターンの作製方法
KR100620673B1 (ko) 2004-01-05 2006-09-13 주식회사 하이닉스반도체 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법
KR100960838B1 (ko) * 2004-04-27 2010-06-07 도오꾜오까고오교 가부시끼가이샤 액침 노광 프로세스용 레지스트 보호막 형성용 재료, 및 이보호막을 이용한 레지스트 패턴 형성 방법
KR100680425B1 (ko) * 2004-06-18 2007-02-08 주식회사 하이닉스반도체 수용성 네가티브 포토레지스트 중합체 및 이를 포함하는조성물
US8003293B2 (en) * 2004-09-30 2011-08-23 Intel Corporation Pixelated photoresists
JP2007067344A (ja) 2005-09-02 2007-03-15 Canon Inc 露光装置および方法ならびにデバイス製造方法
KR20070109467A (ko) 2006-05-11 2007-11-15 주식회사 하이닉스반도체 이머젼 리소그라피 공정을 이용한 반도체 소자 제조방법
JP2008218866A (ja) * 2007-03-07 2008-09-18 Elpida Memory Inc パターン形成方法およびパターン形成装置
US8039194B2 (en) * 2008-01-08 2011-10-18 Internatinal Business Machines Corporation Photoacid generators for extreme ultraviolet lithography
JP2009294439A (ja) * 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
US8841058B2 (en) 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
JP5708521B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
US9851639B2 (en) * 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
JP6520490B2 (ja) 2015-07-08 2019-05-29 信越化学工業株式会社 パターン形成方法
JP6411967B2 (ja) 2015-07-29 2018-10-24 信越化学工業株式会社 レジスト材料並びにこれを用いたパターン形成方法
US9612536B2 (en) 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
CN108292593B (zh) 2015-09-30 2023-02-17 东京毅力科创株式会社 使用极紫外光刻对衬底进行图案化的方法
US20170205712A1 (en) * 2016-01-20 2017-07-20 Micron Technology, Inc. Development apparatus and method for developing photoresist layer on wafer using the same
JP6781031B2 (ja) * 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US10095109B1 (en) * 2017-03-31 2018-10-09 Rohm And Haas Electronic Materials Llc Acid-cleavable monomer and polymers including the same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200307852A (en) * 2002-05-27 2003-12-16 Tokyo Ohka Kogyo Co Ltd Negative resist composition
CN1743951A (zh) * 2005-06-03 2006-03-08 广西真龙彩印包装有限公司 丝印网点印刷工艺
CN102540771A (zh) * 2010-12-24 2012-07-04 无锡华润上华半导体有限公司 正性光刻胶用显影液及光刻工艺中的显影方法
CN103827752A (zh) * 2011-10-06 2014-05-28 日产化学工业株式会社 形成含有硅的euv抗蚀剂下层膜的组合物
CN105339183A (zh) * 2013-03-15 2016-02-17 东京毅力科创Fsi公司 用于提供加热的蚀刻溶液的系统
CN107111253A (zh) * 2014-12-26 2017-08-29 富士胶片株式会社 有机系处理液及图案形成方法
CN106158590A (zh) * 2016-08-01 2016-11-23 合肥佳瑞林电子技术有限公司 一种薄膜晶体管液晶显示器的tft加工工艺

Also Published As

Publication number Publication date
US11307504B2 (en) 2022-04-19
DE102017122398B4 (de) 2023-03-23
TW201915611A (zh) 2019-04-16
KR20190032149A (ko) 2019-03-27
TWI655509B (zh) 2019-04-01
US20200319565A1 (en) 2020-10-08
US10274847B2 (en) 2019-04-30
US20190086818A1 (en) 2019-03-21
KR102301871B1 (ko) 2021-09-16
KR20200092922A (ko) 2020-08-04
CN109521648A (zh) 2019-03-26
US20190094716A1 (en) 2019-03-28
DE102017122398A1 (de) 2019-03-21

Similar Documents

Publication Publication Date Title
CN109521648B (zh) Euv光刻中的湿度控制
US11681226B2 (en) Metal-compound-removing solvent and method in lithography
US10622211B2 (en) Metal-compound-removing solvent and method in lithography
KR102396016B1 (ko) 첨가제를 통한 euv 금속 레지스트 성능 향상
US10001701B1 (en) Pellicle structures and methods of fabricating thereof
US20150147686A1 (en) Extreme Ultraviolet Lithography Process And Mask
KR101761018B1 (ko) 다층 구조체를 갖는 마스크 및 이를 이용한 제조 방법
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
CN106527046B (zh) 具有键合至产酸剂的感光剂的新光刻胶
US9995999B2 (en) Lithography mask
US11681221B2 (en) EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
KR102401315B1 (ko) 낮은 활성화 에너지 리간드 또는 높은 현상액 용해도 리간드를 갖는 euv 포토레지스트
US20080318153A1 (en) Photosensitive layer stack
US20210302824A1 (en) Fully Reflective Phase-Edge Mask for EUV Lithography

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant