TW201915611A - 半導體裝置的製造方法、微影材料及製造系統 - Google Patents

半導體裝置的製造方法、微影材料及製造系統 Download PDF

Info

Publication number
TW201915611A
TW201915611A TW106135661A TW106135661A TW201915611A TW 201915611 A TW201915611 A TW 201915611A TW 106135661 A TW106135661 A TW 106135661A TW 106135661 A TW106135661 A TW 106135661A TW 201915611 A TW201915611 A TW 201915611A
Authority
TW
Taiwan
Prior art keywords
layer
baking
photoresist layer
component
extreme ultraviolet
Prior art date
Application number
TW106135661A
Other languages
English (en)
Other versions
TWI655509B (zh
Inventor
訾安仁
鄭雅如
張慶裕
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI655509B publication Critical patent/TWI655509B/zh
Publication of TW201915611A publication Critical patent/TW201915611A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/06Silver salts
    • G03F7/063Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3042Imagewise removal using liquid means from printing plates transported horizontally through the processing stations
    • G03F7/3057Imagewise removal using liquid means from printing plates transported horizontally through the processing stations characterised by the processing units other than the developing unit, e.g. washing units
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

塗敷感光層於晶圓之上。曝光此感光層。在一些實施例中,將此感光層曝露於極紫外線光。烘烤此感光層。顯影此感光層。於塗敷、烘烤或顯影之至少一個步驟中導入濕度。

Description

極紫外線光微影製程之濕度控制
本發明實施例係關於半導體積體電路,特別關於極紫外線光微影製程的製造系統。
半導體積體電路(integrated circuit,IC)工業已經歷快速成長。積體電路材料及設計之技術的進步造就積體電路世代的產生,每一世代的電路比前一世代更小且更複雜。在積體電路的發展過程中,當幾何尺寸(亦即,製程所能製作的最小元件(或線))縮小時,功能密度(亦即,單位晶片面積的內連裝置數目)普遍增加。這種微縮化製程普遍提供了增加生產效率並降低相關成本的好處。這種微縮化亦增加了積體電路在加工與製造上的複雜度。
為了實現這些進步,需要積體電路製程與製造相似的發展。舉例來說,需要成長以進行更高解析度的光微影製程。一種光微影製程為極紫外線(extreme ultraviolet,EUV)光微影製程。此極紫外線光微影製程採用利用在極紫外線區域中,波長約在1-100奈米(nanometer,nm)的光的掃描曝光機(scanner)。相似於一些光學掃描曝光機,一些極紫外線掃描曝光機提供四倍的縮小投影印刷,除了那些使用反射(reflective)而非折射(refractive)光學器件(optic)的極紫外線掃描曝光機, 亦即,使用反射鏡(mirror)替代透鏡(lens)。極紫外線掃描曝光機於形成於反射遮罩上之吸收層(極紫外線遮罩吸收體(absorber))上提供所需的圖案。
然而,儘管極紫外線光微影製程越來越受歡迎,但傳統極紫外線光微影製程可能依然存在缺點。舉例來說,傳統極紫外線光微影製程可能難以同時提供良好的光微影性能及產能。多數時候,當產能提升,則影響光微影性能,反之亦然。
因此,雖然傳統極紫外線光微影製程已逐漸合乎其預期目的,其並非在所有方面都完全令人滿意。
本發明實施例提供一種方法。此方法包括:塗敷感光層於晶圓上;曝光此感光層;烘烤此感光層;顯影此感光層;及於塗敷、烘烤或顯影之至少一個步驟中導入濕度。
本發明實施例更包括一種材料。此材料包括:用於極紫外線光微影製程之感光材料;溶劑;及水。
本發明實施例更提供一半導體製造系統。此半導體製造系統包括:第一部件,用以塗敷光阻層於晶圓之上;第二部件,用以烘烤光阻層;第三部件,用以曝光光阻層;及第四部件,用以顯影光阻層;其中第一部件、第二部件、第三部件及第四部件的至少其中之一更用以導入濕度或水於光阻層。
10‧‧‧光微影製程系統
12‧‧‧輻射源
14‧‧‧照明器
16‧‧‧遮罩台
18‧‧‧遮罩
20‧‧‧投影光學盒
22‧‧‧光瞳相位調制器
24‧‧‧投影光瞳平面
26‧‧‧標的
28‧‧‧基板台
30‧‧‧基板
32‧‧‧導電層
34‧‧‧反射多層結構
36‧‧‧封蓋層
38‧‧‧緩衝層
40‧‧‧吸收體層
100‧‧‧半導體裝置
140‧‧‧基板
150‧‧‧材料層
160‧‧‧光阻層
160A、160B‧‧‧區域
170‧‧‧旋轉塗覆製程
200、250‧‧‧烘烤製程
220‧‧‧曝光製程
240、270‧‧‧沖洗製程
300‧‧‧顯影製程
310‧‧‧開口
400‧‧‧半導體製造系統
410‧‧‧光阻塗覆工具
420‧‧‧烘烤工具
430‧‧‧曝光工具
440、460‧‧‧沖洗工具
450‧‧‧曝光後烘烤工具
470‧‧‧顯影工具
415、425、435、445、455、465、475、480‧‧‧控制器
600‧‧‧圖表
610、611、612、613、614‧‧‧數據樣本
620‧‧‧作圖
700‧‧‧方法
710、720、730、740‧‧‧步驟
以下將配合所附圖式詳述本發明實施例。應注意 的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。
第1圖係根據本發明一些實施例繪示之建構光微影製程系統之系統圖。
第2圖係根據本發明一些實施例繪示之建構極紫外線遮罩之截面圖。
第3-9圖係根據本發明一些實施例繪示之半導體裝置之各階段製程之圖解半成品剖面圖。
第10圖係根據本發明一些實施例繪示之半導體裝置製程系統之示意圖。
第11圖係根據本發明一些實施例繪示之光阻之濕度與敏感度之間的關係圖。
第12圖係根據本發明一些實施例繪示之形成半導體裝置之方法的流程圖。
以下的揭示內容提供許多不同的實施例或範例,以展示本發明的不同特徵。以下將揭示本說明書各部件及其排列方式之特定範例,用以簡化本發明敘述。當然,這些特定範例並非用於限定本發明。例如,若是本說明書以下的發明內容敘述了將形成第一結構於第二結構之上或上方,即表示其包括了所形成之第一及第二結構是直接接觸的實施例,亦包括了尚可將附加的結構形成於上述第一及第二結構之間,則第一及第二結構為未直接接觸的實施例。此外,本發明說明中的各式範 例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖示中一元件或特徵部件與另一(些)元件或特徵部件的關係,可使用空間相關用語,例如「在...之下」、「下方」、「下部」、「上方」、「上部」及諸如此類用語。除了圖示所繪示之方位外,空間相關用語亦涵蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如,旋轉90度或者其他方位),則其中所使用的空間相關形容詞亦將依轉向後的方位來解釋。
極紫外線(extreme ultraviolet,EUV)光微影製程由於能具有實現小的半導體裝置尺寸的能力而變得廣泛使用。然而,傳統進行極紫外線光微影製程之系統及方法可能依然具有缺點。舉例來說,功率(power)/能量(energy)的提升(其伴隨著光阻敏感度(sensitivity)的降低)可能導致較佳的光微影性能,例如較小的線寬粗糙度(line-width-roughness,LWR)。不幸的是,功率的提升亦可能導致產能降低,其提升了使用極紫外線光微影製程製造半導體裝置的成本。傳統極紫外線光微影製程系統及方法尚未能提供此問題之解決方法。
本發明的發明人已經發現,極紫外線光阻可能對水或濕度的存在敏感。舉例來說,發明人發現,當濕度提升時,極紫外線光阻敏感度可能下降,而且不必然地影響極紫外線光微影製程的功率或能量。意即,當提升極紫外線光微影製程之濕度時,可同時提升極紫外線光微影製程之性能及產能。因此, 本發明意圖導入水或濕度至不同的極紫外線光微影製程步驟中,更多細節將於下討論。第一,將參考第1-2圖,於下討論極紫外線光微影製程系統。接著,將參考第3-12圖,根據本發明之實施例,描述如何將水或濕度導入不同極紫外線光微影製程步驟之細節。
第1圖係根據一些實施例繪示之建構極紫外線光微影製程系統10的系統圖。此極紫外線光微影製程系統10亦可統稱為掃描曝光機,其被配置搭載各自的輻射源(radiation source)及曝光模組(exposure mode)以進行光微影曝光製程。此極紫外線光微影製程系統10設計為藉由極紫外線光或極紫外線輻射以曝光光阻層。此光阻層為對極紫外線光敏感之材料。此極紫外線光微影製程系統10採用一輻射源12以產生極紫外線光,例如具有波長範圍在約1奈米至約100奈米的極紫外線光。在一特定的實施例中,輻射源12產生波長以約13.5奈米為中心的極紫外線光。因此,此輻射源12亦可稱為極紫外線輻射源12。
此光微影製程系統10亦採用一照明器(illuminator)14。在不同的實施例中,此照明器14包括不同的折射光學器件,例如單透鏡或具有複數透鏡(波帶片(zone plate))的透鏡系統,或者反射光學器件(用於極紫外線光微影製程系統),例如單反射鏡或具有複數反射鏡的反射鏡系統以將來自輻射源12的光引導至遮罩台16上,特別是引導至固定(secure)在遮罩台16上的遮罩18。在本實施例中,輻射源12產生極紫外線波長範圍中的光,照明器14採用反射光學器件。在 一些實施例中,照明器14包括雙孔(dipole)照明部件。
在一些實施例中,照明器14可操作以配置此些反射鏡以向遮罩18提供適當的照明。在一個範例中,照明器14之反射鏡為可切換的(switchable)以將極紫外線光反射至不同的照明位置。在一些實施例中,在照明器14之前的平台(stage)可額外包括其他可切換的反射鏡,其為可控制的以將極紫外線光引導至與照明器14之反射鏡不同的照明位置。在一些實施例中,配置照明器14以提供軸上照明(on-axis illumination,ONI)至遮罩18。在一個範例中,採用具有部分相干性σ最多為0.3的盤照明器14。在一些其他的實施例中,配置照明器14以提供離軸照明(off-axis illumination,OAI)至遮罩18。在一範例中,照明器14為雙孔照明器。在一些實施例中,此雙孔照明器具有的部分相干性σ最多為0.3。
此光微影製程系統10亦包括遮罩台16,其被配置以固定遮罩18。在一些實施例中,遮罩台16包括靜電夾盤(electrostatic chuck,e-chuck)以固定遮罩18。這是因為氣體分子吸收極紫外線光,且此用於極紫外線光微影圖案之光微影製程系統保持在真空環境中以避免極紫外線強度(intensity)損失。在本發明實施例中,術語遮罩、光遮罩(photomask)及光罩(reticle)可互換使用以代指相同的項目。
在本實施例中,光微影製程系統10為極紫外線光微影製程系統,且此遮罩18為反射遮罩。提供一範例結構以說明遮罩18。此遮罩18包括使用適合材料之基板,例如低熱膨脹材料(low thermal expansion material,LTEM)或熔凝石英(fused quartz)。在不同的範例中,此低熱膨脹材料包括摻雜SiO2的TiO2,或其他具有低熱膨脹的合適材料。在一些實施例中,此低熱膨脹材料包括5-20重量百分比的TiO2且其具有之熱膨脹係數低於約1.0x10-6/℃。舉例來說,在一些實施例中,摻雜SiO2的TiO2低熱膨脹材料具有溫度每改變1℃,變化少於十億分之六十的熱膨脹係數。當然,亦可使用其他具有相同於或少於摻雜SiO2的TiO2之熱膨脹係數的合適材料。
此遮罩18亦包括沉積在基板上的反射多層膜(multiple layer,ML),此多層膜包括複數之薄膜對(film pair),例如鉬-矽(molybdenum-silicon,Mo/Si)薄膜對(例如,在每一組薄膜對中,一鉬層位於一矽層上方或下方)。或者,此多層膜亦包括鉬-鈹(molybdenum-beryllium,Mo/Be)薄膜對,或其他可被配置以高度反射極紫外線光之合適材料。
此遮罩18可更包括沉積於多層膜上,用於保護之封蓋(capping)層,例如釕(ruthenium,Ru)。此遮罩18更包括沉積在多層膜上的吸收層。圖案化此吸收層以定義積體電路(integrated circuit,IC)層。或者,可沉積另外的反射層於此多層膜之上,且圖案化此另外的反射層以定義積體電路層,由此形成極紫外線相位偏移遮罩(phase shift mask)。
此光微影製程系統10亦包括用於將遮罩18之圖案成像至半導體基板(如標的26)上的投影光學模組(或投影光學盒(projection optics box,POB))20,此半導體基板固定在光微影製程系統10之基板台28上。在不同的實施例中,投影光學盒20具有折射光學器件(例如用於紫外線(UV)光微影製程系統), 或者反射光學器件(例如用於極紫外線光微影製程系統)。藉由投影光學盒20蒐集自遮罩18的方向、繞射(diffract)至不同繞射級(diffraction order)中且攜帶定義於遮罩上的圖案之成像的光。投影光學盒20可能包括小於一之放大倍率(magnification)(因此,標的(例如於下討論的標的26)上的”成像”尺寸較遮罩上相應的”物體”尺寸小)。照明器14及投影光學盒20統稱為光微影製程系統10的光學模組。
光微影製程系統10亦包括光瞳相位調制器(pupil phase modulator)22以調制(modulate)引導自遮罩18之光的光學相位,以使此光在投影光瞳平面(projection pupil plane)24上具有相位分佈(phase distribution)。在此光學模組中,存在對應於物體(於本案例中為遮罩18)的傅立葉轉換(Fourier Transform)之場分布的平面。此平面稱為投影光瞳平面。此光瞳相位調制器22提供了調制投影光瞳平面24上之光的光學相位的機制。在一些實施例中,此光瞳相位調制器22包括調整(tune)投影光學盒20的反射鏡以調制相位的機制。舉例來說,投影光學盒20之反射鏡為可切換的且可被控制以反射極紫外線,由此通過投影光學盒20調制光的相位。
在一些實施例中,光瞳相位調制器22使用置於投影光瞳平面上的光瞳濾光片(pupil filter)。光瞳濾光片從遮罩18濾出極紫外線光之特定空間頻率分量(spatial frequency component)。特別來說,此光瞳濾光片係相位瞳孔濾光器,其運作以調制通過投影光學盒20引導的光的相位分佈。然而,由於所有材料都吸收紫外線光,在某些光微影製程系統(例如極 紫外線光微影系統)中使用相位光瞳濾光片是被限制的。
如前面所討論的,光微影製程系統10亦包括基板台28以固定要圖案化的標的26,例如半導體基板。在本實施例中,半導體基板為半導體晶圓(wafer),例如矽晶圓(silicon wafer)或其他型式的晶圓。在本實施例中,使用對輻射光束(例如,極紫外線光)敏感之光阻層塗覆(coat)標的26(例如,基板)。將包括前述所討論之不同部件整合在一起且可操作以進行光微影曝光製程。此光微影製程系統10可進一步包括其他模組或可能與其他模組整合(或耦合)。
根據一些實施例,遮罩18及其製作方法將被更進一步討論。在一些實施例中,此遮罩製程包括兩項操作:空白(blank)遮罩製程及遮罩圖案化製程。在空白遮罩製程期間,藉由沉積合適的膜層(例如,反射多層膜)於合適的基板上以形成空白遮罩。接著在遮罩圖案化製程期間圖案化此空白遮罩以實現積體電路層的期望設計。接著此圖案化遮罩被用以轉移(transfer)電路圖案(例如,積體電路層之設計)至半導體晶圓上。此圖案可通過不同光微影製程一次又一次地轉移至複數晶圓上。一組多個遮罩被用以建構出完整的積體電路。
在不同實施例中,遮罩18包括合適結構,例如二元強度遮罩(binary intensity mask,BIM)及相位偏移遮罩(phase-shifting mask,PSM)。一範例二元強度遮罩包括吸收(absorptive)區(亦稱為不透光(opaque)區)及反射區,圖案化二元強度遮罩以定義要轉移至標的上的積體電路圖案。在不透光區中存在一吸收體,且入射光(incident light)幾乎全部被此吸 收體吸收。在反射區域中,去除此吸收體且入射光被一多層膜繞射。相位偏移遮罩可為減光型(attenuated)相位偏移遮罩(AttPSM)或間隔型(alternating)相位偏移遮罩(AltPSM)。一相位偏移遮罩之範例包括第一反射層(例如反射多層膜)及根據積體電路圖案圖案化之第二反射層。在一些範例中,減光型相位偏移遮罩之吸收體通常具有2%-15%的反射率(reflectivity),而間隔型相位偏移遮罩之吸收體通常具有大於50%的反射率。
第2圖所示為遮罩18之一範例。所說明實施例中的遮罩18為一極紫外線遮罩,且包括成分為低熱膨脹材料之基板30。此低熱膨脹材料可包括摻雜SiO2的TiO2,及/或其他現今已知之低熱膨脹材料。在一些實施例中,為了靜電夾持目的,另外設置導電層32於低熱膨脹材料基板30的背面下方。在一範例中,導電層32包括氮化鉻(chromium nitride,CrN)。在其他實施例中,導電層32可為其他合適組成,例如包含鉭(tantalum)的材料。
極紫外線遮罩18包括設置於低熱膨脹材料基板30之上的反射多層結構34。可選擇此反射多層結構34,使其對所選定的輻射類型/波長提供高反射率。反射多層結構34包括複數薄膜對,例如鉬/矽薄膜對(例如,在每一組薄膜對中,一鉬層位於一矽層上方或下方)。或者,此反射多層結構34可包括鉬/鈹薄膜對,或任何折射率差在極紫外線波長下為高反射之材料。
依然參考第2圖,極紫外線遮罩18亦包括封蓋層36,設置於反射多層結構34之上以防止多層膜氧化。在一實施例中, 封蓋層36包括厚度範圍在約4奈米至7奈米之矽。此極紫外線遮罩18可更包括緩衝(buffer)層38,設置於封蓋層36上方以在吸收層之圖案化或修補(repairing)製程中作為蝕刻停止層,其將詳述於後。緩衝層38具有不同於設置於其上之吸收層的蝕刻特性。在不同實施例中,緩衝層38包括釕(ruthenium,Ru)、釕化合物(例如,RuB、RuSi)、鉻(chromium,Cr)、氧化鉻及氮化鉻。
極紫外線遮罩18亦包括吸收體(absorber)層40(亦稱為吸收(absorption)層),形成於緩衝層38之上。在一些實施例中,吸收體層40吸收指向至遮罩上之極紫外線輻射。在不同實施例中,吸收體層之成分可為氮化鉭硼(tantalum boron nitride,TaBN)、氧化鉭硼(tantalum boron oxide,TaBO)或鉻(chromium,Cr)、鐳(radium,Ra)、一或更多成分為下列材料之合適氧化物或氮化物(或合金):錒(Actium)、鐳、碲(Tellurium)、鋅(Zinc)、銅(Copper)及鋁(Aluminum)。
第3-9圖係根據本發明一些實施例繪示出半導體裝置100在各階段製程之簡化圖解半成品剖面圖。參考第3圖,半導體裝置100包括基板140。在一些實施例中,基板140為摻雜P型摻質(例如,硼)之矽基板(例如,P型基板)。或者,基板140可為其他合適半導體材料。舉例來說,基板140可為摻雜N型摻質(例如,磷(phosphorous)或砷(arsenic))之矽基板(N型基板)。基板140可包括其他元素半導體,例如鍺(germanium)及鑽石(diamond)。基板140可選擇性地包括化合物半導體及/或合金半導體。再者,基板140可包括磊晶層(epitaxial layer,epi layer)、可發生應變(strain)以增強性能,且可能包括絕緣層上半導體 (silicon-on-insulator,SOI)結構。
在一些實施例中,基板140係大體上導電或半導電的。其電阻可能低於約103Ω-m(ohm-meter)。在一些實施例中,基板140包含金屬、金屬合金、或具有化學式(formula)MXa之金屬氮化物/硫化物(sulfide)/硒化物(selenide)/氧化物/矽化物,其中M為金屬,且X為N、S、Se、O、Si,且其中”a”之範圍約在0.4至2.5。舉例來說,基板140可包含Ti、Al、Co、Ru、TiN、WN2或TaN。
在一些其他的實施例中,基板140包含介電(dielectric)材料,其介電常數之範圍約在1至140。在一些其他的實施例中,基板140包含Si、金屬氧化物或金屬氮化物,其化學式為MXb,其中M為金屬或Si、且X為N或O,且其中”b”之範圍約在0.4至2.5。舉例來說,基板140可能包含SiO2、氮化矽、氧化鋁、氧化鉿(hafnium oxide)或氧化鑭(lanthanum oxide)。
形成材料層150於基板140之上。可藉由光微影製程圖案化材料層150,因此材料層150亦可稱為可圖案化層。在一實施例中,材料層150包括介電材料,例如氧化矽或氮化矽。在一另外的實施例中,材料層150包括金屬。在另一個實施例中,材料層150包括半導體材料。
在一些實施例中,材料層150具有與光阻不同的光學性質。舉例來說,材料層150具有與光阻不同的n、k或T值。在一些實施例中,材料層150包含不同的高分子結構、酸不穩定分子(acid labile molecule)、光酸產生劑(photo acid generator,PAG)負載(loading)、淬滅劑(quencher)負載、發色團 (chromophore)、交聯劑(cross linker)或溶劑的至少其中一者,其可導致與光阻不同的n值。在一些實施例中,材料層150及光阻具有不同的蝕刻阻抗(etching resistance)。在一些實施例中,材料層150包含蝕刻阻抗分子。此分子包括低大西數(onishi number)結構、雙鍵、三鍵、矽、氮化矽、Ti、TiN、Al、氧化鋁、SiON或上述之組合。應當理解,在其他的實施例中,基板140及材料層150可能各自包括另外的合適材料組合物。
形成光阻層160於基板140之上(具體來說,位於材料層150之上)。可藉由旋轉塗覆(spin coating)製程170形成光阻層160。如第3圖所示,在一些實施例中,光阻層160包括正光阻(positive photoresist),但應當理解,在另外的實施例中,光阻層160可能包括負光阻(negative photoresist)。光阻層160包含化學成分例如高分子、光酸產生劑、淬滅劑、發色團、介面活性劑(surfactant)、交聯劑等。在一實施例中,光酸產生劑鍵結至高分子。在後續的光微影製程中,光子(photon)引發光酸產生劑的分解(decomposition)。如此一來,即形成少量的酸,酸更進一步引發了光阻層160中的化學轉變(chemical transformations)的級聯(cascade)。光阻材料中的淬滅劑幫助改進臨界尺寸(critical dimension,CD)的控制。
應當理解,前述所討論的化學成分(例如,高分子、光酸產生劑、淬滅劑、發色團、介面活性劑、交聯劑)可能為光阻層160之一小部分(例如,大約在1%至10%,例如約5%)。光阻層160之主要部分為溶劑。在一些實施例中,此溶劑可包括丙二醇甲醚(Propylene Glycol Monomethyl Ether,PGME)、或 丙二醇甲基醚醋酸酯(Propylene Glycol Monomethyl Ether Acetate,PGMEA)、或上述之組合。在旋轉塗覆或以另外的方式將光阻層160塗敷(apply)於材料層150之上之前,此溶劑可能與其他光阻層160中之化學成分(例如,高分子)於瓶或另外合適容器中混合。
傳統光阻層沒有特別將水導入光阻。相較之下,根據本發明的各種觀點,刻意將水(即,H2O)添加至光阻層160。在一些實施例中,將水添加至瓶(或其他合適容器),此瓶(或其他合適容器)亦包含前述所討論之光阻層160之化學成分(例如,高分子、光酸產生劑等)以及溶劑(例如,丙二醇甲醚或丙二醇甲基醚醋酸酯)。如此一來,即產生一混合物,此混合物包含:此光阻之不同化學成分、溶劑及水。
在一些實施例中,小心地加入一定的水量以確保混合物中的含水量處於預定水平。在一些實施例中,此預定水平大約在1%至15%。舉例來說,添加適當的水量至混合物中以使大約1%至15%的混合物為水。在這種實施例中,光阻層160之化學成分可能依然構成大約1%-10%(例如,5%)的混合物,且溶劑可能構成大約80%-94%的混合物。在一些實施例中,此預定水平大約在0.1%至8%(即,約0.1-8%的混合物為水)。
應當理解,混合物中含水量之預定水平並非隨機選擇的,而是特別配置以確保光阻層160達到期望的溼度量。如先前所述,光阻之敏感度與光阻之濕度水平相關,且適當的光阻溼度量將降低光阻敏感度,且不需改變極紫外線光微影製程之功率或能量水平。因此,光微影製程性能及產能皆可得到 改進。亦應當理解,將包含光阻、溶劑及水之化學成分的混合物在製程170中旋轉塗覆於材料層150之上以形成光阻層160。換句話說,此混合物有效地轉變成旋轉塗覆的光阻層160。
現在參考第4圖,於旋轉塗覆製程170之後,對半導體裝置100進行烘烤(baking)製程200。由於烘烤製程200於曝光(expose)光阻層160之前進行,此烘烤製程200亦可稱為曝光前烘烤(pre-exposure-baking)製程。可於具有可調溼度設定之腔體中進行此烘烤製程200,例如於半導體製造中的軌道(track)系統的烤箱工具中。在一些實施中,此腔體可能包括”加熱板”。根據本發明之各種觀點,刻意導入預定水平之濕度作為烘烤製程200的一部分。在一些實施例中,進行烘烤製程200之工具被配置以使與光阻層160接觸的空氣具有大於約44%之濕度水平,例如大約在46%-55%之濕度水平。此特別配置的溼度水平使光阻層160具有減少的敏感度,且不改變光微影製程(例如,後續進行之曝光製程)的功率或能量,且光微影製程性能及產能皆得到改進。
現在參考第5圖,在烘烤製程200之後,對半導體裝置100進行曝光製程220。在所示實施例中,曝光製程220包括極紫外線曝光。在一些實施例中,使用波長小於約250奈米之波長的光源(或照明源(illumination source))作為曝光製程的一部份,例如約13.5奈米。在一些實施例中,此照明源具有KrF、ArF、極紫外線或電子束(E-beam)至少其中一者。此光源曝光光阻層160之一或多個預定區域160A,同時通過使用圖案化光微影光罩防止曝光光阻層160之其他區域160B。舉例來說,此 圖案化光微影光遮罩可以是前述參考第1-2圖的極紫外線遮罩18的實施例。
現在參考第6圖,在曝光製程220之後,對半導體裝置100進行沖洗(rinse)製程240。配置此沖洗製程240以導入水或濕度至光阻層160。在一些實施例中,沖洗製程240包括使用包括溶劑及水之液體溶液沖洗此光阻層160。在一些實施例中,此溶劑包括丙二醇甲基醚醋酸酯、丙二醇甲醚、醋酸丁酯(Butyl acetate)、1-乙氧基-2-丙醇(1-Ethoxy-2-propanol)、γ-丁內酯(gamma-Butyrolactone)、環己酮(Cyclohexanone)、乳酸乙酯(Ethyl lactate)、甲醇(Methanol)、乙醇(Ethanol)、丙醇(Propanol)、正丁醇(n-Butanol)、丙酮(Acetone)、二甲基甲醯胺(Dimethylformamide)、乙腈(Acetonitrile)、異丙醇(Isopropyl alcohol)、四氫夫喃(Tetrahydrofuran)、醋酸(Acetic acid)或上述之組合。亦小心地配置添加至此液體溶液中的水量。在一些實施例中,水構成大約0.1%至10%的此液體溶液,例如約0.1%及約5%的此液體溶液。應當理解,在一些實施例中,可進行水蒸氣處理(water vapor treatment)製程以替代或組合沖洗製程240,其中水蒸氣處理製程塗敷水蒸氣至光阻層160。亦應理解,在一些實施例中,沖洗製程240的進行是選擇性的。
現在參考第7圖,在沖洗製程240之後(如果沖洗製程240被省略,則在曝光製程220之後),對半導體裝置100進行烘烤製程250。由於此烘烤製程250進行於曝光光阻層160的曝光製程220之後,故烘烤製程250亦可稱為曝光後烘烤(post-exposure-baking,PEB)製程。可於具有可調溼度設定之腔 體(例如,加熱板)中進行此烘烤製程250,例如於半導體製造中的軌道系統的烤箱工具中。
在一些實施例中,烘烤製程250及烘烤製程200於相同的加熱板中或使用同樣的工具進行。相似於烘烤製程200,烘烤製程250亦刻意導入預定水平之濕度至光阻層160。舉例來說,特別地配置加熱板(或其中進行烘烤製程250之任意合適工具)以使曝露至光阻層160的空氣達到大約46%-55%的濕度水平。如同烘烤製程200之情況一樣,與烘烤製程250相關之此特別配置的濕度水平亦使光阻層160具有減少的敏感度,且不改變光微影製程的功率或能量,其有助於改進光微影製程性能及產能。應當理解,在一些實施例中,烘烤製程250及烘烤製程200可配置為相同的特定溼度水平,在其他的實施例中,可以是不同的。
現在參考第8圖,在烘烤製程250之後,對半導體裝置100進行沖洗製程270。與沖洗製程240相似,配置此沖洗製程270以導入水或濕度至光阻層160。在一些實施例中,沖洗製程240包括使用包括溶劑及水之液體溶液沖洗此光阻層160。在一些實施例中,此溶劑包括丙二醇甲基醚醋酸酯、丙二醇甲醚、醋酸丁酯、1-乙氧基-2-丙醇、γ-丁內酯、環己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲醯胺、乙腈、異丙醇、四氫夫喃、醋酸或上述之組合。亦小心地配置添加至此液體溶液中的水量。在一些實施例中,水構成大約0.1%至10%的此液體溶液,例如約0.1%及約5%的此液體溶液。應當理解,在一些實施例中,可進行水蒸氣處理製程以替代或組合沖 洗製程270,且沖洗製程270的進行是選擇性的。
現在參考第9圖,在沖洗製程270之後(如果沖洗製程270被省略,則在烘烤製程250之後),對光阻層160進行顯影(developing)製程300。顯影製程300包括塗敷顯影劑溶液至光阻層160,其中此顯影劑溶液包括溶劑型顯影劑及水。在一些實施例中,溶劑型顯影劑包括2-庚酮(2-heptanone)、乙酸正丁酯(n-Butyl acetate,NBA)、乙酸異戊酯(isoamyl acetate)或上述之組合。
再一次,添加水至此處之顯影劑溶液中以導入濕度至光阻層160,且亦小心地配置添加至此顯影劑溶液中的水量。在一些實施例中,水構成大約0.1%至10%的顯影劑溶液,例如約0.1%及約5%之顯影劑溶液。
如第9圖所示,顯影製程300的進行圖案化了光阻層160。假定使用正光阻(positive-tone photoresist),在顯影製程300之後去除光阻層160之曝露部分160A,由於曝露於極紫外線光中改變了光阻的化學結構且使其更易溶於顯影劑溶液中。與此同時,殘留光阻層160的未曝露部分160B,由此在被去除部分160A的位置形成開口310。另一方面,如果光阻層160為負光阻(negative-tone photoresist),則在顯影製程300A中將殘留暴露部分160A,於此同時去除未曝露部分160B。在任意案例中,現在可使用此圖案化光阻層160於後續的製程中圖案化其下的材料層150。
第10圖為半導體製造系統400之一部分的簡化示意圖,其可用於進行前述參考第3-9圖的不同製程。製造系統 400包括光阻塗覆工具410。作為前述參考第3圖之旋轉塗覆製程170之一部分,可使用光阻塗覆工具410以塗覆光阻層160於材料層150之上。在一些實施例中,光阻塗覆工具410包括裝有光阻化學物質混合物(例如,高分子、光酸產生劑等)、溶劑及水之瓶或容器。此光阻塗覆工具410亦可包括用於噴灑(spray)液體(例如,成分為光阻化學物質、溶劑及水之混合物)之噴嘴(nozzle)。光阻塗覆工具410亦可包括載台,用於當晶圓上塗覆有光阻層160時用來持有(hold)及/或箝住(spin)晶圓。此光阻塗覆工具410可更包括控制器415,用以配置旋轉塗覆製程170之不同製程參數,例如添加至混合物之水量(例如,達成含水量大約在1%至15%的混合物)。
製造系統400包括烘烤工具420。可使用烘烤工具420烘烤光阻層160作為前述參考第4圖之烘烤製程200之一部分。在一些實施例中,烘烤工具420包括”加熱板”,其可作為軌道半導體製造系統之一部分。加熱板可具有可調之濕度控制設定。烘烤工具420亦可包括用於配置烘烤製程200之不同製程參數的控制器425,例如,加熱板之濕度設定。在一些實施例中,控制器425可配置烘烤工具之濕度至超過約44%,例如範圍大約在46%至55%。
製造系統400包括曝光工具430。可使用曝光工具430曝光光阻層160作為前述參考第5圖之曝光製程220之一部分。在一些實施例中,曝光工具430包括極紫外線掃描曝光機,例如前述參考第1圖之極紫外線光微影製程系統10。曝光工具430亦可包括用於配置曝光製程220之不同製程參數的控制器 435。
製造系統400包括沖洗工具440。可使用沖洗工具440沖洗光阻層160作為前述參考第6圖之沖洗製程240之一部分。在一些實施例中,沖洗工具440包括噴嘴或其他分配(dispensing)單元,其被配置以分發混合於其中之溶劑及水。沖洗工具440亦可包括用以配置沖洗製程240之不同製程參數的控制器445,例如添加至溶劑中的水量。在一些實施例中,控制器445可配置分發之沖洗液體溶液中的含水量範圍大約在0.1%至10%。
製造系統400包括曝光後烘烤(post-exposure baking,PEB)工具450。可使用曝光後烘烤工具420烘烤光阻層160作為前述參考第7圖之烘烤製程250之一部分。在一些實施例中,烘烤工具450包括加熱板,其作為軌道半導體製造系統之一部分。加熱板可能具有可調之濕度控制設定。烘烤工具450亦可包括用於配置烘烤製程250之不同製程參數的控制器455,例如,加熱板之濕度設定。在一些實施例中,控制器455可配置烘烤工具之濕度至超過約44%,例如範圍大約在46%至55%。在一些實施例中,可混合包含N2及CO2之清洗(purge)氣體至曝光後烘烤工具450之加熱板(或任意其他儲存位置)中,以改進反應控制。清洗氣體之N2/CO2比例大約在54%至100%,以最佳化敏感度控制。在一些實施例中,用於烘烤工具420之包含N2/CO2之清洗氣體的使用是選擇性的。
製造系統400包括沖洗工具460。可使用沖洗工具460沖洗光阻層160作為前述參考第8圖之沖洗製程270之一部 分。在一些實施例中,沖洗工具460包括噴嘴或其他分發單元,其被配置以分發混合於其中之溶劑及水。沖洗工具460亦可包括用以配置沖洗製程270之不同製程參數的控制器465,例如添加至溶劑中的水量。在一些實施例中,控制器465可配置分發之沖洗液體溶液中的含水量範圍大約在0.1%至10%。
製造系統400包括顯影工具470。可使用顯影工具470顯影光阻層160作為前述參考第9圖之顯影製程300之一部分。在一些實施例中,顯影工具470包括噴嘴或其他分發單元,其被配置以分發混合於其中之溶劑及水。顯影工具470亦可包括用以配置顯影製程240之不同製程參數的控制器475,例如添加至顯影劑溶液中的水量。在一些實施例中,控制器475可配置分發之顯影劑溶液中的含水量範圍大約在0.1%至10%。
應當理解,第10圖所示之箭號(arrow)表示使用系統400進行之半導體製程的順序。在沖洗工具440及沖洗工具460之前及之後的虛線箭號(dashed arrow)表示使用沖洗工具440及沖洗工具460進行沖洗製程是選擇性的。換句話說,在一些實施例中,在使用曝光工具的曝光製程220之後及使用烘烤工具450的烘烤製程250之前進行與沖洗工具440相關聯的沖洗製程240,但不進行與沖洗工具460相關聯的沖洗製程270。在其他的實施例中,不進行與沖洗工具440相關聯的沖洗製程240,但在使用烘烤工具450的烘烤製程250之後及使用顯影工具470的顯影製程300之前進行與沖洗工具460相關聯的沖洗製程270。
雖然在第10圖中,系統400之工具410-470被視為單 獨的工具,但應當理解,一或多個此些工具可被整合至相同的工具中。舉例來說,曝光後烘烤工具450及烘烤工具200可被當作相同的工具使用。換句話說,進行烘烤製程200之烘烤工具420及進行烘烤製程250之曝光後烘烤工具450皆可使用同樣的”加熱板”。同樣地,沖洗工具440及沖洗工具460可被當作相同的工具以進行沖洗製程240及沖洗製程270。此外,前述工具410-470中的一些可以共享某些部件,例如用於分發液體之噴嘴或分發單元,或甚至是控制器415-475。此外,在一些實施例中,系統400亦可包括獨立的(standalone)(即,物理上位於工具410-470外部)控制器480,其中可使用控制器480以複製或置換控制器415-475中任意一或多個的功能。
第11圖為繪示本發明說明中光阻之敏感度與濕度之間之關係的圖表(graph)600。更詳細地說,圖表600之X軸代表光阻的敏感度(以百分比量測),且圖表600之Y軸代表光阻的溼度(亦以百分比量測)。圖表600包括複數數據樣本(data sample),例如數據樣本610-614,其中各個數據樣本對應一特定的溼度及一特定的敏感度。基於數據樣本610-614產生圖表600之作圖(plot)620。
如作圖620所示,敏感度隨著濕度增加(至少增加至一特定點)而降低。因此,根據前述討論之本發明的不同步驟,可提升光阻之濕度以降低光阻敏感度。當然,在實際製造中,光阻不應該被水太過稀釋。為了在不要太過稀釋光阻的情況下達到最佳的結果,配置本發明之不同製程以使極紫外線光微影製程之濕度被控制在區域(zone)630中。
第12圖繪示出簡化方法700之流程圖。方法700包括塗敷感光層(photo-sensitive layer)於晶圓之上的步驟710。
方法700包括曝光此感光層的步驟720。在一些實施例中,此曝光包括將感光層曝露於極紫外線光。
方法700包括烘烤此感光層的步驟730。
方法700包括顯影此感光層的步驟740。
作為方法700之一部分,將濕度導入塗敷感光層的步驟710、烘烤感光層的步驟720或顯影感光層的步驟740之至少其中一者中。在一些實施例中,在塗敷感光層的步驟710之前或期間進行濕度的導入。在一些實施例中,進行濕度的導入以使大約1%至15%的感光層為水。
在一些實施例中,在烘烤步驟730期間進行濕度的導入。在一些實施例中,使用約46%及約55%之濕度設定進行烘烤。在一些實施例中,在曝光步驟720之前及曝光步驟720之後進行此烘烤。
在一些實施例中,在顯影步驟740期間導入濕度。在一些實施例中,使用於顯影中之顯影劑溶液的含水量範圍大約在0.1%至10%。
應當理解,可於第12圖之步驟710-740之前、期間或之後進行額外的製程。舉例來說,方法700可包括於曝光步驟720之後但於烘烤步驟730之前沖洗感光層之步驟。在一些實施例中,使用液體進行此沖洗,其中此液體之含水量範圍大約在0.1%至10%。做為另一個範例,方法700可包括於烘烤步驟730之後但於顯影步驟740之前沖洗感光層之步驟。在一些實施 例中,使用液體進行此沖洗,其中此液體之含水量範圍大約在0.1%至10%。為了簡單起見,於此並不詳細討論其他製程。
基於上述討論,可以看到本發明實施例提供極紫外線光微影製程的各種優點。然而,應當理解,並非所有優點都必須在本發明實施例中討論,且其他實施例可能提供不同的優點,且所有實施例不提供特定的優點。提高極紫外線光阻之濕度的優點之其中一者為降低光阻之敏感度。如此一來,即可改進極紫外線光微影製程性能,且不需要增加曝光製程的功率或能量。與此同時,晶圓產能亦可被改進。換句話說,本發明可藉由在光微影製程中小心地配置水量或濕度的導入,同時改進產能及光微影製程性能。另一優點為,前述製程與現有製程流程兼容且容易實現。
本發明實施例提供一種方法。此方法包括:塗敷感光層於晶圓上;曝光此感光層;烘烤此感光層;顯影此感光層;及於塗敷、烘烤或顯影之至少一個步驟中導入濕度。
本發明實施例更包括一種材料。此材料包括:用於極紫外線光微影製程之感光材料;溶劑;及水。
本發明實施例更提供一半導體製造系統。此半導體製造系統包括:第一部件,用以塗敷光阻層於晶圓之上;第二部件,用以烘烤光阻層;第三部件,用以曝光光阻層;及第四部件,用以顯影光阻層;其中第一部件、第二部件、第三部件及第四部件的至少其中之一更用以導入濕度或水於光阻層。
以上概略說明了本發明數個實施例的特徵,使所 屬技術領域內具有通常知識者對於本發明可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本發明實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構或製程並未脫離本發明之精神及保護範圍內,且可在不脫離本發明之精神及範圍內,當可作更動、替代與潤飾。

Claims (20)

  1. 一種方法,包括:塗敷一感光(photo-sensitive)層於一晶圓上;曝光該感光層;烘烤該感光層;顯影該感光層;以及於該塗敷、該烘烤或該顯影之至少一個步驟中導入濕度。
  2. 如申請專利範圍第1項所述之方法,其中於該塗敷該感光層之前或期間,進行該導入。
  3. 如申請專利範圍第2項所述之方法,其中該導入使大約1%至15%之該感光層為水。
  4. 如申請專利範圍第1項所述之方法,其中該曝光包括將該感光層曝露於一極紫外線(extreme ultraviolet,EUV)光。
  5. 如申請專利範圍第1項所述之方法,其中於該烘烤期間進行該導入。
  6. 如申請專利範圍第5項所述之方法,其中於該曝光之前及之後進行該烘烤,且使用大約46%至55%之濕度設定。
  7. 如申請專利範圍第1項所述之方法,更包括:於該曝光之後進行之該烘烤期間,加入包含氮氣及二氧化碳之一清洗(purge)氣體。
  8. 如申請專利範圍第1項所述之方法,更包括:於該曝光之後但於該烘烤之前,沖洗(rinsing)該感光層。
  9. 如申請專利範圍第8項所述之方法,其中使用一液體進行該沖洗,其中該液體之含水量大約在0.1%至10%。
  10. 如申請專利範圍第1項所述之方法,更包括:於該烘烤之後但於該顯影之前,沖洗該感光層。
  11. 如申請專利範圍第10項所述之方法,其中使用一液體進行該沖洗,其中該液體之含水量大約在0.1%至10%。
  12. 如申請專利範圍第1項所述之方法,其中於該顯影期間進行該導入,且其中該顯影使用之一顯影劑溶液之含水量大約在0.1%至10%。
  13. 一種材料,包括:一用於極紫外線光微影製程之感光材料;一溶劑;以及水。
  14. 如申請專利範圍第13項所述之材料,其中該材料係塗覆(coat)於一半導體晶圓之上。
  15. 如申請專利範圍第13項所述之材料,其中:該感光材料包括一或多個:高分子、光酸產生劑(photoacid generator)、淬滅劑(quencher)、發色團(chromophore)、界面活性劑(surfactant)或交聯劑(cross linker);以及該溶劑包括丙二醇甲醚(Propylene Glycol Monomethyl Ether,PGME)或丙二醇甲基醚醋酸酯(Propylene Glycol Monomethyl Ether Acetate,PGMEA)。
  16. 如申請專利範圍第13項所述之材料,其中:該材料中之該感光材料的含量大約在1%至10%;該材料中之該溶劑的含量大約在80%至94%;以及該材料中之該水的含量大約在1%至15%。
  17. 一種半導體製造系統,包括:一第一部件,用以塗敷一光阻層於一晶圓之上;一第二部件,用以烘烤該光阻層;一第三部件,用以曝光該光阻層;以及一第四部件,用以顯影該光阻層;其中該第一部件、該第二部件、該第三部件及該第四部件的至少其中之一更用以導入濕度或水於該光阻層。
  18. 如申請專利範圍第17項所述之半導體製造系統,其中該第三部件用以使用極紫外線光以曝光該光阻層。
  19. 如申請專利範圍第17項所述之半導體製造系統,更包括:一第五部件,用以使用包含水之一液體沖洗該光阻層。
  20. 如申請專利範圍第17項所述之半導體製造系統,更包括:一控制器(controller),用以設定導入至該光阻層中之濕度水平(level)或水量。
TW106135661A 2017-09-19 2017-10-18 半導體裝置的製造方法、微影材料及製造系統 TWI655509B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/708,800 US10274847B2 (en) 2017-09-19 2017-09-19 Humidity control in EUV lithography
US15/708,800 2017-09-19

Publications (2)

Publication Number Publication Date
TWI655509B TWI655509B (zh) 2019-04-01
TW201915611A true TW201915611A (zh) 2019-04-16

Family

ID=65526905

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106135661A TWI655509B (zh) 2017-09-19 2017-10-18 半導體裝置的製造方法、微影材料及製造系統

Country Status (5)

Country Link
US (3) US10274847B2 (zh)
KR (2) KR20190032149A (zh)
CN (1) CN109521648B (zh)
DE (1) DE102017122398B4 (zh)
TW (1) TWI655509B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386723B2 (en) * 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
US11221554B2 (en) 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
US20230012705A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control or aqueous treatment for euv metallic resist

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07199482A (ja) * 1993-12-28 1995-08-04 Fujitsu Ltd レジストパターン形成方法
TW464944B (en) * 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
SG71082A1 (en) * 1997-01-30 2000-03-21 Tokyo Electron Ltd Method and apparatus for coating resist and developing the coated resist
JP3559133B2 (ja) * 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
US6265323B1 (en) * 1998-02-23 2001-07-24 Kabushiki Kaisha Toshiba Substrate processing method and apparatus
US6780461B2 (en) * 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
JP2002202570A (ja) * 2000-12-28 2002-07-19 Fuji Photo Film Co Ltd 画像露光記録装置および方法
US20020110760A1 (en) * 2001-02-09 2002-08-15 Macronix International Co., Ltd. Method for improving hydrophilic character of photoresist and effect of development
US6787286B2 (en) * 2001-03-08 2004-09-07 Shipley Company, L.L.C. Solvents and photoresist compositions for short wavelength imaging
US6800415B2 (en) 2001-09-28 2004-10-05 Clariant Finance (Bvi) Ltd Negative-acting aqueous photoresist composition
JP2004252146A (ja) * 2002-05-27 2004-09-09 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物
JP4233314B2 (ja) * 2002-11-29 2009-03-04 東京応化工業株式会社 レジスト組成物および溶解制御剤
CN1717630A (zh) * 2003-06-30 2006-01-04 株式会社新克 正型感光性组合物
US20060285091A1 (en) 2003-07-21 2006-12-21 Parekh Bipin S Lithographic projection apparatus, gas purging method, device manufacturing method and purge gas supply system related application
JP2005070319A (ja) * 2003-08-22 2005-03-17 Canon Inc 近接場露光用フォトレジスト、及びこれを用いた微細パターンの作製方法
KR100620673B1 (ko) 2004-01-05 2006-09-13 주식회사 하이닉스반도체 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법
KR100887202B1 (ko) * 2004-04-27 2009-03-06 도오꾜오까고오교 가부시끼가이샤 액침 노광 프로세스용 레지스트 보호막 형성용 재료, 및 이보호막을 이용한 레지스트 패턴 형성 방법
KR100680425B1 (ko) * 2004-06-18 2007-02-08 주식회사 하이닉스반도체 수용성 네가티브 포토레지스트 중합체 및 이를 포함하는조성물
US8003293B2 (en) * 2004-09-30 2011-08-23 Intel Corporation Pixelated photoresists
CN100449403C (zh) * 2005-06-03 2009-01-07 广西真龙彩印包装有限公司 丝印网点印刷工艺
JP2007067344A (ja) 2005-09-02 2007-03-15 Canon Inc 露光装置および方法ならびにデバイス製造方法
KR20070109467A (ko) 2006-05-11 2007-11-15 주식회사 하이닉스반도체 이머젼 리소그라피 공정을 이용한 반도체 소자 제조방법
JP2008218866A (ja) * 2007-03-07 2008-09-18 Elpida Memory Inc パターン形成方法およびパターン形成装置
US8039194B2 (en) * 2008-01-08 2011-10-18 Internatinal Business Machines Corporation Photoacid generators for extreme ultraviolet lithography
JP2009294439A (ja) * 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
US8841058B2 (en) 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
CN102540771A (zh) * 2010-12-24 2012-07-04 无锡华润上华半导体有限公司 正性光刻胶用显影液及光刻工艺中的显影方法
JP5708521B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP6065230B2 (ja) * 2011-10-06 2017-01-25 日産化学工業株式会社 ケイ素含有euvレジスト下層膜形成組成物
US9851639B2 (en) * 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
CN105339183B (zh) * 2013-03-15 2018-11-09 东京毅力科创Fsi公司 用于提供加热的蚀刻溶液的系统
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
JPWO2016104565A1 (ja) * 2014-12-26 2017-09-21 富士フイルム株式会社 有機系処理液およびパターン形成方法
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
JP6520490B2 (ja) 2015-07-08 2019-05-29 信越化学工業株式会社 パターン形成方法
JP6411967B2 (ja) 2015-07-29 2018-10-24 信越化学工業株式会社 レジスト材料並びにこれを用いたパターン形成方法
US9612536B2 (en) 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
CN108292593B (zh) 2015-09-30 2023-02-17 东京毅力科创株式会社 使用极紫外光刻对衬底进行图案化的方法
US20170205712A1 (en) * 2016-01-20 2017-07-20 Micron Technology, Inc. Development apparatus and method for developing photoresist layer on wafer using the same
CN106158590A (zh) * 2016-08-01 2016-11-23 合肥佳瑞林电子技术有限公司 一种薄膜晶体管液晶显示器的tft加工工艺
JP6781031B2 (ja) * 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US10095109B1 (en) * 2017-03-31 2018-10-09 Rohm And Haas Electronic Materials Llc Acid-cleavable monomer and polymers including the same

Also Published As

Publication number Publication date
CN109521648A (zh) 2019-03-26
CN109521648B (zh) 2021-09-07
KR20190032149A (ko) 2019-03-27
KR102301871B1 (ko) 2021-09-16
US11307504B2 (en) 2022-04-19
US10274847B2 (en) 2019-04-30
DE102017122398B4 (de) 2023-03-23
KR20200092922A (ko) 2020-08-04
US20190086818A1 (en) 2019-03-21
US20200319565A1 (en) 2020-10-08
US20190094716A1 (en) 2019-03-28
TWI655509B (zh) 2019-04-01
DE102017122398A1 (de) 2019-03-21

Similar Documents

Publication Publication Date Title
TWI655509B (zh) 半導體裝置的製造方法、微影材料及製造系統
US11681226B2 (en) Metal-compound-removing solvent and method in lithography
US10622211B2 (en) Metal-compound-removing solvent and method in lithography
US9733562B2 (en) Extreme ultraviolet lithography process and mask
US10001701B1 (en) Pellicle structures and methods of fabricating thereof
US9529250B2 (en) EUV mask with ITO absorber to suppress out of band radiation
US20210325782A1 (en) EUV Metallic Resist Performance Enhancement Via Additives
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
US9995999B2 (en) Lithography mask
US9280046B2 (en) Method of fabricating mask
US9829785B2 (en) Extreme ultraviolet lithography process and mask
US11681221B2 (en) EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
US9983474B2 (en) Photoresist having sensitizer bonded to acid generator
US20080318153A1 (en) Photosensitive layer stack
KR102401315B1 (ko) 낮은 활성화 에너지 리간드 또는 높은 현상액 용해도 리간드를 갖는 euv 포토레지스트
CN115524944A (zh) 用于制造半导体装置的方法和系统