KR100620673B1 - 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법 - Google Patents

포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법 Download PDF

Info

Publication number
KR100620673B1
KR100620673B1 KR1020040000289A KR20040000289A KR100620673B1 KR 100620673 B1 KR100620673 B1 KR 100620673B1 KR 1020040000289 A KR1020040000289 A KR 1020040000289A KR 20040000289 A KR20040000289 A KR 20040000289A KR 100620673 B1 KR100620673 B1 KR 100620673B1
Authority
KR
South Korea
Prior art keywords
photoresist
cleaning liquid
liquid composition
exposure
alcohol
Prior art date
Application number
KR1020040000289A
Other languages
English (en)
Other versions
KR20050071896A (ko
Inventor
이근수
복철규
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020040000289A priority Critical patent/KR100620673B1/ko
Priority to US10/999,248 priority patent/US20050153855A1/en
Publication of KR20050071896A publication Critical patent/KR20050071896A/ko
Application granted granted Critical
Publication of KR100620673B1 publication Critical patent/KR100620673B1/ko
Priority to US11/650,141 priority patent/US7467632B2/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/58Heterocyclic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Detergent Compositions (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 포토레지스트 세정액 조성물 및 이를 이용한 포토레지스트 패턴 형성 방법에 관한 것으로서, 보다 상세하게는 화학식 1로 표시되는 이온성 계면 활성제 및 물을 포함하는 포토레지스트 세정액 조성물 및 이를 이용한 포토레지스트 패턴 형성 방법에 관한 것이다. 본 발명의 포토레지스트 세정액 조성물을 노광전 또는 노광후에 포토레지스트 막에 뿌려줌으로써 고스트 이미지(ghost image)에 의해 원치 않는 부위에 발생되는 패턴의 형성, 즉 사이드 로브(side lobe) 등을 제거할 수 있다.
<화학식 1>
Figure 112004000212395-pat00001
상기 화학식 1에서, R, m 및 n은 명세서에서 정의된 바와 같다.

Description

포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법{Cleaning Solution for Photoresist and Method for Pattern Formation Using the Same}
도 1은 일반 패턴 공정에 의해 형성된 포토레지스트 패턴 사진이다.
도 2는 본 발명의 포토레지스트 세정액 조성물을 노광후 처리하여 형성된 포토레지스트 패턴 사진이다.
A ; 실시예 3의 조성물, B ; 실시예 4의 조성물
도 3은 본 발명의 포토레지스트 세정액 조성물을 노광전 처리하여 형성된 포토레지스트 패턴 사진이다.
A ; 실시예 5의 조성물, B ; 실시예 6의 조성물
본 발명은 포토레지스트 패턴 형성시 노광전 또는 노광후에 뿌려주어 고스트 이미지(ghost image)의 발생을 억제함으로써 원하지 않는 패턴의 형성을 방지하기 위한 세정액 조성물 및 이를 이용한 포토레지스트 패턴 형성 방법에 관한 것이다.
반도체 기판 상에 포토레지스트 패턴을 형성하는 일반적인 방법을 개략적으로 설명하면, 먼저 반도체 기판 상에 피식각층을 형성한 다음, 피식각층 위에 포토 레지스트 막을 형성하고, 이를 노광 및 현상하여 상기 피식각층의 일부를 노출시키는 포토레지스트 패턴을 형성한다. 이때, 포지티브형 포토레지스트 막을 사용한 경우에는 노광 영역의 포토레지스트 막이 현상액에 의해 제거되어 포토레지스트 패턴이 형성된다. 그러나, 노광시 원치 않는 부분에 형성되는 고스트 이미지에 의해 비노광 부위에 존재하는 감광제 코팅막에도 산이 발생하게 되며, 이렇게 발생된 산에 의해 베이킹(baking)시 감광제의 보호기가 탈리되어 현상액에 녹아나게 됨으로써 원하지 않는 부위에 패턴이 형성되는 문제점이 있었다.
본 발명은 상기와 같은 종래 패턴 형성 공정시의 문제점을 해결하기 위하여 안출된 것으로서, 고스트 이미지에 의하여 원하지 않는 포토레지스트 패턴이 형성되는 것을 방지하기 위한 세정액 조성물을 제공하는 것을 그 목적으로 한다.
또한, 본 발명은 상기 세정액 조성물을 이용하여 포토레지스트 패턴을 형성하는 방법 및 이러한 방법에 의해 얻어진 반도체 소자를 제공하는 것을 다른 목적으로 한다.
상기 목적을 달성하기 위하여, 본 발명은 고스트 이미지를 제거할 목적으로 사용되는 포토레지스트 세정액 조성물을 제공한다.
또한, 본 발명은 상기 세정액 조성물을 이용하여 포토레지스트 패턴을 형성하는 방법 및 상기 방법에 의해 제조된 반도체 소자를 제공한다.
이하, 본 발명을 상세히 설명한다.
본 발명은 화학식 1로 표시되는 이온성 계면 활성제 및 물을 포함하는 포토레지스트 세정액 조성물을 제공한다.
<화학식 1>
Figure 112004000212395-pat00002
상기에서, R은 H, C1-C20의 알킬 또는 알킬아릴, C3-C10의 방향족 고리이며, 메틸(methyl), 에틸(ethyl), 프로필(propyl), 부틸(butyl), 옥틸(octyl), 옥틸페닐(octyl phenyl), 노닐(nonyl), 노닐 페닐(nonyl phenyl), 데실(decyl), 데실페닐(decyl phenyl), 운데실(undecyl), 운데실페닐(undecylphenyl), 도데실(dodecyl), 도데실페닐(dodecyl phenyl)로 구성된 군으로부터 선택되는 것이 바람직하다. 또한, m은 0 내지 100, n은 10 내지 300 이다.
본 발명의 세정액 조성물에 있어서, 물은 증류수인 것이 바람직하며, 경우에 따라서는 알코올을 추가로 포함할 수도 있다. 상기에서, 알코올은 C1-C10의 알킬알코올 또는 알콕시알코올인 것이 바람직하며, 더욱 바람직하게는 알킬알코올은 메탄올, 에탄올, 프로판올, 이소프로판올, n-부탄올, sec-부탄올, tert-부탄올, 1-펜탄올, 2-펜탄올, 3-펜탄올 및 2,2-디메틸-1-프로판올로 구성된 군으로부터 선택될 수 있고, 알콕시알코올은 2-메톡시에탄올, 2-(2-메톡시에톡시)에탄올, 1-메톡시-2-프 로판올 및 3-메톡시-1,2-프로판디올로 구성된 군으로부터 선택될 수 있으며, 이들을 단독으로 또는 혼합하여 사용할 수 있다.
본 발명의 세정액 조성물에 있어서, 화학식 1의 화합물 : 알코올 화합물 : 물의 조성비는 0.001∼5 중량% : 0∼10 중량% : 85∼99.999 중량%인 것이 바람직하다.
본 발명에 따른 세정액 조성물은 상기 조성의 화학식 1의 화합물, 알코올 화합물 및 물의 혼합용액을 0.2 ㎛ 기공 크기의 여과기로 여과한 후 사용하는 것이 바람직하며, 현상액을 사용하는 공정, 즉 습식현상 공정을 채택하는 포토레지스트 패턴 형성 공정에 사용 가능하다.
고스트 이미지에 의해 발생된 산의 양은 정상적인 노광부위에 발생된 산의 양에 비해 상대적으로 많이 부족하다. 따라서, 노광후에 감광제 코팅막을 린스액으로 세척해줌으로써 감광제 표면의 원치 않는 부위에 형성되는 적은 양의 산을 중화 또는 제거할 수 있다. 상기 과정은 노광전에 수행할 수도 있는데, 노광전 세정액을 처리할 경우에는 감광제 표면에 형성된 얇은 수막에 의해 노광 후 형성된 산의 확산이 상대적으로 느려지게 되고, 또한 감광제 조성물 중의 일부인 PAG (photo acid generator) 등이 씻겨 나가게 되어 노광시 산이 적게 발생한다. 상기와 같은 원리로 고스트 이미지에 의해 원치 않는 부위에 형성되는 산을 제거함으로써 원하는 포토레지스트 패턴만을 얻을 수 있다.
또한, 본 발명은 상기 세정액 조성물을 이용하여 포토레지스트 패턴을 형성하는 방법을 제공한다.
본 발명의 포토레지스트 패턴을 형성하는 방법은
1) 반도체 기판에 형성된 피식각층 상부에 통상의 포토레지스트 조성물을 도포하여 포토레지스트 막을 형성하는 단계;
2) 상기 포토레지스트 막을 노광원으로 노광하는 단계; 및
3) 상기 노광된 포토레지스트 막을 현상액으로 현상하는 단계를 포함하는 포토레지스트 패턴을 형성하는 방법에 있어서, 단계 2의 노광전 또는 노광후에 본 발명의 세정액 조성물을 뿌리는 단계를 추가로 포함하는 것을 특징으로 한다. 본 발명의 세정액 조성물은 노광전 및 노광후에 모두 뿌려도 무방하다.
상기에서, 단계 2의 노광 전에 소프트 베이크(soft bake) 공정 및 노광 후에 포스트 베이크 공정을 추가로 실시할 수 있으며, 이때 베이크 공정은 70 내지 200℃에서 수행되는 것이 바람직하다.
또한, 상기 노광원은 VUV (157 nm), ArF (193 nm), KrF (248 nm), EUV (13 nm), E-빔, X-선 및 이온빔으로 구성된 군으로부터 선택되는 것이 바람직하며, 0.1 내지 50 mJ/㎠의 노광 에너지로 수행되는 것이 바람직하다.
단계 3의 현상 공정은 통상적인 알칼리성의 현상액을 이용하여 수행될 수 있으며, 알칼리 현상액은 0.01 내지 5 중량%의 테트라메틸암모늄하이드록사이드(TMAH) 수용액인 것이 바람직하다.
또한, 본 발명은 상기 패턴 형성방법을 이용하여 제조된 반도체 소자를 제공한다.
이하, 본 발명을 실시예에 의해 상세히 설명한다.
단, 하기 실시예는 본 발명을 예시하기 위한 것일 뿐, 본 발명의 내용이 하기 실시예에 의해 한정되는 것은 아니다.
<실시예 1> 본 발명에 따른 세정액 조성물의 제조 1
평균 분자량이 10,000인 폴리(비닐피롤리돈)(poly(vinylpyrrolidone)) 0.1 g과 물 1,000 g을 1분간 교반하여 섞어준 후, 이를 0.2 ㎛ 기공 크기의 여과기로 여과하여 본 발명에 따른 세정액 조성물을 제조하였다.
<실시예 2> 본 발명에 따른 세정액 조성물의 제조 2
평균 분자량이 10,000인 폴리(비닐피롤리돈-비닐 아크릴산)(poly(vinylpyrrolidone-vinyl acrylic acid)) 공중합체(3:7) 0.1 g, 에탄올 30 g 및 물 970 g을 1분간 교반하여 섞어준 후, 이를 0.2 ㎛ 기공 크기의 여과기로 여과하여 본 발명에 따른 세정액 조성물을 제조하였다.
<비교예 1> 일반 패턴공정
헥사메틸디실라잔(HMDS) 처리된 실리콘 웨이퍼에 피식각층을 형성시키고, 그 상부에 메타크릴레이트 타입의 감광제인 TOK사의 TarF-7a-39를 스핀 코팅하여 3,500Å의 두께로 포토레지스트 박막을 제조한 다음, 130℃의 오븐에서 90초간 소프트 베이크 하였다. 소프트 베이크 후 ArF 레이저 노광장비로 노광하고, 130℃의 오븐에서 90초간 다시 포스트 베이크 하였다. 베이크 완료후 2.38 중량% 테트라메틸암모늄하이드록사이드 수용액에 30초간 침지하여 현상시켜 150 ㎚ 콘택홀(contact hole) 패턴을 얻었다(도 1).
<실시예 3> 세정액 조성물을 이용한 패턴 형성 1
실시예 1에서 제조한 세정액 조성물 100 ㎖를 노광 후 뿌려주는 것을 제외하고는 비교예 1과 동일한 방법으로 150 ㎚ 콘택홀 패턴을 얻었다(도 2의 A).
<실시예 4> 세정액 조성물을 이용한 패턴 형성 2
실시예 2에서 제조한 세정액 조성물 100 ㎖를 노광 후 뿌려주는 것을 제외하고는 비교예 1과 동일한 방법으로 150 ㎚ 콘택홀 패턴을 얻었다(도 2의 B).
<실시예 5> 세정액 조성물을 이용한 패턴 형성 3
실시예 1에서 제조한 세정액 조성물 100 ㎖를 노광 전 뿌려주는 것을 제외하고는 비교예 1과 동일한 방법으로 150 ㎚ 콘택홀 패턴을 얻었다(도 3의 A).
<실시예 6> 세정액 조성물을 이용한 패턴 형성 4
실시예 2에서 제조한 세정액 조성물 100 ㎖를 노광 전 뿌려주는 것을 제외하고는 비교예 1과 동일한 방법으로 150 ㎚ 콘택홀 패턴을 얻었다(도 3의 B).
상기에서 살펴본 바와 같이, 본 발명의 포토레지스트 세정액 조성물을 노광전 또는 노광후에 포토레지스트 막에 뿌려줌으로써 고스트 이미지(ghost image)에 의해 원치 않는 부위에 발생되는 패턴의 형성, 즉 사이드 로브(side lobe) 등을 제거할 수 있다.

Claims (11)

1) 반도체 기판에 형성된 피식각층 상부에 통상의 포토레지스트 조성물을 도포한 후 소프트 베이크하여 포토레지스트 막을 형성하는 단계;
2) 상기 포토레지스트 막을 노광원으로 노광하는 단계; 및
3) 상기 노광된 포토레지스트 막을 베이크한 후 현상액으로 현상하는 단계를 포함하는 포토레지스트 패턴을 형성하는 방법에 있어서,
ⅰ) 단계 1과 단계 2 사이, ⅱ) 단계 2와 단계 3 사이, 또는 ⅲ) 상기 단계 1과 단계 2 사이 및 단계 2와 단계 3 사이 모두에 포토레지스트 세정액 조성물을 뿌리는 단계를 추가로 포함하며, 상기 포토레지스트 세정액 조성물은 하기 화학식 1로 표시되는 이온성 계면 활성제 및 물을 포함하고, 선택적으로 알코올 화합물을 더 포함하는 것을 특징으로 하는 포토레지스트 패턴을 형성하는 방법:
<화학식 1>
Figure 112006037798059-pat00003
상기에서, R은 H, C1-C20의 알킬 또는 알킬아릴 및 C3-C10의 방향족 고리로 구성된 군으로부터 선택되며, m은 0 내지 100, n은 10 내지 300이다.
제 1항에 있어서, 상기 R은 H, 메틸(methyl), 에틸(ethyl), 프로필(propyl), 부틸(butyl), 옥틸(octyl), 옥틸페닐(octyl phenyl), 노닐(nonyl), 노닐 페닐(nonyl phenyl), 데실(decyl), 데실페닐(decyl phenyl), 운데실(undecyl), 운데실페닐(undecylphenyl), 도데실(dodecyl) 및 도데실페닐 (dodecyl phenyl)로 구성된 군으로부터 선택되는 것을 특징으로 하는 방법.
삭제
제 1항에 있어서, 상기 알코올은 C1-C10의 알킬알코올 또는 알콕시알코올인 것을 특징으로 하는 방법.
제 4항에 있어서, 상기 알코올은 메탄올, 에탄올, 프로판올, 이소프로판올, n-부탄올, sec-부탄올, tert-부탄올, 1-펜탄올, 2-펜탄올, 3-펜탄올, 2,2-디메틸-1-프로판올, 2-메톡시에탄올, 2-(2-메톡시에톡시)에탄올, 1-메톡시-2-프로판올 및 3-메톡시-1,2-프로판디올로 구성된 군으로부터 선택되는 화합물의 단독 또는 혼합물인 것을 특징으로 하는 방법.
제 1항에 있어서, 상기 화학식 1의 화합물 : 알코올 화합물 : 물의 조성비는 0.001∼5 중량% : 0∼10 중량% : 85∼99.999 중량% 범위인 것을 특징으로 하는 방법.
삭제
삭제
제 1항에 있어서, 상기 노광원은 VUV (157 nm), ArF (193 nm), KrF (248 nm), EUV (13 nm), E-빔, X-선 및 이온빔으로 구성된 군으로부터 선택되는 것을 특징으로 하는 방법.
제 1항에 있어서, 단계 2의 노광은 0.1 내지 50 mJ/㎠의 노광 에너지로 수행되는 것을 특징으로 하는 방법.
제 1항 기재의 패턴을 형성하는 방법을 이용하여 제조된 반도체 소자.
KR1020040000289A 2004-01-05 2004-01-05 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법 KR100620673B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020040000289A KR100620673B1 (ko) 2004-01-05 2004-01-05 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법
US10/999,248 US20050153855A1 (en) 2004-01-05 2004-11-30 Photoresist cleaning solutions and methods for pattern formation using the same
US11/650,141 US7467632B2 (en) 2004-01-05 2007-01-04 Method for forming a photoresist pattern

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040000289A KR100620673B1 (ko) 2004-01-05 2004-01-05 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법

Publications (2)

Publication Number Publication Date
KR20050071896A KR20050071896A (ko) 2005-07-08
KR100620673B1 true KR100620673B1 (ko) 2006-09-13

Family

ID=34737969

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040000289A KR100620673B1 (ko) 2004-01-05 2004-01-05 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법

Country Status (2)

Country Link
US (2) US20050153855A1 (ko)
KR (1) KR100620673B1 (ko)

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
KR102507301B1 (ko) 2015-12-23 2023-03-07 삼성전자주식회사 포토리소그래피용 린스액 및 이를 이용한 집적회로 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102411067B1 (ko) 2017-05-10 2022-06-21 삼성전자주식회사 3차원 반도체 장치의 제조 방법
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10274847B2 (en) 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11884977B2 (en) 2021-03-12 2024-01-30 Singular Genomics Systems, Inc. Nanoarrays and methods of use thereof
WO2022192671A1 (en) 2021-03-12 2022-09-15 Singular Genomics Systems, Inc. Nanoarrays and methods of use thereof
US11578320B2 (en) 2021-04-27 2023-02-14 Singular Genomics Systems, Inc. High density sequencing and multiplexed priming
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
WO2023034920A2 (en) 2021-09-03 2023-03-09 Singular Genomics Systems, Inc. Amplification oligonucleotides
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023172665A2 (en) 2022-03-10 2023-09-14 Singular Genomics Systems, Inc. Nucleic acid delivery scaffolds

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5534396A (en) * 1994-11-09 1996-07-09 Eastman Kodak Company Rinse composition for photographic paper containing alkyl ether sulfate and biocide, and method of use

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69829841T2 (de) * 1998-05-15 2006-03-02 The Procter & Gamble Company, Cincinnati Flüssige, saure Reinigungszusammensetzung für harte Oberflächen
EP0982394A1 (en) 1998-08-27 2000-03-01 The Procter & Gamble Company Liquid neutral or alkaline hard-surface cleaning composition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5534396A (en) * 1994-11-09 1996-07-09 Eastman Kodak Company Rinse composition for photographic paper containing alkyl ether sulfate and biocide, and method of use

Also Published As

Publication number Publication date
KR20050071896A (ko) 2005-07-08
US20070163625A1 (en) 2007-07-19
US20050153855A1 (en) 2005-07-14
US7467632B2 (en) 2008-12-23

Similar Documents

Publication Publication Date Title
KR100620673B1 (ko) 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법
KR100574993B1 (ko) 포토레지스트용 탑 코팅 조성물과 이를 이용한포토레지스트 패턴 형성 방법
JP3239329B2 (ja) マイクロリソグラフィ構造
KR20100111612A (ko) 이중 노광 공정에서 레지스트 패턴 크리티컬 디멘젼 편차를 줄이는 방법
JP2002148820A (ja) パターン形成方法及びこの方法に使用される処理剤
US7364837B2 (en) Method for pattern formation using photoresist cleaning solution
TWI736627B (zh) 圖案之形成方法、及半導體之製造方法
WO2007148776A1 (ja) 微細化されたレジストパターンの形成方法
JP4607663B2 (ja) フォトレジストパターンコーティング用組成物
JP2008502935A (ja) 水性エッジビード除去液
JP2008166475A (ja) レジストパターン縮小化材料および微細レジストパターン形成方法
KR100745901B1 (ko) 포토레지스트 패턴 코팅용 조성물 및 이를 이용한 미세패턴형성 방법
KR100709442B1 (ko) 포토레지스트 패턴 코팅용 조성물 및 이를 이용한 미세패턴형성 방법
KR100618909B1 (ko) 실리콘을 함유하는 탑 코팅 조성물과 이를 이용한포토레지스트 패턴 형성 방법
KR101051160B1 (ko) 감광막 패턴 수축용 조성물
KR100772809B1 (ko) 포토레지스트 세정액 조성물
JP2006189760A (ja) フォトレジストパターンコーティング用水溶性組成物及びこれを用いた微細パターン形成方法
US7214474B2 (en) Wash composition with polymeric surfactant
KR20050101458A (ko) 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성방법
JPH06348036A (ja) レジストパターン形成方法
KR100733197B1 (ko) 포토레지스트 세정액 조성물
KR100772811B1 (ko) 포토레지스트 세정액 조성물
KR100772810B1 (ko) 포토레지스트 세정액 조성물
KR20060074746A (ko) 감광막 패턴 수축용 조성물
KR100745891B1 (ko) 포토레지스트 세정액 조성물

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110726

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20120720

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee