KR20190032149A - Euv 리소그래피에서의 습도 제어 - Google Patents

Euv 리소그래피에서의 습도 제어 Download PDF

Info

Publication number
KR20190032149A
KR20190032149A KR1020170163233A KR20170163233A KR20190032149A KR 20190032149 A KR20190032149 A KR 20190032149A KR 1020170163233 A KR1020170163233 A KR 1020170163233A KR 20170163233 A KR20170163233 A KR 20170163233A KR 20190032149 A KR20190032149 A KR 20190032149A
Authority
KR
South Korea
Prior art keywords
layer
baking
euv
photoresist
photoresist layer
Prior art date
Application number
KR1020170163233A
Other languages
English (en)
Inventor
안-렌 지
친-시앙 린
칭-유 창
조이 쳉
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190032149A publication Critical patent/KR20190032149A/ko
Priority to KR1020200093085A priority Critical patent/KR102301871B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/06Silver salts
    • G03F7/063Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3042Imagewise removal using liquid means from printing plates transported horizontally through the processing stations
    • G03F7/3057Imagewise removal using liquid means from printing plates transported horizontally through the processing stations characterised by the processing units other than the developing unit, e.g. washing units
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Abstract

감광성 층이 웨이퍼 위에 도포된다. 감광성 층은 노광된다. 일부 실시예들에서, 감광성 층은 EUV 광에 노광된다. 감광성 층은 베이킹된다. 감광성 층은 현상된다. 도포 단계, 베이킹 단계, 또는 현상 단계 중 적어도 하나의 단계에서 습기가 도입된다.

Description

EUV 리소그래피에서의 습도 제어{HUMIDITY CONTROL IN EUV LITHOGRAPHY}
반도체 집적 회로(integrated circuit; IC) 산업은 기하 급수적인 성장을 경험했다. IC 물질 및 설계의 기술적 진보는 IC 세대를 만들었고, 각각의 세대는 이전 세대보다 더 작고 더 복잡한 회로를 갖는다. IC 진화 동안에, 기하학적 크기(즉, 제조 공정을 사용하여 생성될 수 있는 가장 작은 컴포넌트(또는 라인))는 감소하는 반면, 기능 밀도(즉, 칩 영역당 상호 접속된 디바이스들의 수)는 일반적으로 증가했다. 이러한 축소 공정은 일반적으로 생산 효율성을 증가시키고 관련 비용을 낮춤으로써 이점을 제공한다. 이러한 축소는 또한 IC 처리 및 제조의 복잡성을 증가시켰다.
이러한 진보를 실현하기 위해, IC 처리 및 제조에서 유사한 발전이 필요하다. 예를 들어, 고해상도 리소그래피 공정을 수행할 필요가 커진다. 한 리소그래피 기술은 극자외선(extreme ultraviolet; EUV) 리소그래피이다. EUV 리소그래피는 대략 1 내지 100나노미터(nm)의 파장을 갖는 극자외선 영역의 광을 이용하는 스캐너를 사용한다. 일부 EUV 스캐너는 EUV 스캐너가 굴절 광학보다는 반사 광학을 사용, 즉 렌즈 대신 거울을 사용한다는 점을 제외하고, 일부 광학 스캐너와 유사하게 4X 축소 투사형 인쇄를 제공한다. EUV 스캐너는 반사 마스크 상에 형성된 흡수 층("EUV" 마스크 흡수체) 상에 원하는 패턴을 제공한다.
그러나 EUV 리소그래피의 인기가 증가하고 있음에도 불구하고, 종래의 EUV 리소그래피는 여전히 결점을 가질 수 있다. 예를 들어, 종래의 EUV 리소그래피는 양호한 리소그래피 성능 및 처리량을 동시에 제공하는 것이 어려울 수 있다. 종종, 처리량이 향상됨에 따라 리소그래피 성능이 저하되거나 그 반대의 경우도 있다.
종래의 EUV 리소그래피는 일반적으로 그 의도된 목적에는 적합했지만, 모든 면에서 완전히 만족스럽지는 못했다.
본 발명개시의 양태들은 첨부 도면들과 함께 읽혀질 때 아래의 상세한 설명으로부터 최상으로 이해된다. 본 산업계에서의 표준적인 실시에 따라, 다양한 피처(feature)들은 실척도로 도시되지 않았음을 유념한다. 사실, 다양한 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 본 발명개시의 일부 실시예들에 따라 구성된 리소그래피 시스템의 개략도이다.
도 2는 본 발명개시의 일부 실시예들에 따라 구성된 EUV 마스크의 단면도이다.
도 3 내지 도 9는 본 발명개시의 일부 실시예들에 따른 제조의 다양한 단계에서의 반도체 디바이스의 개략적인 단편적 횡단면도이다.
도 10은 본 발명개시의 일부 실시예들에 따른 반도체 디바이스의 제조를 위한 시스템의 개략도이다.
도 11은 본 발명개시의 일부 실시예들에 따른 포토 레지스트의 습도와 감도 사이의 관계를 나타내는 그래프이다.
도 12는 본 발명개시의 일부 실시예들에 따른 반도체 디바이스를 제조하는 방법의 흐름도이다.
다음의 발명개시는 본 발명의 상이한 피처들을 구현하기 위한 다수의 상이한 실시예들 또는 예시들을 제공한다. 본 발명개시를 단순화하기 위해 컴포넌트들 및 배열들의 특정한 예시들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정적인 것으로 의도된 것은 아니다. 예를 들어, 이어지는 설명에서 제 2 피처 위에 또는 제 2 피처 상에 제 1 피처의 형성은 제 1 피처 및 제 2 피처가 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 제 1 피처와 제 2 피처 사이에 추가의 피처들이 형성되어 제 1 피처 및 제 2 피처가 직접 접촉하지 않을 수 있는 실시예들을 또한 포함할 수 있다. 게다가, 본 발명개시는 다양한 예시들에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 간략함과 명료함을 위한 것으로, 이러한 반복 그 자체가 논의된 다양한 실시예들 및/또는 구성들 사이의 관계를 지시하는 것은 아니다.
더욱이, "아래", "밑", "하위", "위", "상위" 등과 같은 공간적으로 상대적인 용어들이 도면들에 나타난 바와 같이 다른 요소(들) 또는 피처(들)에 대한 하나의 요소 또는 피처의 관계를 설명하는 데 설명의 용이함을 위해 본 명세서에서 이용될 수 있다. 공간적으로 상대적인 용어들은 도면에 도시된 방향은 물론 사용 중이거나 동작 중인 디바이스의 상이한 방향을 포함하기 위한 것이다. 장치는 다른 식으로 배향될 수 있고(90도 회전 또는 다른 방향으로 있음), 그에 맞춰 본 명세서에서 이용되는 공간적으로 상대적인 기술어들이 마찬가지로 이해될 수 있다.
극자외선(EUV) 리소그래피는 소형 반도체 디바이스 크기를 달성할 수 있기 때문에 널리 사용되고 있다. 그러나 EUV 리소그래피를 수행하는 종래의 시스템 및 방법은 여전히 단점을 가질 수 있다. 예를 들어, (레지스트 감도의 감소에 대응하는) 전력/에너지의 증가는 더 작은 라인 폭 거칠기(line-width-roughness; LWR)와 같은 더 양호한 리소그래피 성능을 초래할 수 있다. 불행히도, 전력의 증가는 또한 처리량의 감소로 이어질 수 있으며, 이는 EUV 리소그래피를 사용하여 제조된 반도체 디바이스의 비용을 증가시킨다. 종래의 EUV 리소그래피 시스템 및 방법은 이 문제점에 대한 해결책을 제공할 수 없었다.
본 발명개시의 발명자들은 EUV 포토 레지스트가 물 또는 습기의 존재에 민감할 수 있다는 것을 발견했다. 예를 들어, 발명자들은 습도가 증가함에 따라, EUV 포토 레지스트 감도는 EUV 리소그래피의 전력 또는 에너지에 반드시 영향을 미치지 않고 감소할 수 있다는 것을 발견했다. 이것은 EUV 리소그래피에서의 습도의 증가가 EUV 리소그래피 성능 및 처리량 모두를 동시에 향상시킬 수 있음을 의미한다. 이와 같이, 본 발명개시는 아래에서 보다 상세히 논의되는 바와 같이, EUV 리소그래피의 다양한 단계들에 의도적으로 물 또는 습기를 도입한다. 먼저, EUV 리소그래피 시스템이 도 1 및 도 2를 참조하여 아래에서 논의될 것이다. 다음으로, EUV 리소그래피의 다양한 단계들에 물 또는 습기가 도입되는 방법에 대한 세부 사항이 도 3 내지 도 12를 참조하여 본 발명개시의 실시예들에 따라 설명된다.
도 1은 일부 실시예들에 따라 구성된 EUV 리소그래피 시스템(10)의 개략도이다. EUV 리소그래피 시스템(10)은 또한 일반적으로 각각의 방사선 소스 및 노광 모드로 리소그래피 노광 공정을 수행하도록 구성된 스캐너로 지칭될 수 있다. EUV 리소그래피 시스템(10)은 EUV 광 또는 EUV 방사선으로 포토 레지스트 층을 노광하도록 설계된다. 포토 레지스트 층은 EUV 광에 민감한 물질이다. EUV 리소그래피 시스템(10)은 대략 1nm 내지 대략 100nm 범위의 파장을 갖는 EUV 광과 같은 EUV 광을 발생시키기 위해 방사선 소스(12)를 사용한다. 일 특정 예시에서, 방사선 소스(12)는 대략 13.5nm에 중심을 둔 파장을 갖는 EUV 광을 발생시킨다. 따라서, 방사선 소스(12)는 또한 EUV 방사선 소스(12)로 지칭된다.
리소그래피 시스템(10)은 또한 일루미네이터(14)를 사용한다. 다양한 실시예들에서, 일루미네이터(14)는 방사선 소스(12)로부터 마스크 스테이지(16)로, 특히 마스크 스테이지(16) 상에 고정된 마스크(18)로 광을 지향시키기 위해, 단일 렌즈 또는 다수의 렌즈(zone plates; 동심원 회절판)를 갖는 렌즈 시스템과 같은 다양한 굴절 광학 컴포넌트 또는 대안적으로 단일 거울 또는 다수의 거울을 갖는 거울 시스템과 같은 반사 광학 컴포넌트(EUV 리소그래피 시스템용)를 포함한다. 방사선 소스(12)가 EUV 파장 범위의 광을 발생시키는 본 실시예에서, 일루미네이터(14)는 반사 광학을 사용한다. 일부 실시예들에서, 일루미네이터(14)는 다이폴 조명 컴포넌트를 포함한다.
일부 실시예들에서, 일루미네이터(14)는 마스크(18)에 적절한 조명을 제공하기 위해 거울을 구성하도록 동작 가능하다. 일 예시에서, 일루미네이터(14)의 거울들은 EUV 광을 상이한 조명 위치들로 반사시키도록 스위칭 가능하다. 일부 실시예들에서, 일루미네이터(14) 이전의 스테이지가 부가적으로 다른 스위칭 가능한 거울들을 포함할 수 있고, 이 거울들은 일루미네이터(14)의 거울들과 함께 EUV 광을 상이한 조명 위치들로 지향시키도록 제어 가능하다. 일부 실시예들에서, 일루미네이터(14)는 마스크(18)에 온 축 조명(on-axis illumination; ONI)을 제공하도록 구성된다. 일 예시에서, 부분 간섭성(σ)이 최대 0.3인 디스크 일루미네이터(14)가 사용된다. 일부 다른 실시예들에서, 일루미네이터(14)는 마스크(18)에 오프 축 조명(off-axis illumination; OAI)을 제공하도록 구성된다. 일 예시에서, 일루미네이터(14)는 다이폴 일루미네이터이다. 다이폴 일루미네이터는 일부 실시예들에서 최대 0.3의 부분 간섭성(σ)을 갖는다.
리소그래피 시스템(10)은 또한 마스크(18)를 고정시키도록 구성된 마스크 스테이지(16)를 포함한다. 일부 실시예들에서, 마스크 스테이지(16)는 마스크(18)를 고정시키기 위해 정전 척(electrostatic chuck; e-chuck)을 포함한다. 이것은 가스 분자가 EUV 광을 흡수하고, EUV 리소그래피 패턴화를 위한 리소그래피 시스템이 EUV 강도 손실을 피하기 위해 진공 환경에서 유지되기 때문이다. 본 발명개시에서, 마스크, 포토 마스크 및 레티클의 용어는 동일한 항목을 나타내기 위해 상호 교환적으로 사용된다.
본 실시예에서, 리소그래피 시스템(10)은 EUV 리소그래피 시스템이고, 마스크(18)는 반사 마스크이다. 마스크(18)의 하나의 예시적인 구조가 예시를 위해 제공된다. 마스크(18)는 낮은 열팽창 물질(low thermal expansion material; LTEM) 또는 용융 석영과 같은 적합한 물질을 갖는 기판을 포함한다. 다양한 예시들에서, LTEM은 TiO2 도핑된 SiO2, 또는 낮은 열팽창을 갖는 다른 적합한 물질들을 포함한다. 일부 실시예들에서, LTEM은 중량으로 5% 내지 20%의 TiO2를 포함하고, 대략 1.0×10-6/℃보다 낮은 열팽창 계수를 갖는다. 예를 들어, 일부 실시예들에서, LTEM의 TiO2 도핑된 SiO2 물질은 1℃의 온도 변화마다 60ppb(parts-per-billion) 미만으로 변하는 열팽창 계수를 갖는다. 물론, TiO2 도핑된 SiO2와 같거나 그보다 작은 열팽창 계수를 갖는 다른 적합한 물질들이 또한 사용될 수 있다.
마스크(18)는 기판(60) 상에 성막된 반사형 ML을 포함한다. ML은 몰리브덴-실리콘(Mo/Si) 필름 쌍(예컨대, 각각의 필름 쌍에서 실리콘 층 위 또는 아래의 몰리브덴 층)과 같은 복수의 필름 쌍을 포함한다. 대안적으로, ML은 몰리브덴-베릴륨(Mo/Be) 필름 쌍, 또는 EUV 광을 크게 반사시키도록 구성 가능한 다른 적합한 물질들을 포함할 수 있다.
마스크(18)는 보호를 위해 ML 상에 배치된 루테늄(Ru)과 같은 캐핑 층을 더 포함할 수 있다. 마스크(18)는 ML 위에 성막된 흡수 층을 더 포함한다. 흡수 층은 집적 회로(IC) 층을 정의하도록 패턴화된다. 대안적으로, 또 다른 반사층이 ML 위에 성막될 수 있고, 집적 회로 층을 정의하도록 패턴화되어 EUV 위상 시프트 마스크를 형성할 수 있다.
리소그래피 시스템(10)은 리소그래피 시스템(10)의 기판 스테이지(28) 상에 고정된 반도체 기판(예로서, 타겟(26)) 상에 마스크(18)의 패턴을 이미지화하는 투영 광학 모듈(또는 투영 광학 박스, projection optics box; POB)(20)을 포함한다. POB(20)는 다양한 실시예들에서 (UV 리소그래피 시스템용과 같은) 굴절 광학계 또는 대안적으로 (EUV 리소그래피 시스템용과 같은) 반사 광학계를 갖는다. 다양한 회절 차수로 회절되고 마스크 상에 정의된 패턴의 이미지를 전달하는 마스크(18)로부터 지향된 광은 POB(20)에 의해 수집된다. POB(20)는 1보다 작은 배율을 포함할 수 있다(따라서, 타겟(아래에 논의되는 타겟(26)과 같음) 상의 "이미지"의 크기는 마스크 상의 대응하는 "물체(object)"의 크기보다 작다). 일루미네이터(14) 및 POB(20)는 총괄하여 리소그래피 시스템(10)의 광학 모듈로 지칭된다.
리소그래피 시스템(10)은 또한 광이 투영 동공 평면(24) 상에 위상 분포를 갖도록 마스크(18)로부터 지향된 광의 광학 위상을 변조시키기 위한 동공 위상 변조기(22)를 포함한다. 광학 모듈에서, 물체(이 경우에는 마스크(18))의 푸리에 변환(Fourier Transform)에 대응하는 필드 분포를 갖는 평면이 있다. 이 평면을 투영 동공 평면으로 지칭된다. 동공 위상 변조기(22)는 투영 동공 평면(24) 상의 광의 광학 위상을 변조시키기 위한 메커니즘을 제공한다. 일부 실시예들에서, 동공 위상 변조기(22)는 위상 변조를 위해 POB(20)의 반사 거울을 조정하기 위한 메커니즘을 포함한다. 예를 들어, POB(20)의 거울들은 스위칭 가능하고 EUV 광을 반사시키도록 제어됨으로써, POB(20)를 통해 광의 위상을 변조시킬 수 있다.
일부 실시예들에서, 동공 위상 변조기(22)는 투영 동공 평면 상에 배치된 동공 필터를 사용한다. 동공 필터는 마스크(18)로부터의 EUV 광의 특정 공간 주파수 성분을 걸러 낸다. 특히, 동공 필터는 POB(20)를 통해 지향된 광의 위상 분포를 변조하도록 기능 하는 위상 동공 필터이다. 그러나 위상 동공 필터를 사용하는 것은, 모든 물질이 EUV 광을 흡수하기 때문에 (EUV 리소그래피 시스템과 같은) 일부 리소그래피 시스템에서는 제한적이다.
위에서 논의된 바와 같이, 리소그래피 시스템(10)은 또한 패턴화될 반도체 기판과 같은 타겟(26)을 고정시키는 기판 스테이지(28)를 포함한다. 본 실시예에서, 반도체 기판은 실리콘 웨이퍼 또는 다른 유형의 웨이퍼와 같은 반도체 웨이퍼이다. (기판과 같은) 타겟(26)은 본 실시예에서 EUV 광과 같은 방사선 빔에 민감한 레지스트 층으로 코팅된다. 전술한 것들을 포함하는 다양한 컴포넌트들은 함께 통합되고 리소그래피 노광 공정을 수행하도록 동작 가능하다. 리소그래피 시스템(10)은 다른 모듈들을 더 포함할 수 있거나 다른 모듈들과 통합될 수 있다(또는 결합될 수 있다).
마스크(18) 및 이의 제조 방법은 일부 실시예들에 따라 추가로 설명된다. 일부 실시예들에서, 마스크 제조 공정은 두 가지 동작들: 블랭크 마스크 제조 공정 및 마스크 패턴화 공정을 포함한다. 블랭크 마스크 제조 공정 동안, 블랭크 마스크가 적합한 기판 상에 적합한 층들(예컨대, 반사형의 다수 층들)을 성막시킴으로써 형성된다. 그런 다음, 블랭크 마스크는 집적 회로(IC) 층의 원하는 설계를 달성하도록 마스크 패턴화 공정 동안 패턴화된다. 그런 다음, 패턴화된 마스크는 반도체 웨이퍼 상에 회로 패턴(예컨대, IC 층의 설계)을 전달하기 위해 사용된다. 패턴은 다양한 리소그래피 공정들을 통해 다수의 웨이퍼들에 반복해서 전달될 수 있다. 한 세트의 마스크가 완벽한 IC를 구성하는 데 사용된다.
마스크(18)는 다양한 실시예들에서 2진 강도 마스크(binary intensity mask; BIM) 및 위상 시프팅 마스크(phase-shifting mask; PSM)와 같은 적합한 구조물을 포함한다. 예시적인 BIM은 타겟에 전달될 IC 패턴을 정의하도록 패턴화되는, 흡수 영역(불투명 영역이라고도 함) 및 반사 영역을 포함한다. 불투명 영역에서는, 흡수체가 존재하고, 입사광은 흡수체에 의해 거의 완전히 흡수된다. 반사 영역에서는, 흡수체는 제거되고 입사광은 다층(multilayer; ML)에 의해 회절된다. PSM은 감쇠 PSM(attenuated PSM; AttPSM) 또는 교번 PSM(alternating PSM; AltPSM)일 수 있다. 예시적인 PSM은 (반사형 ML과 같은) 제 1 반사 층 및 IC 패턴에 따라 패턴화된 제 2 반사 층을 포함한다. 일부 예시들에서, AttPSM은 일반적으로 흡수체로부터 2% 내지 15%의 반사율을 가지며, AltPSM은 일반적으로 흡수체로부터 50%보다 큰 반사율을 갖는다.
마스크(18)의 일 예시가 도 2에 도시되어 있다. 도시된 실시예에서 마스크(18)는 EUV 마스크이고, LTEM으로 만들어진 기판(30)을 포함한다. LTEM 물질은 TiO2 도핑된 SiO2 및/또는 당업계에 공지된 다른 낮은 열팽창 물질을 포함할 수 있다. 일부 실시예들에서, 전도성 층(32)이 정전 척을 위해 LTEM 기판(30)의 후면 아래에 추가로 배치될 수 있다. 일 예시에서, 전도성 층(32)은 크롬 질화물(CrN)을 포함한다. 다른 실시예들에서, 탄탈 함유 물질과 같은 다른 적합한 조성물이 가능하다.
EUV 마스크(18)는 LTEM 기판(30) 위에 배치된 반사형 다층 구조물(34)을 포함한다. 반사형 다층 구조물(34)은 선택된 방사선 타입/파장에 대해 높은 반사율을 제공하도록 선택될 수 있다. 반사형 다층 구조물(34)은 Mo/Si 필름 쌍(예컨대, 각각의 필름 쌍에서 실리콘 층 위 또는 아래의 몰리브덴 층)과 같은 복수의 필름 쌍을 포함한다. 대안적으로, 반사형 다층 구조물(34)은 Mo/Be 필름 쌍, 또는 EUV 파장에서 고반사성인 굴절률 차를 갖는 임의의 물질을 포함할 수 있다.
여전히, 도 2를 참조하면, EUV 마스크(18)는 또한 ML의 산화를 방지하기 위해 반사형 다층 구조물(34) 위에 배치된 캐핑 층(36)을 포함한다. 일 실시예에서, 캐핑 층(36)은 대략 4nm 내지 대략 7nm 범위의 두께를 갖는 실리콘을 포함한다. EUV 마스크(18)는 나중에 설명될 흡수층의 패턴화 또는 수리 공정에서 에칭 정지 층으로 작용하도록 캐핑 층(36) 위에 배치된 버퍼 층(38)을 더 포함할 수 있다. 버퍼 층(38)은 그 위에 배치된 흡수 층과는 상이한 에칭 특성을 갖는다. 버퍼 층(38)은 다양한 예시들에서 루테늄(Ru), RuB, RuSi과 같은 Ru 화합물, 크롬(Cr), 크롬 산화물, 및 크롬 질화물을 포함한다.
EUV 마스크(18)는 또한 버퍼 층(38) 위에 형성된 흡수체 층(40)(흡수 층으로도 지칭됨)을 포함한다. 일부 실시예들에서, 흡수체 층(40)은 마스크 상으로 지향된 EUV 방사선을 흡수한다. 다양한 실시예들에서, 흡수체 층은 탄탈륨 붕소 질화물(TaBN), 탄탈룸 붕소 산화물(TaBO), 또는 크롬(Cr), 라듐(Ra), 또는 악티늄, 라듐, 텔루륨, 아연, 구리 및 알루미늄 중 하나 이상의 적합한 산화물 또는 질화물(또는 합금)으로 만들어진다.
도 3 내지 도 9는 본 발명개시의 일부 실시예들에 따른 제조의 다양한 단계에서의 반도체 디바이스(100)의 간략화된 개략적인 단편적 횡단면도를 나타낸다. 도 3을 참조하면, 반도체 디바이스(100)는 기판(140)을 포함한다. 일부 실시예들에서, 기판(140)은 붕소와 같은 p형 도펀트로 도핑된 실리콘 기판(예를 들어, p형 기판)이다. 대안적으로, 기판(140)은 또 다른 적합한 반도체 물질일 수 있다. 예를 들어, 기판(140)은 인 또는 비소와 같은 n형 도펀트로 도핑된 실리콘 기판(예를 들어, n형 기판)이다. 기판(140)은 게르마늄 및 다이아몬드와 같은 다른 원소 반도체를 포함할 수 있다. 기판(140)은 선택적으로 화합물 반도체 및/또는 혼정 반도체를 포함할 수 있다. 게다가, 기판(140)은 에피택셜 층(epi 층)을 포함할 수 있고, 성능 향상을 위해 변형될 수 있으며, 실리콘 온 인슐레이터(silicon-on-insulator; SOI) 구조물을 포함할 수 있다.
일부 실시예들에서, 기판(140)은 실질적으로 전도성 또는 반전도성이다. 전기 저항은 대략 103옴-미터 미만일 수 있다. 일부 실시예들에서, 기판(140)은 화학식 MXa(여기서 M은 금속이고, X는 N, S, Se, O, Si 이며, "a"는 대략 0.4 내지 2.5 범위이다)를 갖는 금속, 금속 합금 또는 금속 질화물/황화물/셀렌화물/산화물/규화물을 포함한다. 예를 들어, 기판(140)은 Ti, Al, Co, Ru, TiN, WN2 또는 TaN을 포함할 수 있다.
일부 다른 실시예들에서, 기판(140)은 대략 1 내지 대략 140 범위의 유전 상수를 갖는 유전체 물질을 포함한다. 일부 다른 실시예들에서, 기판(140)은 Si, 금속 산화물 또는 금속 질화물을 포함하며, 여기서 화학식은 MXb이고, 여기서 M은 금속 또는 Si이고, X는 N 또는 O이며, "b"는 대략 0.4 내지 2.5 범위이다. 예를 들어, 기판(140)은 SiO2, 실리콘 질화물, 알루미늄 산화물, 하프늄 산화물 또는 란탄 산화물을 포함할 수 있다.
물질 층(150)이 기판(140) 위에 형성된다. 물질 층(150)은 리소그래피 공정을 통해 패턴화될 수 있으며, 따라서 패턴화 가능 층으로 지칭될 수도 있다. 일 실시예에서, 물질 층(150)은 실리콘 산화물 또는 실리콘 질화물과 같은 유전체 물질을 포함한다. 다른 실시예에서, 물질 층(150)은 금속을 포함한다. 또 다른 실시예에서, 물질 층(150)은 반도체 물질을 포함한다.
일부 실시예들에서, 물질 층(150)은 포토 레지스트와는 상이한 광학 특성을 갖는다. 예를 들어, 물질 층(150)은 포토 레지스트와는 상이한 n, k 또는 T 값을 갖는다. 일부 실시예들에서, 물질 층(150)은 상이한 중합체 구조물, 산 불안정 분자, PAG(photo acid generator; 광산 발생제) 로딩, 소광제(quencher) 로딩, 발색단, 가교제 또는 용매 중 적어도 하나를 포함하고, 이는 포토 레지스트와는 상이한 n 값으로 이어진다. 일부 실시예들에서, 물질 층(150) 및 포토 레지스트는 상이한 에칭 저항성을 갖는다. 일부 실시예들에서, 물질 층(150)은 에칭 저항성 분자를 포함한다. 분자는 낮은 오니시 넘버(onishi number) 구조물, 이중 결합, 삼중 결합, 실리콘, 실리콘 질화물, Ti, TiN, Al, 알루미늄 산화물, SiON 또는 이들의 조합물을 포함한다. 다른 실시예들에서, 기판(140) 및 물질 층(150)은 각각 추가의 적합한 물질 조성물을 포함할 수 있다는 것이 이해되고 있다.
포토 레지스트 층(160)은 기판(140) 위에 (특별히, 물질 층(150) 위에) 형성된다. 포토 레지스트 층(160)은 스핀 코팅 공정(170)에 의해 형성될 수 있다. 도 3에 도시된 실시예에서, 포토 레지스트 층(160)은 포지티브 포토 레지스트를 포함하지만, 대안적인 실시예들에서 포토 레지스트 층(160)은 네거티브 포토 레지스트를 포함할 수 있다는 것이 이해되고 있다. 포토 레지스트 층(160)은 중합체, 광산 발생제(PAG), 소광제, 발색단, 계면 활성제, 가교제 등과 같은 화학 성분을 함유한다. 일 실시예에서, 광산 발생제는 중합체에 결합된다. 후속적인 포토 리소그래피 공정에서, 광자는 PAG의 분해를 유도한다. 결과적으로, 소량의 산이 형성되고, 이는 포토 레지스트 층(160)에서 화학 변환의 캐스케이드(cascade)를 더 유도한다. 포토 레지스트 물질 내의 소광제는 임계 치수(CD) 제어를 향상시키는 것을 돕는다.
위에서 논의된 화학 성분(예컨대, 중합체, PAG, 소광제, 발색단, 계면 활성제, 가교제)은 포토 레지스트 층(160)의 작은 부분(예컨대, 대략 1% 내지 10% 사이, 예를 들어 약 5%)일 수 있다는 것이 이해되고 있다. 포토 레지스트 층(160)의 대부분은 용매이다. 일부 실시예들에서, 용매는 프로필렌 글리콜 모노 메틸 에테르(Propylene Glycol Monomethyl Ether; PGME), 또는 프로필렌 글리콜 모노 메틸 에테르 아세테이트(Propylene Glycol Monomethyl Ether Acetate; PGMEA), 또는 이들의 조합물을 포함할 수 있다. 용매는 포토 레지스트 층(160)이 층(150) 위에 스핀 코팅되거나 다른 식으로 도포되기 전에 병 또는 다른 적합한 용기에서 포토 레지스트 층(160)의 다른 화학 성분(예컨대, 중합체)과 혼합될 수 있다.
종래의 포토 레지스트 층의 경우, 포토 레지스트에 물을 특별히 도입하지 않는다. 이와 비교하여, 본 발명개시의 다양한 양태들에 따르면, 물(즉, H2O)이 포토 레지스트 층(160)에 의도적으로 첨가된다. 일부 실시예들에서, 위에서 논의된 포토 레지스트 층(160)의 화학 성분(예컨대, 중합체, PAG 등)뿐만 아니라 용매(예를 들어, PGME 또는 PGMEA)를 또한 함유하는 병(또는 다른 적합한 용기)에 물이 첨가된다. 결과적으로, 혼합물이 생성되고, 그 혼합물은 포토 레지스트의 다양한 화학 성분, 용매 및 물을 함유한다.
일부 실시예들에서, 혼합물의 수분 함량이 미리 결정된 레벨이 되는 것을 보장하도록 일정량의 물이 신중하게 첨가된다. 일부 실시예들에서, 미리 결정된 레벨은 대략 1% 내지 대략 15%이다. 예를 들어, 혼합물의 대략 1% 내지 15%가 물이 되도록 적절한 양의 물이 혼합물에 첨가된다. 이러한 실시예들에서, 포토 레지스트 층(160)의 화학 성분은 여전히 혼합물의 대략 1% 내지 10%(예컨대, 5%)를 구성할 수 있으며, 용매는 혼합물의 대략 80% 내지 94%를 구성할 수 있다. 일부 실시예들에서, 미리 결정된 레벨은 대략 0.1% 내지 8%이다(즉, 혼합물의 대략 0.1% 내지 8%는 물이다).
혼합물의 수분 함량의 미리 결정된 레벨은 무작위로 선택되는 것이 아니라, 포토 레지스트 층(160)이 원하는 양의 습도를 달성하는 것을 보장하기 위해 특별히 구성된다는 것이 이해되고 있다. 위에서 논의된 바와 같이, 포토 레지스트 감도는 포토 레지스트의 습도 레벨과 관련이 있으며, 포토 레지스트에 대한 알맞은 양의 습도는 EUV 리소그래피의 전력 또는 에너지 레벨을 변화시킬 필요없이 포토 레지스트 감도를 감소시킬 것이다. 그 결과, EUV 리소그래피 성능 및 처리량 모두가 향상될 수 있다. 또한, 포토 레지스트의 화학 성분, 용매 및 물을 함유하는 혼합물이 공정(170)에서 물질 층(150) 위에 스핀 코팅되어 포토 레지스트 층(160)을 형성한다는 것이 이해되고 있다. 다시 말해서, 혼합물은 효과적으로 스핀 코팅된 포토 레지스트 층(160)으로 변하게 된다.
이제, 도 4를 참조하면, 베이킹 공정(200)이 스핀 코팅 공정(170) 후에 반도체 디바이스(100)에 수행된다. 이 베이킹 공정(200)이 포토 레지스트 층(160)을 노광하기 전에 수행되기 때문에, 베이킹 공정(200)은 노광 전 베이킹 공정으로 지칭될 수도 있다. 베이킹 공정(200)은 조절 가능한 습도 설정을 갖는 챔버에서, 예를 들어 반도체 제조시 트랙 시스템의 오븐 툴에서 수행될 수 있다. 일부 실시예들에서, 챔버는 "핫 플레이트(hot plate)"를 포함할 수 있다. 본 발명개시의 다양한 양태들에 따르면, 베이킹 공정(200)의 일부로서 미리 결정된 레벨의 습기가 의도적으로 도입된다. 일부 실시예들에서, 베이킹 공정(200)이 수행되는 툴은, 포토 레지스트 층(160)이 접촉하는 공기가 대략 44%보다 큰 습도 레벨, 예를 들어 대략 46% 내지 55%의 습도 레벨을 갖도록 구성된다. 이러한 특별히 구성된 습도 레벨은 포토 레지스트 층(160)이 리소그래피 공정(예컨대, 이후에 수행될 노광 공정)의 전력 또는 에너지를 변화시키지 않고 감소된 감도를 가질 수 있게 하며, 이는 리소그래피 성능 및 처리량 모두를 향상시킨다.
이제, 도 5를 참조하면, 노광 공정(220)이 베이킹 공정(200) 후에 반도체 디바이스(100)에 수행된다. 도시된 실시예들에서, 노광(220)은 EUV 노광을 포함한다. 노광의 일부로서, 일부 실시예들에서 대략 250nm 미만의 파장, 예를 들어 대략 13.5nm의 파장을 갖는 광원(또는 조명 원)이 사용된다. 일부 실시예들에서, 조명 원은 KrF, ArF, EUV, 또는 E-빔 중 적어도 하나를 갖는다. 광원은 포토 레지스트 층(160)의 하나 이상의 미리 결정된 영역들(160A)을 노광하는 동안, 포토 레지스트 층(160)의 다른 영역들(160B)은 패턴화된 리소그래피 포토 마스크의 사용을 통해 노광되는 것이 방지된다. 예를 들어, 패턴화된 리소그래피 포토 마스크는 도 1 및 도 2를 참조하여 위에서 논의된 EUV 마스크(18)의 일 실시예일 수 있다.
이제, 도 6을 참조하면, 세정 공정(rinse process)(240)이 노광 공정(220) 후에 반도체 디바이스(100)에 수행된다. 세정 공정(240)은 포토 레지스트 층(160)에 물 또는 습기를 도입하도록 구성된다. 일부 실시예들에서, 세정 공정(240)은 용매 및 물을 포함하는 액체 용액으로 포토 레지스트 층(160)을 세정하는 것을 포함한다. 일부 실시예들에서, 용매는 프로필렌 글리콜 메틸 에테르 아세테이트, 프로필렌 글리콜 메틸 에테르, 부틸 아세테이트, 1-에톡시-2-프로판올, 감마-부티로락톤, 사이클로헥사온, 에틸 락테이트, 메탄올, 에탄올, 프로판올, n-부탄올, 아세톤, 디메틸포름아미드, 아세토니트릴, 이소프로필 알콜, 테트라 히드로 푸란, 아세트 산 또는 이들의 조합물을 포함한다. 액체 용액에 첨가되는 물의 양이 또한 신중하게 구성된다. 일부 실시예들에서, 물은 액체 용액의 대략 0.1% 내지 대략 10%, 예를 들어 액체 용액의 대략 0.1% 내지 대략 5%를 구성한다. 일부 실시예들에서, 수증기 처리 공정이 세정 공정(240) 대신에 또는 세정 공정(240)과 조합하여 수행될 수 있다는 것이 이해되고 있고, 이 수증기 처리 공정은 수증기를 포토 레지스트 층(160)에 도포한다. 일부 실시예들에서 세정 공정(240)의 수행은 선택적이라는 것이 또한 이해되고 있다.
이제, 도 7을 참조하면, 베이킹 공정(250)이 세정 공정(240) 후에 (또는 세정 공정(240)이 스킵되는 경우 노광 공정(220) 후에) 반도체 디바이스(100)에 수행된다. 이 베이킹 공정(250)이 포토 레지스트 층(160)을 노광하는 노광 공정(220) 후에 수행되기 때문에, 베이킹 공정(250)은 노광 후 베이킹(post-exposure-baking; PEB) 공정으로 지칭될 수도 있다. 베이킹 공정(250)은 또한 조절 가능한 습도 설정을 갖는 챔버(예컨대, 핫 플레이트)에서, 예를 들어 반도체 제조시 트랙 시스템의 오븐 툴에서 수행될 수 있다.
일부 실시예들에서, 베이킹 공정(250) 및 베이킹 공정(200)은 동일한 핫 플레이트에서 또는 동일한 툴을 사용하여 수행된다. 또한, 베이킹 공정(200)과 유사하게, 베이킹 공정(250)은 포토 레지스트 층(160)에 미리 결정된 레벨의 습기를 의도적으로 도입한다. 예를 들어, 핫 플레이트(또는 베이킹 공정(250)이 수행되는 임의의 다른 적합한 툴)는 포토 레지스트 층(160)에 노출된 공기에 대해 대략 46% 내지 55%의 습도 레벨을 달성하도록 특별히 구성된다. 베이킹 공정(200)의 경우에서와 같이, 베이킹 공정(250)과 관련된 이러한 특별히 구성된 습도 레벨은 또한 포토 레지스트 층(160)이 리소그래피 공정의 전력 또는 에너지 레벨을 변화시키지 않으면서 감소된 감도를 가질 수 있게 하고, 이는 리소그래피 성능 및 처리량 모두를 향상시키는 데 도움이 된다. 베이킹 공정(250) 및 베이킹 공정(200)에 대한 특정 습도 레벨은 일부 실시 예에서는 동일하게 또한 다른 실시예들에서는 상이하게 구성될 수 있다는 것이 이해되고 있다.
이제, 도 8을 참조하면, 세정 공정(270)이 베이킹 공정(250) 후에 반도체 디바이스(100)에 수행된다. 세정 공정(240)과 유사하게, 세정 공정(270)은 포토 레지스트 층(160)에 물 또는 습기를 도입하도록 구성된다. 일부 실시예들에서, 세정 공정(240)은 용매 및 물을 포함하는 액체 용액으로 포토 레지스트 층(160)을 세정하는 것을 포함한다. 일부 실시예들에서, 용매는 프로필렌 글리콜 메틸 에테르 아세테이트, 프로필렌 글리콜 메틸 에테르, 부틸 아세테이트, 1-에톡시-2-프로판올, 감마-부티로락톤, 사이클로헥사온, 에틸 락테이트, 메탄올, 에탄올, 프로판올, n-부탄올, 아세톤, 디메틸포름아미드, 아세토니트릴, 이소프로필 알콜, 테트라 히드로 푸란, 아세트 산 또는 이들의 조합물을 포함한다. 액체 용액에 첨가되는 물의 양이 또한 신중하게 구성된다. 일부 실시예들에서, 물은 액체 용액의 대략 0.1% 내지 대략 10% , 예를 들어 액체 용액의 대략 0.1% 내지 대략 5%를 구성한다. 수증기 처리 공정이 세정 공정(270) 대신에 또는 세정 공정(270)과 조합하여 수행될 수 있고, 세정 공정(270)의 수행은 일부 실시예들에서는 선택적이라는 것이 이해되고 있다.
이제, 도 9를 참조하면, 현상 공정(300)이 세정 공정(270) 후에 (또는 세정 공정(270)이 스킵되는 경우 베이킹 공정(250) 후에) 포토 레지스트 층(160)에 수행된다. 현상 공정(300)은 현상액을 포토 레지스트 층(160)에 도포하는 것을 포함하고, 이 현상액은 용매 현상액 및 물을 포함한다. 일부 실시예들에서, 용매 현상액은 2-헵타논, n-부틸 아세테이트(NBA), 이소 아밀 아세테이트, 또는 이들의 조합물을 포함한다.
다시 한번, 포토 레지스트 층(160)에 습기를 도입하기 위해 현상액에 물을 첨가하고, 현상액에 첨가되는 물의 양이 또한 신중하게 구성된다. 일부 실시예들에서, 물은 현상액의 대략 0.1% 내지 대략 10%, 예를 들어 현상액의 대략 0.1% 내지 대략 5%를 구성한다.
도 9에 도시된 바와 같이, 현상 공정(300)의 수행은 포토 레지스트 층(160)을 패턴화한다. 포지티브 톤 포토 레지스트가 사용되었다고 가정하면, EUV 광에 대한 노광이 포토 레지스트의 화학적 구조를 변화시켜 현상액에서 보다 가용성으로 만들기 때문에, 포토 레지스트 층(160) 중 노광된 부분(160A)은 현상 공정(300) 후에 제거된다. 한편, 포토 레지스트 층(160) 중 비노광된 부분(160B)은 남아 있기 때문에, 제거된 부분(160A)의 위치에 개구부(310)를 형성한다. 반면에, 포토 레지스트 층(160)이 네거티브 톤 포토 레지스트이면, 노광된 부분(160A)은 현상 공정(300) 후에 남아 있을 것이고, 비노광된 부분(160B)은 제거되었을 것이다. 임의의 경우에, 포토 레지스트 층(160)의 패턴은 이제 후속하는 제조 공정에서 그 아래의 물질 층(150)을 패턴화하기 위해 사용될 수 있다.
도 10은 도 3 내지 도 9를 참조하여 위에서 논의된 다양한 제조 공정들을 수행하기 위해 사용될 수 있는 반도체 제조 시스템(400)의 일부의 간략화된 개략도이다. 제조 시스템(400)은 포토 레지스트 코팅 툴(410)을 포함한다. 포토 레지스트 코팅 툴(410)은 도 3을 참조하여 위에서 논의된 스핀 코팅 공정(170)의 일부로서 포토 레지스트 층(160)을 층(150) 위에 코팅하기 위해 사용될 수 있다. 일부 실시예들에서, 포토 레지스트 코팅 툴(410)은 포토 레지스트 화학 물질(예를 들어, 중합체, PAG 등), 용매 및 물을 혼합하기 위한 병 또는 용기를 포함한다. 포토 레지스트 코팅 툴(410)은 또한 포토 레지스트 화학 물질, 용매 및 물로 구성된 혼합물과 같은 액체를 분사하기 위한 노즐을 포함할 수 있다. 포토 레지스트 코팅 툴(410)은 또한 포토 레지스트 층(160)이 코팅되는 동안 웨이퍼를 유지 및/또는 회전시키기 위한 스테이지를 포함할 수 있다. 포토 레지스트 코팅 툴(410)은 스핀 코팅 공정(170)의 다양한 공정 파라미터, 예를 들어 (예컨대, 혼합물의 대략 1% 내지 대략 15%의 수분 함량을 달성하기 위해) 혼합물에 첨가할 물의 양과 같은 공정 파라미터를 구성하기 위한 제어기(415)를 더 포함할 수 있다.
제조 시스템(400)은 베이킹 툴(420)을 포함한다. 베이킹 툴(420)은 도 4를 참조하여 위에서 논의된 베이킹 공정(200)의 일부로서 포토 레지스트 층(160)을 베이킹하기 위해 사용될 수 있다. 일부 실시예들에서, 베이킹 툴(420)은 트랙 반도체 제조 시스템의 일부로서 "핫 플레이트"를 포함한다. 핫 플레이트는 습도 조절을 위한 조절 가능한 설정을 가질 수 있다. 베이킹 툴(420)은 또한 베이킹 공정(200)의 다양한 공정 파라미터, 예를 들어 핫 플레이트의 습도 설정과 같은 공정 파라미터를 구성하기 위한 제어기(425)를 포함할 수 있다. 일부 실시예들에서, 제어기(425)는 베이킹 툴의 습도를 대략 44%를 초과하도록, 예를 들어 대략 46% 내지 대략 55% 범위로 구성할 수 있다.
제조 시스템(400)은 노광 툴(430)을 포함한다. 노광 툴(430)은 도 5를 참조하여 위에서 논의된 노광 공정(220)의 일부로서 포토 레지스트 층(160)을 노광하기 위해 사용될 수 있다. 일부 실시예들에서, 노광 툴(430)은 도 1을 참조하여 위에서 논의된 EUV 리소그래피 시스템(10)과 같은 EUV 스캐너를 포함한다. 노광 툴(430)은 또한 노광 공정(220)의 다양한 공정 파라미터를 구성하기 위한 제어기(435)를 포함할 수 있다.
제조 시스템(400)은 세정 툴(440)을 포함한다. 세정 툴(440)은 도 6을 참조하여 위에서 논의된 세정 공정(240)의 일부로서 포토 레지스트 층(160)을 세정하기 위해 사용될 수 있다. 일부 실시예들에서, 세정 툴(440)은 물과 혼합된 용매를 분배하도록 구성된 노즐 또는 다른 분배 유닛을 포함한다. 세정 툴(440)은 또한 세정 공정(240)의 다양한 공정 파라미터, 예를 들어 용매에 첨가할 물의 양과 같은 공정 파라미터를 구성하기 위한 제어기(445)를 포함할 수 있다. 일부 실시예들에서, 제어기(445)는 분배된 세정액 용액의 수분 함량을 대략 0.1% 내지 대략 10%의 범위에 있도록 구성할 수 있다.
제조 시스템(400)은 노광 후 베이킹(PEB) 툴(450)을 포함한다. PEB 툴(450)은 도 7을 참조하여 위에서 논의된 베이킹 공정(250)의 일부로서 포토 레지스트 층(160)을 베이킹하기 위해 사용될 수 있다. 일부 실시예들에서, 베이킹 툴(450)은 트랙 반도체 제조 시스템의 일부로서 "핫 플레이트"를 포함한다. 핫 플레이트는 습도 조절을 위한 조절 가능한 설정을 가질 수 있다. 베이킹 툴(450)은 또한 베이킹 공정(250)의 다양한 공정 파라미터, 예를 들어 핫 플레이트의 습도 설정과 같은 공정 파라미터를 구성하기 위한 제어기(455)를 포함할 수 있다. 일부 실시예들에서, 제어기(455)는 베이킹 툴의 습도를 대략 44%를 초과하도록, 예를 들어 대략 46% 내지 대략 55% 범위로 구성할 수 있다. 일부 실시예들에서, N2 및 CO2를 함유하는 퍼지 가스가 반응 제어를 향상시키기 위해 PEB 툴(450)의 핫 플레이트(또는 임의의 다른 저장 장소)에서 혼합될 수 있다. 퍼지 가스 내의 N2/CO2의 비율은 감도 제어를 최적화하기 위해 대략 54% 내지 대략 100%이다. 일부 실시예들에서, N2/CO2를 함유하는 퍼지 가스는 또한 베이킹 툴(450)에 대해 선택적으로 사용될 수 있다.
제조 시스템(400)은 세정 툴(460)을 포함한다. 세정 툴(460)은 도 8을 참조하여 위에서 논의된 세정 공정(270)의 일부로서 포토 레지스트 층(160)을 세정하기 위해 사용될 수 있다. 일부 실시예들에서, 세정 툴(460)은 물과 혼합된 용매를 분배하도록 구성된 노즐 또는 다른 분배 유닛을 포함한다. 세정 툴(460)은 또한 세정 공정(270)의 다양한 공정 파라미터, 예를 들어 용매에 첨가할 물의 양과 같은 공정 파라미터를 구성하기 위한 제어기(465)를 포함할 수 있다. 일부 실시예들에서, 제어기(465)는 분배된 세정 용액의 수분 함량을 대략 0.1% 내지 대략 10%의 범위에 있도록 구성할 수 있다.
제조 시스템(400)은 현상 툴(470)을 포함한다. 현상 툴(470)은 도 9를 참조하여 위에서 논의된 현상 공정(300)의 일부로서 포토 레지스트 층(160)을 현상하기 위해 사용될 수 있다. 일부 실시예들에서, 현상 툴(470)은 물과 혼합된 현상액를 분배하도록 구성된 노즐 또는 다른 분배 유닛을 포함한다. 현상 툴(470)은 또한 현상 공정(300)의 다양한 공정 파라미터, 예를 들어 현상액에 첨가할 물의 양과 같은 공정 파라미터를 구성하기 위한 제어기(475)를 포함할 수 있다. 일부 실시예들에서, 제어기(475)는 분배된 현상액 내의 수분 함량을 대략 0.1% 내지 대략 10%의 범위에 있도록 구성할 수 있다.
도 10에 도시된 화살표는 반도체 제조 공정이 시스템(400)을 사용하여 수행되는 순차적 순서를 나타낸다는 것이 이해되고 있다. 세정 툴(440) 및 세정 툴(460) 전후의 점선 화살표는 세정 툴들(440 및 460)을 사용하는 세정 공정들이 선택적으로 수행된다는 것을 나타낸다. 다시 말해서, 일부 실시예들에서, 세정 툴(440)과 관련된 세정 공정(240)은 노광 툴을 사용하는 노광 공정(220) 후에 그리고 베이킹 툴(450)을 사용하는 베이킹 공정(250) 이전에 수행되지만, 세정 툴(460)과 관련된 세정 공정(270)은 수행되지 않는다. 다른 실시예들에서, 세정 툴(440)과 관련된 세정 공정(240)은 수행되지 않지만, 세정 툴(460)과 관련된 세정 공정(270)은 베이킹 툴(450)을 사용하는 베이킹 공정(250) 후에 그리고 현상 툴(470)을 사용하는 현상 공정(300) 전에 수행될 수 있다.
시스템(400)의 툴들(410-470)이 도 10에서 별도의 툴로 도시되어 있지만, 이들 툴들 중 하나 이상이 동일한 툴에 통합될 수 있다는 것이 이해되고 있다. 예를 들어, PEB 툴(450) 및 베이킹 툴(420)은 동일한 툴로서 구현될 수 있다. 다시 말해서, 베이킹 공정(200)을 수행하기 위한 베이킹 툴(420)로서 그리고 베이킹 공정(250)을 수행하기 위한 PEB 툴(450)로서 동일한 "핫 플레이트"가 사용될 수 있다. 유사하게, 세정 툴(440) 및 세정 툴(460)은 세정 공정(240) 및 세정 공정(270) 모두를 수행하기 위해 동일한 툴로서 구현될 수 있다. 또한, 위에서 논의된 툴들(410-470) 중 일부는 액체를 분배하기 위한 노즐들 또는 분배 유닛들과 같은 특정 컴포넌트들 또는 심지어 제어기들(415-475)을 공유할 수 있다. 게다가, 시스템(400)은 또한 일부 실시예들에서 독립형(즉, 물리적으로 툴들(410-470)의 외부) 제어기(480)를 포함할 수도 있으며, 이 제어기(480)는 제어기들(415-475) 중 임의의 제어기의 기능들 중 하나 이상의 기능들을 복제하거나 대체하기 위해 사용될 수 있다.
도 11은 본 발명개시의 포토 레지스트의 습도와 감도 사이의 관계를 나타내는 그래프(600)이다. 보다 상세하게, 그래프(600)의 X-축은 포토 레지스트의 감도(백분율로서 측정됨)를 나타내고, 그래프(600)의 Y-축은 포토 레지스트의 습도(또한 백분율로서 측정됨)를 나타낸다. 그래프(600)는 데이터 샘플들(610 내지 614)과 같은 복수의 데이터 샘플들을 포함하며, 각각의 데이터 샘플은 특정 습도 및 특정 감도에 대응한다. 데이터 샘플들(610-614)에 기초하여 그래프(600)에 플롯(620)이 생성된다.
플롯(620)이 도시하는 바와 같이, 습도가 (적어도 특정 지점까지) 증가함에 따라 감도는 감소한다. 이와 같이, 포토 레지스트 감도를 감소시키기 위해, 위에서 논의된 본 발명개시의 다양한 단계들에 따라 포토 레지스트의 습도를 증가시키는 것이 바람직할 수 있다. 물론, 포토 레지스트는 실제 제조시 물로 너무 희석되어서는 안 된다. 포토 레지스트를 너무 많이 희석시키지 않고 최적의 결과를 얻기 위해, EUV 리소그래피에서의 습도가 구역(630) 내에서 제어되도록 본 발명개시의 다양한 공정들이 구성된다.
도 12는 간략화된 방법(700)을 예시하는 흐름도이다. 방법(700)은 웨이퍼 위에 감광성 층을 도포하는 단계(710)를 포함한다.
방법(700)은 감광성 층을 노광하는 단계(720)를 포함한다. 일부 실시예들에서, 노광하는 단계는 감광성 층을 극자외선(EUV) 광에 노광하는 단계를 포함한다.
방법(700)은 감광성 층을 베이킹하는 단계(730)를 포함한다.
방법(700)은 감광성 층을 현상하는 단계(740)를 포함한다.
방법(700)의 일부로서, 감광성 층을 도포하는 단계(710), 감광성 층을 베이킹하는 단계(730), 또는 감광성 층을 현상하는 단계(740) 중 적어도 하나의 단계에서 습기가 도입된다. 일부 실시예들에서, 습기의 도입은 감광성 층을 도포하는 단계(710) 이전에 또는 감광성 층을 도포하는 단계(710) 동안에 수행된다. 일부 실시예들에서, 습기의 도입은 감광성 층의 대략 1% 내지 대략 15%가 물이 되도록 수행된다.
일부 실시예들에서, 습기의 도입은 베이킹하는 단계(730) 동안에 수행된다. 일부 실시예들에서, 베이킹 단계는 대략 46% 내지 대략 55%의 습도 설정으로 수행된다. 일부 실시예들에서, 베이킹 단계는 노광하는 단계(720) 이전에 및 노광하는 단계(720) 이후에 수행된다.
일부 실시예들에서, 습기의 도입은 현상하는 단계(740) 동안에 수행된다. 일부 실시예들에서, 현상에 사용되는 현상액 내의 수분 함량은 대략 0.1% 내지 대략 10% 범위이다.
추가의 제조 공정들이 도 12의 단계들(710-740) 이전에, 동안에, 또는 이후에 수행될 수 있다는 것이 이해되고 있다. 예를 들어, 방법(700)은 노광하는 단계(720) 이후에 그러나 베이킹하는 단계(730) 이전에 감광성 층을 세정하는 단계를 포함할 수 있다. 일부 실시예들에서, 세정은 액체로 수행되며, 여기서 액체 내의 수분 함량은 대략 0.1% 내지 대략 10% 범위이다. 다른 예시로서, 방법(700)은 베이킹하는 단계(730) 이후에 그러나 현상하는 단계(740) 이전에 감광성 층을 세정하는 단계를 포함할 수 있다. 일부 실시예들에서, 세정은 액체로 수행되며, 여기서 액체 내의 수분 함량은 대략 0.1% 내지 대략 10% 범위이다. 다른 공정들은 간략화를 위해 여기서 상세히 논의되지 않는다.
위의 논의에 기초하여, 본 발명개시는 EUV 리소그래피에서 다양한 장점을 제공한다는 것을 알 수 있다. 그러나 모든 장점이 본 명세서에 반드시 논의되는 것은 아니고, 다른 실시예들은 상이한 장점을 제공할 수 있으며, 어떠한 특별한 장점도 모든 실시예들에 요구되지 않는다는 것이 이해되고 있다. 장점 중 하나는 EUV 포토 레지스트의 습도를 증가시킴으로써 포토 레지스트의 감도가 감소된다는 것이다. 결과적으로, EUV 리소그래피 성능은 노광 공정의 전력 또는 에너지를 증가시킬 필요없이 향상될 수 있다. 한편, 웨이퍼 처리량 또한 향상될 수 있다. 다시 말해서, 본 발명개시는 리소그래피 공정에서 신중하게 구성된 물 또는 습기의 도입을 통해 처리량 및 리소그래피 성능 모두를 동시에 향상시킬 수 있다. 또 다른 장점은 위에서 논의된 공정들이 기존의 제조 공정 흐름과 양립할 수 있고 구현하기 쉽다는 것이다.
본 발명개시는 방법을 제공한다. 방법은 웨이퍼 위에 감광성 층을 도포하는 단계; 감광성 층을 노광하는 단계; 감광성 층을 베이킹하는 단계; 감광성 층을 현상하는 단계; 및 상기 도포하는 단계, 베이킹하는 단계, 또는 현상하는 단계 중 적어도 하나의 단계에서 습기를 도입하는 단계를 포함한다.
본 발명개시는 또한 물질을 제공한다. 상기 물질은 극자외선(EUV) 리소그래피를 위한 감광성 물질; 용매; 및 물을 포함한다.
본 발명개시는 또한 반도체 제조 시스템을 제공한다. 반도체 제조 시스템은, 웨이퍼 위에 포토 레지스트 층을 도포하도록 구성된 제 1 컴포넌트; 포토 레지스트 층을 베이킹하도록 구성된 제 2 컴포넌트; 포토 레지스트 층을 노광하도록 구성된 제 3 컴포넌트; 및 포토 레지스트 층을 현상하도록 구성된 제 4 컴포넌트를 포함하고, 제 1 컴포넌트, 제 2 컴포넌트, 및 제 4 컴포넌트 중 적어도 하나는 또한 포토 레지스트 층에 습기를 도입하도록 구성된다.
본 발명개시의 양태들을 본 발명분야의 당업자가 보다 잘 이해할 수 있도록 앞에서는 여러 개의 실시예들의 특징들을 약술해왔다. 본 발명분야의 당업자는 여기서 소개한 실시예들의 동일한 목적들을 수행하거나 및/또는 동일한 장점들을 달성하기 위한 다른 공정들 및 구조물들을 설계하거나 또는 수정하기 위한 기초로서 본 발명개시를 자신들이 손쉽게 이용할 수 있다는 것을 알아야 한다. 본 발명분야의 당업자는 또한 이와 같은 등가적 구성들은 본 발명개시의 사상과 범위를 이탈하지 않는다는 것과, 본 발명개시의 사상과 범위를 이탈하지 않고서 당업자가 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있다는 것을 자각해야 한다.
실시예
실시예 1. 방법에 있어서,
웨이퍼 위에 감광성 층을 도포하는 단계;
상기 감광성 층을 노광하는 단계;
상기 감광성 층을 베이킹하는 단계;
상기 감광성 층을 현상하는 단계; 및
상기 도포하는 단계, 상기 베이킹하는 단계, 또는 상기 현상하는 단계 중 적어도 하나의 단계에서 습기를 도입하는 단계
를 포함하는 방법.
실시예 2. 실시예 1에 있어서,
상기 도입하는 단계는 상기 감광성 층을 도포하는 단계 이전에 또는 상기 감광성 층을 도포하는 단계 동안에 수행되는 것인, 방법.
실시예 3. 실시예 2에 있어서,
상기 도입하는 단계는 상기 감광성 층의 대략 1% 내지 대략 15%가 물이 되도록 수행되는 것인, 방법.
실시예 4. 실시예 1에 있어서,
상기 노광하는 단계는 상기 감광성 층을 극자외선(extreme ultraviolet; EUV) 광에 노광하는 단계를 포함하는 것인, 방법.
실시예 5. 실시예 1에 있어서,
상기 도입하는 단계는 상기 베이킹하는 단계 동안에 수행되는 것인, 방법.
실시예 6. 실시예 5에 있어서,
상기 베이킹하는 단계는 상기 노광하는 단계 이전에 그리고 상기 노광하는 단계 이후에 수행되며, 대략 46% 내지 대략 55%의 습도 설정으로 수행되는 것인, 방법.
실시예 7. 실시예 1에 있어서,
상기 노광하는 단계 이후에, 상기 베이킹 단계가 수행되는 동안에 N2 및 CO2를 함유하는 퍼지 가스를 첨가하는 단계
를 더 포함하는 방법.
실시예 8. 실시예 1에 있어서,
상기 노광하는 단계 이후에 그러나 상기 베이킹하는 단계 이전에, 상기 감광성 층을 세정하는 단계
를 더 포함하는 방법.
실시예 9. 실시예 8에 있어서,
상기 세정하는 단계는 액체로 수행되며, 상기 액체 내의 수분 함량은 대략 0.1% 내지 대략 10% 범위인 것인, 방법.
실시예 10. 실시예 1에 있어서,
상기 베이킹하는 단계 이후에 그러나 상기 현상하는 단계 이전에, 상기 감광성 층을 세정하는 단계
를 더 포함하는 방법.
실시예 11. 실시예 10에 있어서,
상기 세정하는 단계는 액체로 수행되며, 상기 액체 내의 수분 함량은 대략 0.1% 내지 대략 10% 범위인 것인, 방법.
실시예 12. 실시예 1에 있어서,
상기 도입하는 단계는 상기 현상하는 단계 동안에 수행되고, 상기 현상하는 단계에 사용되는 현상액 내의 수분 함량은 대략 0.1% 내지 대략 10% 범위인 것인, 방법.
실시예 13. 물질에 있어서,
극자외선(EUV) 리소그래피를 위한 감광성 물질;
용매; 및
을 포함하는 물질.
실시예 14. 실시예 13에 있어서,
상기 물질은 반도체 웨이퍼 위에 코팅되는 것인, 물질.
실시예 15. 실시예 13에 있어서,
상기 감광성 물질은 중합체, 광산 발생제, 소광제, 발색단, 계면 활성제, 가교제 중 하나 이상을 포함하고,
상기 용매는 프로필렌 글리콜 모노 메틸 에테르(Propylene Glycol Monomethyl Ether; PGME) 또는 프로필렌 글리콜 모노 메틸 에테르 아세테이트(Propylene Glycol Monomethyl Ether Acetate; PGMEA)를 포함하는 것인, 물질.
실시예 16. 실시예 13에 있어서,
상기 물질 내의 상기 감광성 물질의 함량은 대략 1% 내지 대략 10%의 범위이고,
상기 물질 내의 상기 용매의 함량은 대략 80% 내지 대략 94%의 범위이며,
상기 물질 내의 상기 물의 함량은 대략 1% 내지 대략 15%의 범위인 것인, 물질.
실시예 17. 반도체 제조 시스템에 있어서,
웨이퍼 위에 포토 레지스트 층을 도포하도록 구성된 제 1 컴포넌트;
상기 포토 레지스트 층을 베이킹하도록 구성된 제 2 컴포넌트;
상기 포토 레지스트 층을 노광하도록 구성된 제 3 컴포넌트; 및
상기 포토 레지스트 층을 현상하도록 구성된 제 4 컴포넌트
를 포함하고, 상기 제 1 컴포넌트, 상기 제 2 컴포넌트, 및 상기 제 4 컴포넌트 중 적어도 하나는 또한 상기 포토 레지스트 층에 물 또는 습기를 도입하도록 구성되는 것인, 반도체 제조 시스템.
실시예 18. 실시예 17에 있어서,
상기 제 3 컴포넌트는 극자외선(EUV) 광을 사용하여 상기 포토 레지스트 층을 노광하도록 구성되는 것인, 반도체 제조 시스템.
실시예 19. 실시예 17에 있어서,
물을 포함하는 액체로 상기 포토 레지스트 층을 세정하도록 구성된 제 5 컴포넌트
를 더 포함하는 반도체 제조 시스템.
실시예 20. 실시예 17에 있어서,
상기 포토 레지스트 층에 도입되는 물의 양 또는 습도 레벨을 설정하도록 구성된 제어기
를 더 포함하는 반도체 제조 시스템.

Claims (10)

  1. 방법에 있어서,
    웨이퍼 위에 감광성 층을 도포하는 단계;
    상기 감광성 층을 노광하는 단계;
    상기 감광성 층을 베이킹하는 단계;
    상기 감광성 층을 현상하는 단계; 및
    상기 도포하는 단계, 상기 베이킹하는 단계, 또는 상기 현상하는 단계 중 적어도 하나의 단계에서 습기를 도입하는 단계
    를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 도입하는 단계는 상기 감광성 층을 도포하는 단계 이전에 또는 상기 감광성 층을 도포하는 단계 동안에 수행되는 것인, 방법.
  3. 제 1 항에 있어서,
    상기 노광하는 단계는 상기 감광성 층을 극자외선(extreme ultraviolet; EUV) 광에 노광하는 단계를 포함하는 것인, 방법.
  4. 제 1 항에 있어서,
    상기 도입하는 단계는 상기 베이킹하는 단계 동안에 수행되는 것인, 방법.
  5. 제 1 항에 있어서,
    상기 노광하는 단계 이후에, 상기 베이킹 단계가 수행되는 동안에 N2 및 CO2를 함유하는 퍼지 가스를 첨가하는 단계
    를 더 포함하는 방법.
  6. 제 1 항에 있어서,
    상기 노광하는 단계 이후에 그러나 상기 베이킹하는 단계 이전에, 상기 감광성 층을 세정하는 단계
    를 더 포함하는 방법.
  7. 제 1 항에 있어서,
    상기 베이킹하는 단계 이후에 그러나 상기 현상하는 단계 이전에, 상기 감광성 층을 세정하는 단계
    를 더 포함하는 방법.
  8. 제 1 항에 있어서,
    상기 도입하는 단계는 상기 현상하는 단계 동안에 수행되고, 상기 현상하는 단계에 사용되는 현상액 내의 수분 함량은 0.1% 내지 10% 범위인 것인, 방법.
  9. 물질에 있어서,
    극자외선(EUV) 리소그래피를 위한 감광성 물질;
    용매; 및

    을 포함하는 물질.
  10. 반도체 제조 시스템에 있어서,
    웨이퍼 위에 포토 레지스트 층을 도포하도록 구성된 제 1 컴포넌트;
    상기 포토 레지스트 층을 베이킹하도록 구성된 제 2 컴포넌트;
    상기 포토 레지스트 층을 노광하도록 구성된 제 3 컴포넌트; 및
    상기 포토 레지스트 층을 현상하도록 구성된 제 4 컴포넌트
    를 포함하고, 상기 제 1 컴포넌트, 상기 제 2 컴포넌트, 및 상기 제 4 컴포넌트 중 적어도 하나는 또한 상기 포토 레지스트 층에 물 또는 습기를 도입하도록 구성되는 것인, 반도체 제조 시스템.
KR1020170163233A 2017-09-19 2017-11-30 Euv 리소그래피에서의 습도 제어 KR20190032149A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200093085A KR102301871B1 (ko) 2017-09-19 2020-07-27 Euv 리소그래피에서의 습도 제어

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/708,800 2017-09-19
US15/708,800 US10274847B2 (en) 2017-09-19 2017-09-19 Humidity control in EUV lithography

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020200093085A Division KR102301871B1 (ko) 2017-09-19 2020-07-27 Euv 리소그래피에서의 습도 제어

Publications (1)

Publication Number Publication Date
KR20190032149A true KR20190032149A (ko) 2019-03-27

Family

ID=65526905

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170163233A KR20190032149A (ko) 2017-09-19 2017-11-30 Euv 리소그래피에서의 습도 제어
KR1020200093085A KR102301871B1 (ko) 2017-09-19 2020-07-27 Euv 리소그래피에서의 습도 제어

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020200093085A KR102301871B1 (ko) 2017-09-19 2020-07-27 Euv 리소그래피에서의 습도 제어

Country Status (5)

Country Link
US (3) US10274847B2 (ko)
KR (2) KR20190032149A (ko)
CN (1) CN109521648B (ko)
DE (1) DE102017122398B4 (ko)
TW (1) TWI655509B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210093714A (ko) * 2020-01-17 2021-07-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 탄소 오염 방지를 위한 euv 마스크

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386723B2 (en) 2016-03-04 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with flexible solution adjustment
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
US20230012705A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control or aqueous treatment for euv metallic resist

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07199482A (ja) * 1993-12-28 1995-08-04 Fujitsu Ltd レジストパターン形成方法
TW464944B (en) * 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
SG71082A1 (en) * 1997-01-30 2000-03-21 Tokyo Electron Ltd Method and apparatus for coating resist and developing the coated resist
JP3559133B2 (ja) * 1997-01-31 2004-08-25 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
US6265323B1 (en) * 1998-02-23 2001-07-24 Kabushiki Kaisha Toshiba Substrate processing method and apparatus
US6780461B2 (en) * 1998-09-14 2004-08-24 Asml Holding N.V. Environment exchange control for material on a wafer surface
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
JP2002202570A (ja) * 2000-12-28 2002-07-19 Fuji Photo Film Co Ltd 画像露光記録装置および方法
US20020110760A1 (en) * 2001-02-09 2002-08-15 Macronix International Co., Ltd. Method for improving hydrophilic character of photoresist and effect of development
US6787286B2 (en) * 2001-03-08 2004-09-07 Shipley Company, L.L.C. Solvents and photoresist compositions for short wavelength imaging
US6800415B2 (en) 2001-09-28 2004-10-05 Clariant Finance (Bvi) Ltd Negative-acting aqueous photoresist composition
JP2004252146A (ja) * 2002-05-27 2004-09-09 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物
JP4233314B2 (ja) * 2002-11-29 2009-03-04 東京応化工業株式会社 レジスト組成物および溶解制御剤
CN1717630A (zh) * 2003-06-30 2006-01-04 株式会社新克 正型感光性组合物
US20060285091A1 (en) 2003-07-21 2006-12-21 Parekh Bipin S Lithographic projection apparatus, gas purging method, device manufacturing method and purge gas supply system related application
JP2005070319A (ja) * 2003-08-22 2005-03-17 Canon Inc 近接場露光用フォトレジスト、及びこれを用いた微細パターンの作製方法
KR100620673B1 (ko) 2004-01-05 2006-09-13 주식회사 하이닉스반도체 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법
EP1741730B1 (en) * 2004-04-27 2010-05-12 Tokyo Ohka Kogyo Co., Ltd. Resist protecting film forming material for immersion exposure process and resist pattern forming method using the protecting film
KR100680425B1 (ko) * 2004-06-18 2007-02-08 주식회사 하이닉스반도체 수용성 네가티브 포토레지스트 중합체 및 이를 포함하는조성물
US8003293B2 (en) * 2004-09-30 2011-08-23 Intel Corporation Pixelated photoresists
CN100449403C (zh) * 2005-06-03 2009-01-07 广西真龙彩印包装有限公司 丝印网点印刷工艺
JP2007067344A (ja) 2005-09-02 2007-03-15 Canon Inc 露光装置および方法ならびにデバイス製造方法
KR20070109467A (ko) 2006-05-11 2007-11-15 주식회사 하이닉스반도체 이머젼 리소그라피 공정을 이용한 반도체 소자 제조방법
JP2008218866A (ja) * 2007-03-07 2008-09-18 Elpida Memory Inc パターン形成方法およびパターン形成装置
US8039194B2 (en) * 2008-01-08 2011-10-18 Internatinal Business Machines Corporation Photoacid generators for extreme ultraviolet lithography
JP2009294439A (ja) * 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
US8841058B2 (en) 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
CN102540771A (zh) * 2010-12-24 2012-07-04 无锡华润上华半导体有限公司 正性光刻胶用显影液及光刻工艺中的显影方法
JP5708521B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
WO2013051558A1 (ja) * 2011-10-06 2013-04-11 日産化学工業株式会社 ケイ素含有euvレジスト下層膜形成組成物
US9851639B2 (en) * 2012-03-31 2017-12-26 International Business Machines Corporation Photoacid generating polymers containing a urethane linkage for lithography
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
CN105339183B (zh) * 2013-03-15 2018-11-09 东京毅力科创Fsi公司 用于提供加热的蚀刻溶液的系统
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
JPWO2016104565A1 (ja) * 2014-12-26 2017-09-21 富士フイルム株式会社 有機系処理液およびパターン形成方法
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
JP6520490B2 (ja) 2015-07-08 2019-05-29 信越化学工業株式会社 パターン形成方法
JP6411967B2 (ja) 2015-07-29 2018-10-24 信越化学工業株式会社 レジスト材料並びにこれを用いたパターン形成方法
US9612536B2 (en) 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
CN108292593B (zh) 2015-09-30 2023-02-17 东京毅力科创株式会社 使用极紫外光刻对衬底进行图案化的方法
US20170205712A1 (en) * 2016-01-20 2017-07-20 Micron Technology, Inc. Development apparatus and method for developing photoresist layer on wafer using the same
CN106158590A (zh) * 2016-08-01 2016-11-23 合肥佳瑞林电子技术有限公司 一种薄膜晶体管液晶显示器的tft加工工艺
JP6781031B2 (ja) * 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US10095109B1 (en) * 2017-03-31 2018-10-09 Rohm And Haas Electronic Materials Llc Acid-cleavable monomer and polymers including the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210093714A (ko) * 2020-01-17 2021-07-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 탄소 오염 방지를 위한 euv 마스크
US11561464B2 (en) 2020-01-17 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination

Also Published As

Publication number Publication date
DE102017122398B4 (de) 2023-03-23
DE102017122398A1 (de) 2019-03-21
US20200319565A1 (en) 2020-10-08
US20190094716A1 (en) 2019-03-28
CN109521648A (zh) 2019-03-26
TWI655509B (zh) 2019-04-01
KR20200092922A (ko) 2020-08-04
US11307504B2 (en) 2022-04-19
US10274847B2 (en) 2019-04-30
US20190086818A1 (en) 2019-03-21
TW201915611A (zh) 2019-04-16
KR102301871B1 (ko) 2021-09-16
CN109521648B (zh) 2021-09-07

Similar Documents

Publication Publication Date Title
KR102301871B1 (ko) Euv 리소그래피에서의 습도 제어
US11681226B2 (en) Metal-compound-removing solvent and method in lithography
US10622211B2 (en) Metal-compound-removing solvent and method in lithography
US9733562B2 (en) Extreme ultraviolet lithography process and mask
US10353285B2 (en) Pellicle structures and methods of fabricating thereof
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
CN110609442A (zh) 通过添加剂的euv金属光刻胶性能增强
US9034569B2 (en) Extreme ultraviolet lithography process and mask
US7759022B2 (en) Phase shift mask structure and fabrication process
US9829785B2 (en) Extreme ultraviolet lithography process and mask
US9983474B2 (en) Photoresist having sensitizer bonded to acid generator
US11681221B2 (en) EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
US20080318153A1 (en) Photosensitive layer stack
KR102401315B1 (ko) 낮은 활성화 에너지 리간드 또는 높은 현상액 용해도 리간드를 갖는 euv 포토레지스트
US20220334462A1 (en) Photomask and method of fabricating a photomask
TW202311862A (zh) 用於製造半導體裝置的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X601 Decision of rejection after re-examination
A107 Divisional application of patent