DE102018114910A1 - Leistungssteigerung von metallischem EUV-Resist durch Additive - Google Patents

Leistungssteigerung von metallischem EUV-Resist durch Additive Download PDF

Info

Publication number
DE102018114910A1
DE102018114910A1 DE102018114910.7A DE102018114910A DE102018114910A1 DE 102018114910 A1 DE102018114910 A1 DE 102018114910A1 DE 102018114910 A DE102018114910 A DE 102018114910A DE 102018114910 A1 DE102018114910 A1 DE 102018114910A1
Authority
DE
Germany
Prior art keywords
group
photoresist
chemical formula
euv
metallic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102018114910.7A
Other languages
English (en)
Other versions
DE102018114910B4 (de
Inventor
An-Ren Zi
Joy Cheng
Ching-Yu Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018114910A1 publication Critical patent/DE102018114910A1/de
Application granted granted Critical
Publication of DE102018114910B4 publication Critical patent/DE102018114910B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Metallurgy (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

Eine Photoresistschicht wird über einem Wafer ausgebildet. Die Photoresistschicht umfasst ein metallisches Photoresistmaterial und ein oder mehrere Additive. Ein Extrem-Ultraviolett- (EUV) -Lithographieverfahren wird unter Verwendung der Photoresistschicht durchgeführt. Das eine oder die mehreren Additive umfassen: ein Lösungsmittel mit einem Siedepunkt von mehr als etwa 150 Grad Celsius, einen Photosäuregenerator, einen Photobasengenerator, einen Quencher, eine photochemisch zersetzte Base, einen thermischen Säuregenerator oder einen Photovernetzer.

Description

  • HINTERGRUND
  • Die integrierte Halbleiterschaltungs- (IC) -Branche hat ein exponentielles Wachstum erlebt. Technischer Fortschritt bei IC-Materialien und -Design hat Generationen von ICs hervorgebracht, bei denen jede Generation kleinere und komplexere Schaltungen als die vorherige Generation aufweist. Im Verlauf der IC-Entwicklung hat sich die Funktionsdichte (d.h. die Anzahl von miteinander verbundenen Vorrichtungen je Chipfläche) im Allgemeinen erhöht, während sich die Geometriegröße (d.h. die kleinste Komponente (oder Leitung), die unter Verwendung eines Herstellungsverfahrens erzeugt werden kann) verringert hat. Dieser Verkleinerungsverfahren bietet im Allgemeinen Vorteile, indem er die Produktionseffizienz erhöht und die damit verbundenen Kosten senkt. Eine solche Verkleinerung hat auch die Komplexität der IC-Verarbeitung und -Herstellung erhöht.
  • Damit diese Fortschritte erreicht werden können, werden ähnliche Entwicklungen bei der IC-Verarbeitung und -Herstellung benötigt. Zum Beispiel wächst die Notwendigkeit, Lithographieverfahren mit höherer Auflösung durchzuführen. Eine Lithographietechnik ist die Extrem-Ultraviolett- (EUV) - Lithographie. Die EUV-Lithographie verwendet Scanner, die Licht im extremen Ultraviolettbereich mit einer Wellenlänge von etwa 1-100 Nanometer (nm) verwenden. Einige EUV-Scanner bieten 4X-Reduktionssprojektionsdruck, ähnlich wie einige optische Scanner, außer dass die EUV-Scanner reflektive statt refraktive Optiken verwenden, d. h. Spiegel anstelle von Linsen. EUV-Scanner stellen die gewünschte Struktur auf einer Absorptionsschicht („EUV“-Maskenabsorber) bereit, die auf einer reflektierenden Maske ausgebildet ist.
  • Trotz der zunehmenden Verbreitung der EUV-Lithographie kann die herkömmliche EUV-Lithographie jedoch immer noch Nachteile aufweisen. Zum Beispiel kann ein Metall-Resist für die EUV-Lithographie verwendet werden. Herkömmlicher Metall-EUV-Resist kann jedoch Probleme in Bezug auf Alterung und Steuerung der kritischen Abmessung (CD) haben.
  • Während herkömmliche EUV-Lithographie im Allgemeinen für ihre beabsichtigten Zwecke ausreichend war, war sie daher nicht in jeder Hinsicht vollständig zufriedenstellend.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass gemäß dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • 1 ist eine schematische Ansicht eines Lithographiesystems, das gemäß einigen Ausführungsformen der vorliegenden Offenbarung konstruiert ist.
    • 2 ist eine Schnittansicht einer EUV-Maske, die gemäß einigen Ausführungsformen der vorliegenden Offenbarung konstruiert ist.
    • 3 ist eine schematische fragmentarische Querschnitts-Seitenansicht einer Halbleitervorrichtung in einem Herstellungsstadium gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
    • 4 ist ein Diagramm, das ein Alterungsproblem von metallischem Photoresist zeigt.
    • Die 5, 6A - 6B, 7, 8, 9A, 9B, 9C, 10 und 11A - 11B zeigen die chemischen Formeln verschiedener Arten von Additiven, die zu einem metallischen Photoresist gemäß Ausführungsformen der vorliegenden Offenbarung hinzugefügt werden können.
    • Die 12 - 13 sind schematische fragmentarische Querschnitts-Seitenansichten einer Halbleitervorrichtung in verschiedenen Herstellungsstadien gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
    • 14 ist ein Flussdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
    • 15 ist ein Flussdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten Merkmal und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Merkmals oder einer Vorrichtung mit einem oder mehreren anderen Merkmalen oder Vorrichtungen zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Noch weiter soll, wenn eine Zahl oder ein Bereich von Zahlen mit „etwa“, „ungefähr“ und dergleichen beschrieben wird, der Ausdruck Zahlen umfassen, die innerhalb eines vernünftigen Bereichs um die beschriebene Zahl liegen, wie z. B. innerhalb 10 % der beschriebenen Zahl oder anderer Werte, wie sie von einem Fachmann auf dem Gebiet verstanden werden. Beispielsweise beinhaltet der Begriff „etwa 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Fortschrittliche Lithographieprozesse, -verfahren und -materialien, die oben beschrieben wurden, können in vielen Anwendungen verwendet werden, beispielsweise Fin-Feldeffekttransistoren (FinFETs). Zum Beispiel können die Finnen strukturiert werden, um einen relativ engen Abstand zwischen Merkmalen zu erzeugen, wofür die obige Offenbarung gut geeignet ist. Zusätzlich können Abstandshalter, die beim Ausbilden von FinFETs verwendet werden, die auch als Dorne bezeichnet werden, gemäß der obigen Offenbarung verarbeitet werden.
  • Extrem-Ultraviolett- (EUV) -Lithographie hat sich aufgrund ihrer Fähigkeit, kleine Halbleitervorrichtungsgrößen zu erzielen, weit verbreitet. Herkömmliche Systeme und Verfahren zur Durchführung von EUV-Lithographie können jedoch immer noch Mängel aufweisen. Zum Beispiel kann die EUV-Lithographie metallische Resists verwenden. Im Vergleich zu herkömmlichen chemisch verstärkten Resists können metallische Resists Vorteile in Bezug auf Auflösung oder Empfindlichkeit bieten. Trotz dieser Vorteile können metallische Resists, die in der EUV-Lithographie verwendet werden, auch Nachteile wie altersbedingte Probleme und/oder schlechte Steuerung der kritischen Abmessung (CD) aufweisen. Daher sind metallische Resists, die in der heutigen EUV-Lithographie verwendet werden, immer noch nicht in jeder Hinsicht zufriedenstellend.
  • Die Erfinder der vorliegenden Offenbarung haben entdeckt, dass bestimmte Additive zu metallischen Resists in der EUV-Lithographie hinzugefügt werden können, um die Leistung der metallischen Resists zu verbessern. Als Beispiele können diese Additive Lösungsmittel mit hohem Siedepunkt, einen Photosäuregenerator, einen Photobasengenerator, einen normalen Quencher, eine photochemisch abgebaute Base, einen thermischen Säuregenerator oder einen Photovernetzer umfassen, sind aber nicht darauf beschränkt. Diese Materialien sind in herkömmlichen metallischen Photoresists, die für die EUV-Lithographie verwendet werden, nicht vorhanden. Hier kann durch die Zugabe dieser Additive die Lagerbeständigkeit des metallischen Photoresists um mehr als einen Monat verlängert werden und die CD-Steuerung des metallischen Photoresists kann so verstärkt werden, dass sie weniger als 10 % Abweichung vom CD-Target beträgt.
  • Diese verschiedenen Aspekte der vorliegenden Offenbarung werden nachstehend ausführlicher beschrieben. Zunächst wird ein EUV-Lithographiesystem unter Bezugnahme auf die 1 - 2 beschrieben. Als Nächstes werden die Details der verschiedenen Additive gemäß Ausführungsformen der vorliegenden Offenbarung unter Bezugnahme auf die 3 - 14 beschrieben.
  • 1 ist eine schematische Ansicht eines EUV-Lithographiesystems 10, das gemäß einigen Ausführungsformen konstruiert ist. Das EUV-Lithographiesystem 10 kann auch allgemein als Scanner bezeichnet werden, der konfiguriert ist, Lithografie-Belichtungsverfahren mit zugehöriger Strahlungsquelle und Belichtungsmodus durchzuführen. Das EUV-Lithographiesystem 10 ist dazu ausgelegt, eine Photoresistschicht durch EUV-Licht oder EUV-Strahlung zu belichten. Die Photoresistschicht ist ein Material, das für das EUV-Licht empfindlich ist. Das EUV-Lithographiesystem 10 verwendet eine Strahlungsquelle 12, um EUV-Licht zu erzeugen, beispielsweise EUV-Licht mit einer Wellenlänge im Bereich zwischen etwa 1 nm und etwa 100 nm. In einem speziellen Beispiel erzeugt die Strahlungsquelle 12 ein EUV-Licht mit einer Wellenlänge, die bei ungefähr 13,5 nm zentriert ist. Dementsprechend wird die Strahlungsquelle 12 auch als EUV-Strahlungsquelle 12 bezeichnet.
  • Das Lithographiesystem 10 verwendet auch eine Beleuchtungseinrichtung 14. In verschiedenen Ausführungsformen umfasst die Beleuchtungseinrichtung 14 verschiedene refraktive optische Komponenten, beispielsweise eine einzelne Linse oder ein Linsensystem mit mehreren Linsen (Zonenplatten) oder alternativ reflektierende Optiken (für EUV-Lithographiesysteme), wie beispielsweise einen Einzelspiegel oder ein Spiegelsystem mit mehreren Spiegeln, um Licht von der Strahlungsquelle 12 auf eine Maskenbühne 16 zu richten, insbesondere auf eine auf der Maskenbühne 16 gesicherte Maske 18. In der vorliegenden Ausführungsform, in der die Strahlungsquelle 12 Licht im EUV-Wellenlängenbereich erzeugt, verwendet die Beleuchtungseinrichtung 14 eine reflektierende Optik. In einigen Ausführungsformen umfasst die Beleuchtungseinrichtung 14 eine Dipol-Beleuchtungskomponente.
  • In einigen Ausführungsformen ist die Beleuchtungseinrichtung 14 so betreibbar, dass sie die Spiegel konfiguriert, um der Maske 18 eine angemessene Beleuchtung bereitzustellen. In einem Beispiel sind die Spiegel der Beleuchtungseinrichtung 14 schaltbar, um EUV-Licht zu verschiedenen Beleuchtungspositionen zu reflektieren. In einigen Ausführungsformen kann eine Stufe vor der Beleuchtungseinrichtung 14 zusätzlich weitere schaltbare Spiegel umfassen, die steuerbar sind, um das EUV-Licht mit den Spiegeln der Beleuchtungseinrichtung 14 zu verschiedenen Beleuchtungspositionen zu lenken. In einigen Ausführungsformen ist die Beleuchtungseinrichtung 14 so konfiguriert, dass sie eine axiale Beleuchtung (ONI) für die Maske 18 bereitstellt. In einem Beispiel wird eine Plattenbeleuchtungseinrichtung 14 mit einer Teilkohärenz σ von höchstens 0,3 verwendet. In einigen weiteren Ausführungsformen ist die Beleuchtungseinrichtung 14 so konfiguriert, dass sie eine nicht-axiale Beleuchtung (OAI) für die Maske 18 bereitstellt. In einem Beispiel ist die Beleuchtungseinrichtung 14 eine Dipol-Beleuchtungseinrichtung. Die Dipol-Beleuchtungseinrichtung weist in einigen Ausführungsformen eine Teilkohärenz σ von höchstens 0,3 auf.
  • Das Lithographiesystem 10 umfasst auch einen Maskentisch 16, der konfiguriert ist, um eine Maske 18 zu sichern. In einigen Ausführungsformen umfasst der Maskentisch 16 eine elektrostatische Haltevorrichtung (E-Chuck), um die Maske 18 zu sichern. Dies liegt daran, dass Gasmoleküle EUV-Licht absorbieren und das Lithographiesystem für die EUV-Lithographiestrukturierung in einer Vakuumumgebung gehalten wird, um EUV-Intensitätsverlust zu vermeiden. In der Offenbarung werden die Begriffe Maske, Photomaske und Retikel austauschbar so verwendet, dass sie sich auf den gleichen Gegenstand beziehen.
  • In der vorliegenden Ausführungsform ist das Lithographiesystem 10 ein EUV-Lithographiesystem und die Maske 18 ist eine reflektierende Maske. Eine beispielhafte Struktur der Maske 18 ist zur Veranschaulichung angegeben. Die Maske 18 umfasst ein Substrat mit einem geeigneten Material, wie beispielsweise einem Material mit geringer Wärmeausdehnung (LTEM) oder Quarzglas. In verschiedenen Beispielen umfasst das LTEM TiO2-dotiertes SiO2 oder andere geeignete Materialien mit geringer Wärmeausdehnung. In einigen Ausführungsformen umfasst das LTEM 5 bis 20 Gewichtsanteil TiO2 und weist einen thermischen Ausdehnungskoeffizienten von weniger als etwa 1,0 × 10-6/°C auf. Zum Beispiel weist in einigen Ausführungsformen das TiO2-dotierte SiO2-Material des LTEM einen solchen thermischen Ausdehnungskoeffizient auf, dass es sich um weniger als 60 Milliardstel je Temperaturänderung von 1 Grad Celsius ändert. Natürlich können auch andere geeignete Materialien mit einem thermischen Ausdehnungskoeffizienten verwendet werden, der kleiner oder gleich dem von TiO2-dotiertem SiO2 ist.
  • Die Maske 18 umfasst auch eine reflektierende ML (Mehrfachschicht), die auf dem Substrat abgeschieden ist. Die ML umfasst eine Mehrzahl von Filmpaaren, wie z. B. Molybdän-Silizium- (Mo/Si) -Filmpaaren (z. B. eine Schicht aus Molybdän über oder unter einer Schicht aus Silizium in jedem Filmpaar). Alternativ kann die ML Molybdän-Beryllium- (Mo/Be) -Filmpaare oder andere geeignete Materialien umfassen, die konfigurierbar sind, um das EUV-Licht stark zu reflektieren.
  • Die Maske 18 kann ferner eine Deckschicht wie Ruthenium (Ru) umfassen, die zum Schutz auf der ML angeordnet ist. Die Maske 18 umfasst ferner eine Absorptionsschicht, die über der ML abgeschieden ist. Die Absorptionsschicht ist strukturiert, um eine Schicht einer integrierten Schaltung (IC) zu definieren. Alternativ kann eine weitere reflektierende Schicht über der ML abgeschieden sein und strukturiert sein, um eine Schicht einer integrierten Schaltung zu definieren, wodurch eine EUV-Phasenverschiebungsmaske ausgebildet ist.
  • Das Lithographiesystem 10 umfasst auch ein Projektionsoptikmodul (oder eine Projektionsoptikbox (POB)) 20 zum Abbilden der Struktur der Maske 18 auf ein Halbleitersubstrat (als ein Beispiel des Targets 26), das auf einem Substrattisch 28 des Lithographiesystems 10 gesichert ist. Die POB 20 weist in verschiedenen Ausführungsformen eine refraktive Optik (beispielsweise für ein UV-Lithographiesystem) oder alternativ eine reflektierende Optik (beispielsweise für ein EUV-Lithographiesystem) auf. Das von der Maske 18 gelenkte Licht, das in verschiedene Beugungsordnungen gebeugt ist und das Bild der auf der Maske definierten Struktur trägt, wird durch die POB 20 gesammelt. Die POB 20 kann eine Vergrößerung von weniger als eins umfassen (dadurch ist die Größe des „Bildes“ auf einem Target (wie dem unten beschriebenen Target 26) kleiner als die Größe des entsprechenden „Objekts“ auf der Maske). Die Beleuchtungseinrichtung 14 und die POB 20 werden kollektiv als optisches Modul des Lithographiesystems 10 bezeichnet.
  • Das Lithographiesystem 10 umfasst auch einen Pupillenphasenmodulator 22 zum Modulieren der optischen Phase des von der Maske 18 gerichteten Lichts, so dass das Licht eine Phasenverteilung auf einer Projektionspupillenebene 24 aufweist. In dem optischen Modul gibt es eine Ebene mit einer Feldverteilung entsprechend der Fourier-Transformierten des Objekts (im vorliegenden Fall der Maske 18). Diese Ebene wird als Projektionspupillenebene bezeichnet. Der Pupillenphasenmodulator 22 stellt einen Mechanismus zum Modulieren der optischen Phase des Lichts auf der Projektionspupillenebene 24 bereit. In einigen Ausführungsformen umfasst der Pupillenphasenmodulator 22 einen Mechanismus zum Abstimmen der Reflexionsspiegel der POB 20 für die Phasenmodulation. Zum Beispiel sind die Spiegel der POB 20 schaltbar und werden so gesteuert, dass sie das EUV-Licht reflektieren, wodurch die Phase des Lichts durch die POB 20 moduliert wird.
  • In einigen Ausführungsformen verwendet der Pupillenphasenmodulator 22 einen Pupillenfilter, der auf der Projektionspupillenebene angeordnet ist. Ein Pupillenfilter filtert bestimmte Raumfrequenzkomponenten des EUV-Lichts von der Maske 18 heraus. Insbesondere ist der Pupillenfilter ein Phasenpupillenfilter, der dazu dient, die Phasenverteilung des durch die POB 20 gerichteten Lichts zu modulieren. Die Verwendung eines Phasenpupillenfilters ist jedoch in einigen Lithographiesystemen (wie einem EUV-Lithographiesystem) eingeschränkt, da alle Materialien EUV-Licht absorbieren.
  • Wie oben beschrieben, umfasst das Lithographiesystem 10 auch die Substratstufe 28, um ein zu strukturierendes Target 26, wie beispielsweise ein Halbleitersubstrat, zu sichern. In der vorliegenden Ausführungsform ist das Halbleitersubstrat ein Halbleiterwafer, wie ein Siliziumwafer oder ein anderer Wafertyp. Das Target 26 (z. B. ein Substrat) ist mit der für die gebündelte Strahlung, wie etwa EUV-Licht in der vorliegenden Ausführungsform, empfindlichen Resistschicht beschichtet. Verschiedene Komponenten, einschließlich der oben beschriebenen, sind miteinander integriert und sind betreibbar, um Lithographie-Belichtungsverfahren durchzuführen. Das Lithographiesystem 10 kann ferner weitere Module umfassen oder kann mit weiteren Modulen integriert sein (oder mit diesen gekoppelt sein).
  • Die Maske 18 und das Verfahren zum Herstellen derselben werden gemäß einigen Ausführungsformen weiter beschrieben. In einigen Ausführungsformen umfasst das Maskenherstellungsverfahren zwei Vorgänge: ein Maskenrohlingsherstellungsverfahren und ein Maskenstrukturierungsverfahren. Während des Maskenrohlingsherstellungsverfahrens wird ein Maskenrohling ausgebildet, indem geeignete Schichten (z. B. reflektierende Mehrfachschichten) auf einem geeigneten Substrat abgeschieden werden. Der Maskenrohling wird dann während des Maskenstrukturierungsverfahrens strukturiert, um einen gewünschten Entwurf einer Schicht einer integrierten Schaltung (IC) zu erzielen. Die strukturierte Maske wird dann verwendet, um Schaltungsstrukturen (z. B. den Entwurf einer Schicht eines IC) auf einen Halbleiterwafer zu übertragen. Die Strukturen können durch verschiedene Lithographieverfahren immer wieder auf mehrere Wafer übertragen werden. Ein Maskensatz wird verwendet, um einen vollständigen IC zu konstruieren.
  • Die Maske 18 umfasst eine geeignete Struktur, wie z. B. eine Binärintensitätsmaske (BIM) und eine Phasenschiebermaske (PSM) in verschiedenen Ausführungsformen. Eine beispielhafte BIM umfasst absorbierende Bereiche (auch als opake Bereiche bezeichnet) und reflektierende Bereiche, die so strukturiert sind, dass sie eine IC-Struktur definieren, die auf das Target übertragen werden soll. In den opaken Bereichen ist ein Absorber vorhanden und ein einfallendes Licht wird nahezu vollständig von dem Absorber absorbiert. In den reflektierenden Bereichen ist der Absorber entfernt und das einfallende Licht wird durch eine Mehrfachschicht (ML) gebeugt. Die PSM kann eine gedämpfte PSM (AttPSM) oder eine alternierende PSM (AltPSM) sein. Eine beispielhafte PSM umfasst eine erste reflektierende Schicht (wie eine reflektierende ML) und eine zweite reflektierende Schicht, die gemäß einer IC-Struktur strukturiert ist. In einigen Beispielen hat eine AttPSM üblicherweise eine Reflektivität von 2 % - 15 % von ihrem Absorber, während eine AltPSM üblicherweise eine Reflektivität von mehr als 50 % von ihrem Absorber hat.
  • Ein Beispiel der Maske 18 ist in 2 gezeigt. Die Maske 18 in der gezeigten Ausführungsform ist eine EUV-Maske und umfasst ein Substrat 30, das aus einem LTEM hergestellt ist. Das LTEM-Material kann TiO2-dotiertes SiO2 und/oder andere Materialien mit geringer Wärmeausdehnung umfassen, die in der Technik bekannt sind. In einigen Ausführungsformen ist zusätzlich eine leitfähige Schicht 32 auf der Rückseite des LTEM-Substrats 30 für den elektrostatischen Einspannvorgang angeordnet. In einem Beispiel umfasst die leitfähige Schicht 32 Chromnitrid (CrN). In weiteren Ausführungsformen sind andere geeignete Zusammensetzungen möglich, wie etwa ein Tantal-haltiges Material.
  • Die EUV-Maske 18 umfasst eine reflektierende Mehrschichtstruktur 34, die über dem LTEM-Substrat 30 angeordnet ist. Die reflektierende Mehrschichtstruktur 34 kann so ausgewählt sein, dass sie für einen ausgewählten Strahlungstyp/eine ausgewählte Wellenlänge eine hohe Reflektivität bereitstellt. Die reflektierende Mehrschichtstruktur 34 umfasst eine Mehrzahl von Filmpaaren, wie z. B. Mo/Si-Filmpaaren (z. B. einer Schicht aus Molybdän über oder unter einer Schicht aus Silizium in jedem Filmpaar). Alternativ kann die reflektierende Mehrschichtstruktur 34 Mo/Be-Filmpaare oder beliebige Materialien mit Brechungsindexdifferenzen aufweisen, die bei EUV-Wellenlängen stark reflektierend sind.
  • Immer noch bezugnehmend auf 2 umfasst die EUV-Maske 18 auch eine Deckschicht 36, die über der reflektierenden Mehrschichtstruktur 34 angeordnet ist, um eine Oxidation der ML zu verhindern. In einer Ausführungsform umfasst die Deckschicht 36 Silizium mit einer Dicke im Bereich von etwa 4 nm bis etwa 7 nm. Die EUV-Maske 18 kann ferner eine Pufferschicht 38 umfassen, die über der Deckschicht 36 angeordnet ist, um als Ätzstoppschicht in einem Strukturierungs- oder Reparaturverfahren einer Absorptionsschicht zu dienen, was später beschrieben wird. Die Pufferschicht 38 weist andere Ätzeigenschaften als die darüber angeordnete Absorptionsschicht auf. Die Pufferschicht 38 umfasst in verschiedenen Beispielen Ruthenium (Ru), Ru-Verbindungen wie RuB, RuSi, Chrom (Cr), Chromoxid und Chromnitrid.
  • Die EUV-Maske 18 umfasst auch eine Absorberschicht 40 (auch als Absorptionsschicht bezeichnet), die über der Pufferschicht 38 ausgebildet ist. In einigen Ausführungsformen absorbiert die Absorberschicht 40 die auf die Maske gerichtete EUV-Strahlung. In verschiedenen Ausführungsformen kann die Absorberschicht aus Tantalbornitrid (TaBN), Tantalboroxid (TaBO) oder Chrom (Cr), Radium (Ra) oder einem geeigneten Oxid oder Nitrid (oder einer geeigneten Legierung) aus einem oder mehreren der folgenden Materialien hergestellt sein: Actinium, Radium, Tellur, Zink, Kupfer und Aluminium.
  • Die 3 und 12 - 13 zeigen vereinfachte diagrammartige fragmentarische Querschnitts-Seitenansichten einer Halbleitervorrichtung 100 in verschiedenen Herstellungsstadien gemäß Ausführungsformen der vorliegenden Offenbarung. Bezugnehmend auf 3 umfasst eine Halbleitervorrichtung 100 ein Substrat 140. In einigen Ausführungsformen ist das Substrat 140 ein Siliziumsubstrat, das mit einem p-Dotierstoff wie etwa Bor dotiert ist (zum Beispiel ein p-Substrat). Alternativ könnte das Substrat 140 aus einem anderen geeigneten Halbleitermaterial bestehen. Zum Beispiel kann das Substrat 140 ein Siliziumsubstrat sein, das mit einem n-Dotierstoff wie Phosphor oder Arsen dotiert ist (ein n-Substrat). Das Substrat 140 könnte andere elementare Halbleiter wie Germanium und Diamant umfassen. Das Substrat 140 könnte optional einen Verbindungshalbleiter und/oder einen Legierungshalbleiter umfassen. Ferner könnte das Substrat 140 eine Epitaxieschicht (Epi-Schicht) umfassen, zur Leistungssteigerung belastet sein und eine Silizium-auf-Isolator- (SOI) -Struktur umfassen.
  • In einigen Ausführungsformen ist das Substrat 140 im Wesentlichen leitfähig oder halbleitfähig. Der elektrische Widerstand kann weniger als etwa 103 Ohm-Meter betragen. In einigen Ausführungsformen umfasst das Substrat 140 Metall, eine Metalllegierung oder Metallnitrid/-sulfid/-selenid/-oxid/-silizid mit der Formel MXa, worin M ein Metall ist und X N, S, Se, O, Si ist und wobei „a“ in einem Bereich von etwa 0,4 bis 2,5 liegt. Zum Beispiel kann das Substrat 140 Ti, Al, Co, Ru, TiN, WN2 oder TaN umfassen.
  • In einigen weiteren Ausführungsformen umfasst das Substrat 140 ein Dielektrikum mit einer Dielektrizitätskonstante in einem Bereich von etwa 1 bis etwa 140. In einigen weiteren Ausführungsformen umfasst das Substrat 140 Si, Metalloxid oder Metallnitrid, wobei die Formel MXb ist, worin M ein Metall oder Si ist und X N oder O ist und wobei „b“ ist in einem Bereich von etwa 0,4 bis 2,5 liegt. Zum Beispiel kann das Substrat 140 SiO2, Siliziumnitrid, Aluminiumoxid, Hafniumoxid oder Lanthanoxid umfassen.
  • Eine Materialschicht 150 ist über dem Substrat 140 ausgebildet. Die Materialschicht 150 kann über ein Lithographieverfahren strukturiert werden und kann somit auch als strukturierbare Schicht bezeichnet werden. In einer Ausführungsform umfasst die Materialschicht 150 ein Dielektrikum wie etwa Siliziumoxid oder Siliziumnitrid. In einer weiteren Ausführungsform umfasst die Materialschicht 150 Metall. In noch einer weiteren Ausführungsform umfasst die Materialschicht 150 ein Halbleitermaterial.
  • In einigen Ausführungsformen hat die Materialschicht 150 andere optische Eigenschaften als Photoresist. Zum Beispiel hat die Materialschicht 150 einen anderen n-, k- oder T-Wert als Photoresist. In einigen Ausführungsformen umfasst die Materialschicht 150 mindestens eines von verschiedenen Polymerstrukturen, säurelabilen Molekülen, PAG- (Photosäuregenerator) -Beladung, Quencherbeladung, Chromophoren, Vernetzern oder Lösungsmitteln, was zu einem anderem n-Wert als Photoresist führt. In einigen Ausführungsformen weisen die Materialschicht 150 und der Photoresist eine unterschiedliche Ätzbeständigkeit auf. In einigen Ausführungsformen umfasst die Materialschicht 150 ein ätzresistentes Molekül. Das Molekül umfasst eine Struktur mit niedriger Onishi-Zahl, Doppelbindungen, Dreifachbindungen, Silizium, Siliziumnitrid, Ti, TiN, Al, Aluminiumoxid, SiON oder Kombinationen davon. Es versteht sich, dass das Substrat 140 und die Materialschicht 150 in weiteren Ausführungsformen jeweils zusätzliche geeignete Materialzusammensetzungen umfassen können.
  • Eine Photoresistschicht 160 ist über dem Substrat 140 (insbesondere über der Materialschicht 150) ausgebildet. Die Photoresistschicht 160 kann zum Beispiel durch ein Rotationsbeschichtungsverfahren 170 ausgebildet werden. Die Photoresistschicht 160 umfasst ein metallisches Photoresistmaterial, das für die EUV-Lithographie konfiguriert ist. Zum Beispiel ist das metallische Photoresistmaterial empfindlich gegenüber einer Strahlungsquelle wie der Strahlungsquelle 12, die oben in Verbindung mit 1 beschrieben wurde. Die Metallkomponente in dem metallischen Photoresistmaterial kann die EUV-Empfindlichkeit verbessern.
  • Die Photoresistschicht 160 kann eine Einzelschichtstruktur oder eine Mehrschichtstruktur aufweisen. In einer Ausführungsform umfasst die Photoresistschicht 160 ein metallisches Resistmaterial, das sich chemisch zersetzt (und/oder die Polarität ändert) und anschließend in einem Entwickler löslich wird, nachdem das metallische Resistmaterial einer Strahlungsquelle (z. B. der Strahlungsquelle 12) ausgesetzt wurde. Alternativ umfasst die Photoresistschicht 160 ein metallisches Resistmaterial, das polymerisiert (und/oder vernetzt) und anschließend in einem Entwickler unlöslich wird, nachdem das metallische Resistmaterial einer Strahlungsquelle (z. B. der Strahlungsquelle 12) ausgesetzt wurde.
  • Bezugnehmend auf 4 weist in einigen Ausführungsformen das metallische Resistmaterial der Photoresistschicht 160 eine Struktur 200 auf. Die Struktur 200 kann ein Partikel (z. B. ein Cluster) sein, der eine Kerngruppe 204 umfasst, die von mehreren Liganden 212 umgeben ist. In der in 4 gezeigten Ausführungsform zeigen die gepunkteten Linien ionische, kovalente, metallische oder Van-der-Waals-Bindungen zwischen der Kerngruppe 204 und den Liganden 212 an. In vielen Ausführungsformen umfasst die Kerngruppe 204 mindestens ein metallisches Element in Form eines reinen Metalls (d. h. eines Metallatoms), eines Metallions, einer Metallverbindung (z. B. eines Metalloxids, eines Metallnitrids, eines Metalloxynitrids, eines Metallsilizids, eines Metallkarbids usw.), einer Metalllegierung (z. B. einer Kombination von mehreren metallischen Elementen) oder einer Kombination davon. In einigen Ausführungsformen umfasst die Kerngruppe 204 ein metallisches Element, das aus den folgenden ausgewählt ist: Zirkonium, Lanthan, Mangan, Kupfer, Tantal, Wolfram, Hafnium, Zinn, Aluminium, Titan, Kupfer, Kobalt oder anderen geeigneten Elementen. In einigen Ausführungsformen kann die Kerngruppe 204 ein Metalloxid (z. B. Zirkoniumoxid) oder ein reines Metallatom (z. B. ein Zinnatom) umfassen. In einigen Ausführungsformen umfasst die Kerngruppe 204 ein positiv geladenes Metallion.
  • Die Liganden 212 können gleich oder voneinander verschieden sein. In einigen Ausführungsformen können die Liganden geradkettige oder zyklische Alkyle, Alkoxyle, Carbonsäuren, Alkene oder andere funktionelle Gruppen mit jeweils 1 bis 12 Kohlenstoffatomen umfassen. In der gezeigten Ausführungsform umfasst die Struktur 200 die Kerngruppe 204 und mehrere Liganden 212 (Ausführungsformen sind nicht auf vier Liganden 212 beschränkt, wie in 4 gezeigt), die in einem Partikel (d. h. Cluster) angeordnet sind.
  • Die Erfinder der vorliegenden Offenbarung haben entdeckt, dass metallische Photoresistmaterialien Probleme in Bezug auf Alterung haben können. Zum Beispiel können die Liganden 212 des metallischen Photoresists mit der Zeit (z. B. durch Alterung) instabil werden und können fallen gelassen oder getrennt werden. Mit anderen Worten können die metallischen Photoresistmaterialien im Laufe der Zeit einen oder mehrere der Liganden 212 verlieren. Die „fallengelassenen“ Liganden sind auch in 4 gezeigt. Infolgedessen unterliegen die metallischen Photoresistmaterialien einer chemischen Veränderung. Wenn zum Beispiel die Liganden 212 aufgrund von Alterung von den Kerngruppen 204 dissoziieren, wird ein größeres Partikel (d. h. die Struktur 220) ausgebildet, das mehrere (z. B. zwei oder mehr) Kerngruppen 204 und Liganden 212, die die Kerngruppen 204 umgeben, umfasst. Dies ist unerwünscht und kann die Leistung des metallischen Photoresistmaterials verschlechtern.
  • Zusätzlich zur Alterung haben die Erfinder der vorliegenden Offenbarung auch ein anderes Problem entdeckt, das mit metallischen Photoresistmaterialien verbunden ist, nämlich die Steuerung der kritischen Abmessung (CD). Zum Beispiel kann die kritische Abmessung der metallischen Photoresistmaterialien unannehmbar variieren, wenn die metallischen Photoresistmaterialien aus verschiedenen Chargen stammen, selbst wenn diese unterschiedlichen Chargen von demselben Lieferanten/Anbieter geliefert werden. Wenn die metallischen Photoresistmaterialien von verschiedenen Anbietern stammen, kann das Problem der Steuerung der kritischen Abmessung noch schwerer werden. Während die Halbleiterherstellung zu immer kleineren Technologieknoten fortschreitet, kann eine schlechte Steuerung der kritischen Abmessung zu Halbleitervorrichtungen mit einer verschlechterten Leistungsfähigkeit oder möglicherweise sogar zu Vorrichtungsausfällen führen.
  • Um die oben beschriebenen Probleme der Alterung und/oder Steuerung der kritischen Abmessung zu lösen, haben die Erfinder der vorliegenden Offenbarung das metallische Photoresistmaterial der Photoresistschicht durch Hinzufügen eines oder mehrerer Additive zu dem metallischen Photoresistmaterial konfiguriert. Mit anderen Worten umfasst die Photoresistschicht 160 das metallische Photoresistmaterial und ein oder mehrere Additive. Die Additive verbessern wesentlich die Probleme der Alterung und/oder der Steuerung der kritischen Abmessung, wie nachstehend ausführlicher beschrieben wird.
  • Bezugnehmend auf 5 umfasst in einigen Ausführungsformen ein Additiv ein Lösungsmittel 310 mit hohem Siedepunkt. In einigen Ausführungsformen liegt eine Konzentration des Lösungsmittels 310 mit hohem Siedepunkt in der Photoresistschicht 160 in einem Bereich zwischen etwa 1 % und etwa 20 %, beispielsweise zwischen etwa 3 % und etwa 15 %. Das Lösungsmittel 310 mit hohem Siedepunkt mit diesem speziell konfigurierten Konzentrationsbereich verhindert oder mildert das Alterungsproblem von metallischen Photoresistmaterialien. In einigen Ausführungsformen hat das Lösungsmittel 310 mit hohem Siedepunkt eine Siedepunkttemperatur, die höher als etwa 150 Grad Celsius ist.
  • In einigen Ausführungsformen umfasst das Lösungsmittel 310 mit hohem Siedepunkt Cyclohexylacetat (CHAX), Dimethoxymethan (DMM), Propylenglycoldiacetat (PGDA), Dipropylenglycolmethyl-n-propyl (DPMNP), Dipropylenglycolmethyletheracetat (DPMA), 1,4-Butandioldiacrylat (1,4-BDDA), 1,3-Butylenglycoldiacetat (1,3-BGDA), 1,6-Hexandioldiacrylat (1,6-HDDA), Tripropylenglycolmethylether (TPM), 1,3-Propandiol, Propylenglykol oder Kombinationen davon. In verschiedenen Ausführungsformen kann das Lösungsmittel 310 mit hohem Siedepunkt eine der folgenden chemischen Zusammensetzungen oder chemischen Formeln (auch in 5 gezeigt) aufweisen:
    Figure DE102018114910A1_0001
    Figure DE102018114910A1_0002
    Figure DE102018114910A1_0003
    Figure DE102018114910A1_0004
  • Bezugnehmend auf die 6A - 6B umfasst das Additiv in einigen Ausführungsformen einen Photosäuregenerator (PAG). Aufgrund der Zugabe des PAG wird Säure nach der Belichtung oder thermischen Behandlung um einen Oberflächenbereich der Photoresistschicht 160 diffundieren. In einigen Ausführungsformen liegt eine Konzentration des PAG in der Photoresistschicht 160 in einem Bereich zwischen etwa 0,01 % und etwa 10 %, beispielsweise zwischen etwa 1 % und etwa 10 %. Der PAG mit diesem speziell konfigurierten Konzentrationsbereich verhindert oder mildert das Alterungsproblem und/oder das CD-Steuerungsproblem von metallischen Photoresistmaterialien.
  • Der PAG umfasst ein Kation 320A (in 6A gezeigt) und ein Anion 320B (in 6B gezeigt). In einigen Ausführungsformen kann das Kation 320A eine der folgenden chemischen Formeln aufweisen:
    Figure DE102018114910A1_0005
  • In einigen Ausführungsformen kann das Anion 320B eine der folgenden chemischen Formeln aufweisen: C4F9SO3 -
    Figure DE102018114910A1_0006
    C6F13SO3 -
    Figure DE102018114910A1_0007
    Figure DE102018114910A1_0008
    Figure DE102018114910A1_0009
  • Bezugnehmend auf 7 umfasst das Additiv in einigen Ausführungsformen einen Photobasengenerator (PBG) 330. In einigen Ausführungsformen liegt eine Konzentration des PBG in der Photoresistschicht 160 in einem Bereich zwischen etwa 0,01 % und etwa 10 %, beispielsweise zwischen etwa 0,1 % und etwa 5%. Der PBG mit diesem speziell konfigurierten Konzentrationsbereich verhindert oder mildert das Alterungsproblem und/oder das CD-Steuerungsproblem von metallischen Photoresistmaterialien. In einigen Ausführungsformen kann der PBG eine der folgenden chemischen Formeln aufweisen:
    Figure DE102018114910A1_0010
    Figure DE102018114910A1_0011
    Figure DE102018114910A1_0012
    Figure DE102018114910A1_0013
    Figure DE102018114910A1_0014
    Figure DE102018114910A1_0015
  • Bezugnehmend auf 8 umfasst das Additiv in einigen Ausführungsformen einen Quencher 340. In einigen Ausführungsformen liegt eine Konzentration des Quenchers in der Photoresistschicht 160 in einem Bereich zwischen etwa 0,01 % und etwa 10 %, beispielsweise zwischen etwa 0,1 % und etwa 5 %. Der Quencher mit diesem speziell konfigurierten Konzentrationsbereich verhindert oder mildert das Alterungsproblem und/oder das CD-Steuerungsproblem von metallischen Photoresistmaterialien. In einigen Ausführungsformen kann der Quencher eine der folgenden chemischen Formeln aufweisen:
    Figure DE102018114910A1_0016
    Figure DE102018114910A1_0017
    Figure DE102018114910A1_0018
  • In einigen Ausführungsformen umfasst das Additiv eine photochemisch zersetzte Base 350. In einigen Ausführungsformen liegt eine Konzentration der photochemisch zersetzten Base 350 in der Photoresistschicht 160 in einem Bereich zwischen etwa 0,01 % und etwa 10 %, beispielsweise zwischen etwa 0,1 % und etwa 5 %. Die photochemisch zersetzte Base 350 mit diesem speziell konfigurierten Konzentrationsbereich verhindert oder mildert das Alterungsproblem und/oder das CD-Steuerungsproblem von metallischen Photoresistmaterialien. In einigen Ausführungsformen kann die photochemisch zersetzte Base 350 durch die folgende allgemeine chemische Formel (in 9A gezeigt) wiedergegeben werden:
    Figure DE102018114910A1_0019
  • In der obigen chemischen Formel steht R1 für eine alizyklische Gruppe von 5 oder mehr Kohlenstoffatomen, die einen Substituenten aufweisen kann; X steht für eine zweiwertige Verbindungsgruppe; Y steht für eine lineare, verzweigte oder zyklische Alkylengruppe oder eine Arylengruppe; Rf steht für eine Kohlenwasserstoffgruppe, die ein Fluoratom umfasst; und M+ steht für ein organisches Kation oder ein Metallkation. In einigen Ausführungsformen kann das Kation eine der folgenden chemischen Formeln haben (auch in 9B gezeigt):
    Figure DE102018114910A1_0020
  • In einigen Ausführungsformen umfasst die photochemisch zersetzte Base auch ein Anion, das durch N in der in 9A gezeigten chemischen Formel wiedergegeben wird. Das Anion kann eine der folgenden chemischen Formeln haben (auch in 9C gezeigt):
    Figure DE102018114910A1_0021
    Figure DE102018114910A1_0022
    Figure DE102018114910A1_0023
    wobei die N
    Figure DE102018114910A1_0024
    in den obigen chemischen Formeln Stickstoff, insbesondere negativ geladenen Stickstoff darstellt (wobei die negative Ladung durch dargestellt wird).
  • Bezugnehmend nun auf 10 umfasst das Additiv in einigen Ausführungsformen einen thermischen Säuregenerator (TAG) 360. In einigen Ausführungsformen hat der TAG 360 eine Beladung, die etwa 1 % - 10 % Gewichtsprozent des metallischen Resists beträgt. In einigen Ausführungsformen hat der TAG 360 eine Reaktionstemperatur, die innerhalb von etwa plus oder minus 20 Grad Celsius einer Temperatur des Nachbelichtungsbackens (PEB) der Photoresistschicht 160 liegt (das PEB-Verfahren wird in einem nachfolgenden Schritt durchgeführt). In einigen Ausführungsformen liegt eine Konzentration des TAG 360 in der Photoresistschicht 160 in einem Bereich zwischen etwa 0,01 % und etwa 10 %. Der TAG 360 mit diesem speziell konfigurierten Konzentrationsbereich verhindert oder mildert das Alterungsproblem und/oder das CD-Steuerungsproblem von metallischen Photoresistmaterialien. In einigen Ausführungsformen weist das TAG eine der folgenden chemischen Formeln auf: NH4 + C4F9SO3 - NH4 + CF3SO3 -
    Figure DE102018114910A1_0025
    Figure DE102018114910A1_0026
    Figure DE102018114910A1_0027
    Figure DE102018114910A1_0028
  • Bezieht man sich nun auf die 11A - 11B, umfasst das Additiv in einigen Ausführungsformen einen Photovernetzer 370. In einigen Ausführungsformen liegt eine Konzentration des Photovernetzers 370 in der Photoresistschicht 160 in einem Bereich zwischen etwa 0,01 % und etwa 10 %, beispielsweise zwischen etwa 1 % und etwa 10 %. Der Photovernetzer 370 mit diesem speziell konfigurierten Konzentrationsbereich verhindert oder mildert das CD-Steuerungsproblem von metallischen Photoresistmaterialien. In einigen Ausführungsformen kann der Photovernetzer die folgende chemische Formel aufweisen (ebenfalls in 11A gezeigt):
    Figure DE102018114910A1_0029
    Worin FG' die erste funktionelle Gruppe darstellt, ausgewählt aus primären Aminen, sekundären Aminen, Hydroxylen, Amiden, Estern, Epoxiden, Episulfiden oder Anhydriden; wobei L3 eine verbindende Gruppe ist, die FG' an eine Sulfo-(SO2) -Gruppe kovalent bindet; wobei R2 und R3 die gleiche oder eine unterschiedliche Kohlenstoff-haltige Gruppierung sein können oder in Kombination einen Kohlenstoff-haltigen Ring bilden können, vorausgesetzt, dass mindestens einer von R2 und R3 oder des Kohlenstoff-haltigen Rings durch mindestens eine FG' substituiert ist.
  • In einigen Ausführungsformen kann der Photovernetzer die folgende chemische Formel aufweisen (ebenfalls in 11B gezeigt):
    Figure DE102018114910A1_0030
    Worin FG' die erste funktionelle Gruppe darstellt, ausgewählt aus primären Aminen, sekundären Aminen, Hydroxylen, Amiden, Estern, Epoxiden, Episulfiden oder Anhydriden; worin W ausgewählt ist aus einer Carbonyl- (C = o) -Gruppe oder einer Sulfonyl- (SO2) -Gruppe; worin L4 eine verbindende Gruppe ist, die FG' an W kovalent bindet; und worin R4, R5, R6, R7 und R8 unabhängig aus H; einem Halogenid; einer substituierten oder unsubstituierten Alkyl-, Cycloalkyl-, Aryl-, Alkaryl- oder Ethergruppe; einem Halogenalkyl; einem Heteroaryl; einem Alkoxyl; oder Kombinationen davon ausgewählt sind, vorausgesetzt, dass mindestens eines von R4, R5, R6, R7 und R8 eine funktionelle Gruppe umfasst, ausgewählt aus primären Aminen, sekundären Aminen, Hydroxylen, Amiden, Estern, Epoxiden, Episulfiden und Anhydriden.
  • Bezugnehmend nun auf 12 wird ein Entwicklungsverfahren 500 durchgeführt, um die Photoresistschicht 160 zu strukturieren. Unter der Annahme, dass ein Positiv-Photoresist verwendet wird, werden belichtete Abschnitte der Photoresistschicht 160 nach dem Entwicklungsverfahren 500 entfernt, da die Belichtung mit dem EUV-Licht die chemische Struktur des Photoresists verändert und es in der Entwicklerlösung löslicher macht. Unterdessen bleiben die unbelichteten Teile der Photoresistschicht 160 zurück, wodurch Öffnungen 510 anstelle der entfernten Teile ausgebildet werden. Wenn andererseits die Photoresistschicht 160 ein Negativ-Photoresist ist, verbleiben die belichteten Bereiche nach dem Entwicklungsverfahren 500, während die unbelichteten Bereiche entfernt sind. In jedem Fall kann die strukturierte Photoresistschicht 160 nun dazu verwendet werden, die darunter liegende Materialschicht 150 in nachfolgenden Herstellungsverfahren zu strukturieren. In einigen Ausführungsformen kann eine laterale Abmessung 530 der Öffnungen 510 die kritische Abmessung (CD) von Merkmalen der Halbleitervorrichtung 100 definieren. In weiteren Ausführungsformen kann eine laterale Abmessung 540 einer Komponente der strukturierten Photoresistschicht 160 die CD von Merkmalen der Halbleitervorrichtung 100 definieren.
  • Bezugnehmend nun auf 13 wird ein Strukturierungsverfahren 600 durchgeführt, um die Materialschicht 150 zu strukturieren. Die Photoresistschicht 160 dient als Maske zum Strukturieren der Materialschicht 150. Die Abmessungen 530 und 540 werden auf die Materialschicht 150 übertragen. Wie oben beschrieben, ermöglichen die verschiedenen Additive, die zu der metallischen Photoresistschicht 160 hinzugefügt wurden, dass die CD-Steuerung verstärkt wird (z. B. um kleinere CD-Abweichungen zu erreichen). Zusätzlich wird das oben beschriebene Alterungsproblem wesentlich gemildert, was auch die Leistung der Photoresistschicht 160 verbessert.
  • 14 ist ein Flussdiagramm, das ein vereinfachtes Verfahren 700 zum Herstellen einer Halbleitervorrichtung zeigt. Das Verfahren 700 umfasst einen Schritt 710 zum Erhalten eines Photoresist, der Metall umfasst. Zum Beispiel kann der Photoresist ein metallischer EUV-Photoresist sein. In einigen Ausführungsformen weist der metallische Photoresist eine Struktur auf, die eine Kerngruppe umfasst, die von mehreren Liganden umgeben ist (z. B. in 4 gezeigt). Zumindest einige der Liganden trennen sich infolge von Alterung von der Kerngruppe.
  • Das Verfahren 700 umfasst einen Schritt 720 zum Hinzufügen von einem oder mehreren Additiven zu dem Photoresist. Das eine oder die mehreren Additive umfassen: ein Lösungsmittel mit einem Siedepunkt von mehr als etwa 150 Grad Celsius, einen Photosäuregenerator, einen Photobasengenerator, einen Quencher, eine photochemisch zersetzte Base, einen thermischen Säuregenerator oder einen Photovernetzer. Die chemischen Formeln dieser Additive sind oben in Verbindung mit den 5 - 11 beschrieben.
  • In einigen Ausführungsformen wird das Verfahren 700 von einem Photoresistanbieter/-lieferanten durchgeführt, der den metallischen Photoresist herstellt. In weiteren Ausführungsformen wird das Verfahren 700 von einem Halbleiterhersteller durchgeführt, der den von dem Anbieter/Lieferanten hergestellten metallischen Photoresist (ohne die darin hinzugefügten Additive) erhält, und der Halbleiterhersteller fügt dem metallischen Photoresist das eine oder die mehreren Additive hinzu.
  • Es versteht sich, dass zusätzliche Herstellungsvorgänge vor, während oder nach den Schritten 710 - 720 von 14 durchgeführt werden können. Zum Beispiel kann das Verfahren 700 einen Schritt zum Durchführen eines Extrem-Ultraviolett- (EUV) -Lithographieverfahrens unter Verwendung des Photoresists umfassen, nachdem das eine oder die mehreren Additive zu dem Photoresist hinzugefügt worden sind.
  • 15 ist ein Flussdiagramm, das ein vereinfachtes Verfahren 800 zeigt. Das Verfahren 800 umfasst einen Schritt 810 zum Ausbilden einer Photoresistschicht über einem Wafer. Die Photoresistschicht umfasst ein metallisches Photoresistmaterial und ein oder mehrere Additive. In einigen Ausführungsformen umfassen das eine oder die mehreren Additive: ein Lösungsmittel mit einem Siedepunkt von mehr als etwa 150 Grad Celsius, einen Photosäuregenerator, einen Photobasengenerator, einen Quencher, eine photochemisch zersetzte Base, einen thermischen Säuregenerator oder einen Photovernetzer.
  • Das Verfahren 800 umfasst einen Schritt 820 zum Durchführen eines Extrem-Ultraviolett- (EUV) -Lithographieverfahrens unter Verwendung der Photoresistschicht.
  • In einigen Ausführungsformen hat das Lösungsmittel eine chemische Formel, die oben in Verbindung mit 5 beschrieben wurde.
  • In einigen Ausführungsformen hat der Photosäuregenerator eine chemische Formel, die oben in Verbindung mit den 6A - 6B beschrieben wurde.
  • In einigen Ausführungsformen hat der Photobasengenerator eine chemische Formel, die oben in Verbindung mit 7 beschrieben wurde.
  • In einigen Ausführungsformen hat der Quencher eine chemische Formel, die oben in Verbindung mit 8 beschrieben wurde.
  • In einigen Ausführungsformen hat die photochemisch zersetzte Base eine chemische Formel, die oben in Verbindung mit den 9A - 9C beschrieben wurde.
  • In einigen Ausführungsformen hat der thermische Säuregenerator eine chemische Formel, die oben in Verbindung mit 10 beschrieben wurde.
  • In einigen Ausführungsformen hat der Photovernetzer eine chemische Formel, die oben in Verbindung mit den 11A - 11B beschrieben wurde.
  • Es versteht sich, dass zusätzliche Herstellungsverfahren vor, während oder nach den Schritten 810 - 820 von 15 durchgeführt werden können. Zum Beispiel kann das Verfahren 800 Halbleiterherstellungsverfahren wie Ionenimplantation, Abscheidung, Ätzen usw. umfassen. Diese weiteren Verfahren werden hierin aus Gründen der Einfachheit nicht im Detail beschrieben.
  • Basierend auf der obigen Beschreibung wird ersichtlich, dass die vorliegende Offenbarung verschiedene Vorteile in der EUV-Lithographie bietet. Es versteht sich jedoch, dass nicht alle Vorteile hierin notwendigerweise beschrieben werden und andere Ausführungsformen andere Vorteile bieten können und dass kein besonderer Vorteil für alle Ausführungsformen erforderlich ist. Einer der Vorteile ist eine bessere Alterungsleistung des metallischen EUV-Photoresists. Durch Zugabe eines oder mehrerer der oben beschriebenen Additive wird das Problem der Trennung der Liganden von der Kernstruktur wesentlich gemildert. Als ein Ergebnis kann der metallische EUV-Photoresist (mit den Additiven, die darin zugegeben sind) eine signifikant längere Lagerbeständigkeit (z. B. um 1 Monat oder mehr) im Vergleich zu einem herkömmlichen metallischen EUV-Photoresist aufweisen, dem die Additive nicht hinzugefügt sind. Ein weiterer Vorteil ist eine bessere CD-Steuerung. Durch Hinzufügen von einem oder mehreren der oben beschriebenen Additive kann die CD-Steuerung des metallischen Photoresists auf eine Abweichung von 10 % eines CD-Targets verengt werden. Ein weiterer Vorteil besteht darin, dass die hierin beschriebenen Verfahren mit dem bestehenden Herstellungsverfahrensfluss kompatibel sind und einfach zu implementieren sind.
  • Die vorliegende Offenbarung sieht ein Material vor. Das Material umfasst: ein metallisches Photoresistmaterial für eine Extrem-Ultraviolett- (EUV) -Lithographie sowie ein Additiv. Das Additiv umfasst: ein Lösungsmittel mit einem Siedepunkt von mehr als etwa 150 Grad Celsius, einen Photosäuregenerator, einen Photobasengenerator, einen Quencher, eine photochemisch zersetzte Base, einen thermischen Säuregenerator oder einen Photovernetzer.
  • Die vorliegende Offenbarung sieht auch ein Verfahren vor. Eine Photoresistschicht wird über einem Wafer ausgebildet. Die Photoresistschicht umfasst ein metallisches Photoresistmaterial und ein oder mehrere Additive. Eine Extrem-Ultraviolett- (EUV) -Lithographie wird unter Verwendung der Photoresistschicht durchgeführt. Das eine oder die mehreren Additive umfassen: ein Lösungsmittel mit einem Siedepunkt von mehr als etwa 150 Grad Celsius, einen Photosäuregenerator, einen Photobasengenerator, einen Quencher, eine photochemisch zersetzte Base, einen thermischen Säuregenerator oder einen Photovernetzer.
  • Die vorliegende Offenbarung sieht ferner ein Verfahren vor. Ein Photoresist wird erhalten, der Metall umfasst. Ein oder mehrere Additive werden dem Photoresist hinzugefügt. Das eine oder die mehreren Additive umfassen: ein Lösungsmittel mit einem Siedepunkt von mehr als etwa 150 Grad Celsius, einen Photosäuregenerator, einen Photobasengenerator, einen Quencher, eine photochemisch zersetzte Base, einen thermischen Säuregenerator oder einen Photovernetzer.
  • Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um weitere Verfahren und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Material, umfassend: ein metallisches Photoresistmaterial für eine Extrem-Ultraviolett- (EUV) - Lithographie; und ein Additiv; wobei das Additiv umfasst: ein Lösungsmittel mit einem Siedepunkt von mehr als etwa 150 Grad Celsius, einen Photosäuregenerator, einen Photobasengenerator, einen Quencher, eine photochemisch zersetzte Base, einen thermischen Säuregenerator oder einen Photovernetzer.
  2. Material nach Anspruch 1, wobei das Lösungsmittel eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0031
    Figure DE102018114910A1_0032
    Figure DE102018114910A1_0033
    Figure DE102018114910A1_0034
  3. Material nach Anspruch 1 oder 2, wobei der Photosäuregenerator eine Kationenkomponente und eine Anionenkomponente umfasst, wobei die Kationenkomponente eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0035
    und wobei die Anionenkomponente eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus: C4F9SO3 -
    Figure DE102018114910A1_0036
    C6F13SO3 -
    Figure DE102018114910A1_0037
    Figure DE102018114910A1_0038
    Figure DE102018114910A1_0039
  4. Material nach einem der vorhergehenden Ansprüche, wobei der Photobasengenerator eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0040
    Figure DE102018114910A1_0041
    Figure DE102018114910A1_0042
    Figure DE102018114910A1_0043
    Figure DE102018114910A1_0044
    Figure DE102018114910A1_0045
  5. Material nach einem der vorhergehenden Ansprüche, wobei der Quencher eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0046
    Figure DE102018114910A1_0047
    Figure DE102018114910A1_0048
  6. Material nach einem der vorhergehenden Ansprüche, wobei die photochemisch zersetzte Base eine Kationenkomponente und eine Anionenkomponente umfasst, wobei die Kationenkomponente eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0049
    und wobei die Anionenkomponente eine Chemikalie aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0050
    Figure DE102018114910A1_0051
    Figure DE102018114910A1_0052
  7. Material nach einem der vorhergehenden Ansprüche, wobei der thermische Säuregenerator eine Chemikalie aufweist, die ausgewählt ist aus der Gruppe bestehend aus: NH4 +C4F9SO3 -
    Figure DE102018114910A1_0053
    NH4 +CF3SO3 -
    Figure DE102018114910A1_0054
    Figure DE102018114910A1_0055
    Figure DE102018114910A1_0056
  8. Material nach einem der vorhergehenden Ansprüche, wobei der Photovernetzer eine Chemikalie aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0057
  9. Verfahren, umfassend: Ausbilden einer Photoresistschicht über einem Wafer, wobei die Photoresistschicht ein metallisches Photoresistmaterial und ein oder mehrere Additive umfasst; und Durchführen eines Extrem-Ultraviolett- (EUV) -Lithographieverfahrens unter Verwendung der Photoresistschicht; wobei das eine oder die mehreren Additive umfassen: ein Lösungsmittel mit einem Siedepunkt von mehr als etwa 150 Grad Celsius, einen Photosäuregenerator, einen Photobasengenerator, einen Quencher, eine photochemisch zersetzte Base, einen thermischen Säuregenerator oder einen Photovernetzer.
  10. Verfahren nach Anspruch 9, wobei das Lösungsmittel eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0058
    Figure DE102018114910A1_0059
    Figure DE102018114910A1_0060
    Figure DE102018114910A1_0061
  11. Verfahren nach Anspruch 9 oder 10, wobei der Photosäuregenerator eine Kationenkomponente und eine Anionenkomponente umfasst, wobei die Kationenkomponente eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0062
    und wobei die Anionenkomponente eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus: C4F9SO3 -
    Figure DE102018114910A1_0063
    C6F13SO3 -
    Figure DE102018114910A1_0064
    Figure DE102018114910A1_0065
    Figure DE102018114910A1_0066
  12. Verfahren nach einem der Ansprüche 9 bis 11, wobei der Photobasengenerator eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0067
    Figure DE102018114910A1_0068
    Figure DE102018114910A1_0069
    Figure DE102018114910A1_0070
    Figure DE102018114910A1_0071
    Figure DE102018114910A1_0072
  13. Verfahren nach den vorhergehenden Ansprüchen 9 bis 12, wobei der Quencher eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0073
    Figure DE102018114910A1_0074
    Figure DE102018114910A1_0075
  14. Verfahren nach einem der Ansprüche 9 bis 13, wobei die photochemisch zersetzte Base eine Kationenkomponente und eine Anionenkomponente umfasst, wobei die Kationenkomponente eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0076
    und wobei die Anionenkomponente eine Chemikalie aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0077
    Figure DE102018114910A1_0078
    Figure DE102018114910A1_0079
  15. Verfahren nach einem der Ansprüche 9 bis 14, wobei der thermische Säuregenerator eine Chemikalie aufweist, die ausgewählt ist aus der Gruppe bestehend aus: NH4 +C4F9SO3 -
    Figure DE102018114910A1_0080
    NH4 +CF3SO3 -
    Figure DE102018114910A1_0081
    Figure DE102018114910A1_0082
    Figure DE102018114910A1_0083
  16. Verfahren nach einem der Ansprüche 9 bis 15, wobei der Photovernetzer eine Chemikalie aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0084
  17. Verfahren, umfassend: Erhalten eines Photoresist, der Metall umfasst; und Hinzufügen eines oder mehrerer Additive zu dem Photoresist; wobei das eine oder die mehreren Additive umfassen: ein Lösungsmittel mit einem Siedepunkt von mehr als etwa 150 Grad Celsius, einen Photosäuregenerator, einen Photobasengenerator, einen Quencher, eine photochemisch zersetzte Base, einen thermischen Säuregenerator oder einen Photovernetzer.
  18. Verfahren nach Anspruch 17, ferner umfassend: Durchführen eines Extrem-Ultraviolett- (EUV) -Lithographieverfahrens unter Verwendung des Photoresist, nachdem das eine oder die mehreren Additive zu dem Photoresist hinzugefügt worden sind.
  19. Verfahren nach Anspruch 17 oder 18, wobei der Photoresist eine Struktur aufweist, die eine Kerngruppe aufweist, die von mehreren Liganden umgeben ist, und wobei sich mindestens einige der Liganden als Ergebnis von Altern von der Kerngruppe trennen.
  20. Verfahren nach einem der Ansprüche 17 bis 19, wobei das Lösungsmittel eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0085
    Figure DE102018114910A1_0086
    Figure DE102018114910A1_0087
    Figure DE102018114910A1_0088
    wobei der Photosäuregenerator eine Kationenkomponente und eine Anionenkomponente umfasst, wobei die Kationenkomponente eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0089
    wobei die Anionenkomponente eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus: C4F9SO3 -
    Figure DE102018114910A1_0090
    C6F13SO3 -
    Figure DE102018114910A1_0091
    Figure DE102018114910A1_0092
    Figure DE102018114910A1_0093
    wobei der Photobasengenerator eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0094
    Figure DE102018114910A1_0095
    Figure DE102018114910A1_0096
    Figure DE102018114910A1_0097
    Figure DE102018114910A1_0098
    Figure DE102018114910A1_0099
    wobei der Quencher eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0100
    Figure DE102018114910A1_0101
    Figure DE102018114910A1_0102
    wobei die photochemisch zersetzte Base eine Kationenkomponente und eine Anionenkomponente umfasst, wobei die Kationenkomponente eine chemische Formel aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0103
    und wobei die Anionenkomponente eine Chemikalie aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0104
    Figure DE102018114910A1_0105
    Figure DE102018114910A1_0106
    wobei der thermische Säuregenerator eine Chemikalie aufweist, die ausgewählt ist aus der Gruppe bestehend aus: NH4 +C4F9SO3 -
    Figure DE102018114910A1_0107
    NH4 +CF3SO3 -
    Figure DE102018114910A1_0108
    Figure DE102018114910A1_0109
    Figure DE102018114910A1_0110
    und wobei der Photovernetzer eine Chemikalie aufweist, die ausgewählt ist aus der Gruppe bestehend aus:
    Figure DE102018114910A1_0111
DE102018114910.7A 2018-06-15 2018-06-21 Leistungssteigerung von metallischem EUV-Resist durch Additive und entsprechende Verfahren Active DE102018114910B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/009,795 US11054742B2 (en) 2018-06-15 2018-06-15 EUV metallic resist performance enhancement via additives
US16/009,795 2018-06-15

Publications (2)

Publication Number Publication Date
DE102018114910A1 true DE102018114910A1 (de) 2019-12-19
DE102018114910B4 DE102018114910B4 (de) 2023-01-12

Family

ID=68724508

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018114910.7A Active DE102018114910B4 (de) 2018-06-15 2018-06-21 Leistungssteigerung von metallischem EUV-Resist durch Additive und entsprechende Verfahren

Country Status (5)

Country Link
US (3) US11054742B2 (de)
KR (1) KR102396016B1 (de)
CN (1) CN110609442B (de)
DE (1) DE102018114910B4 (de)
TW (1) TWI701505B (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11054742B2 (en) * 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
KR102628581B1 (ko) * 2020-08-07 2024-01-25 성균관대학교산학협력단 포토레지스트 조성물 및 포토리소그래피 공정
KR102446355B1 (ko) * 2022-02-04 2022-09-22 성균관대학교산학협력단 포토레지스트 조성물
WO2024039626A1 (en) * 2022-08-17 2024-02-22 Inpria Corporation Additives for metal oxide photoresists, positive tone development with additives, and double bake double develop processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130029270A1 (en) * 2011-07-25 2013-01-31 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
WO2016172737A1 (en) * 2015-04-22 2016-10-27 Robinson Alex Phillip Graham Sensitivity enhanced photoresists
US20170029962A1 (en) * 2015-08-01 2017-02-02 Indian Institute Of Science Education And Research , Thiruvananthapuram (Iiser-Tvm) Method for the synthesis of layered luminescent transition metal dichalcogenide quantum dots
US20180040474A1 (en) * 2016-08-05 2018-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100481601B1 (ko) 1999-09-21 2005-04-08 주식회사 하이닉스반도체 광산 발생제와 함께 광염기 발생제를 포함하는 포토레지스트 조성물
KR100855168B1 (ko) * 2001-10-22 2008-08-29 다이요 잉키 세이조 가부시키가이샤 광 경화성·열 경화성 수지 조성물
US6788477B2 (en) 2002-10-22 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for method for immersion lithography
US7394155B2 (en) 2004-11-04 2008-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Top and sidewall bridged interconnect structure and method
US7927779B2 (en) 2005-06-30 2011-04-19 Taiwan Semiconductor Manufacturing Companym, Ltd. Water mark defect prevention for immersion lithography
US8383322B2 (en) 2005-08-05 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography watermark reduction
US7993808B2 (en) 2005-09-30 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. TARC material for immersion watermark reduction
US8564759B2 (en) 2006-06-29 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for immersion lithography
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8208116B2 (en) 2006-11-03 2012-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography system using a sealed wafer bath
US8253922B2 (en) 2006-11-03 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography system using a sealed wafer bath
US8068208B2 (en) 2006-12-01 2011-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improving immersion scanner overlay performance
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
JP5546734B2 (ja) * 2007-03-29 2014-07-09 東京応化工業株式会社 着色感光性樹脂組成物、ブラックマトリックス、カラーフィルター、及び液晶表示ディスプレイ
US8264662B2 (en) 2007-06-18 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. In-line particle detection for immersion lithography
US8003281B2 (en) 2008-08-22 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid multi-layer mask
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
US20100136477A1 (en) 2008-12-01 2010-06-03 Ng Edward W Photosensitive Composition
CN102232065B (zh) * 2008-12-02 2014-11-05 和光纯药工业株式会社 光产碱剂
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
JP5601884B2 (ja) * 2009-06-04 2014-10-08 富士フイルム株式会社 感活性光線または感放射線性樹脂組成物を用いたパターン形成方法及びパターン
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8841058B2 (en) 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US9632426B2 (en) 2011-01-18 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ immersion hood cleaning
US8464186B2 (en) 2011-01-21 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Providing electron beam proximity effect correction by simulating write operations of polygonal shapes
US8507159B2 (en) 2011-03-16 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Electron beam data storage system and method for high volume manufacturing
US8524427B2 (en) 2011-04-14 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Electron beam lithography system and method for improving throughput
US8621406B2 (en) 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9201022B2 (en) 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8601407B2 (en) 2011-08-25 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Geometric pattern data quality verification for maskless lithography
US8473877B2 (en) 2011-09-06 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Striping methodology for maskless lithography
KR101936435B1 (ko) 2011-09-22 2019-01-08 도오꾜오까고오교 가부시끼가이샤 레지스트 조성물, 레지스트 패턴 형성 방법
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8736084B2 (en) 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8732626B2 (en) 2012-01-05 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of circuit layout for multiple cells
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US9097978B2 (en) 2012-02-03 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus to characterize photolithography lens quality
US8530121B2 (en) 2012-02-08 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-grid exposure method
US8822106B2 (en) 2012-04-13 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Grid refinement method
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8589828B2 (en) 2012-02-17 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Reduce mask overlay error by removing film deposited on blank of mask
US8572520B2 (en) 2012-03-01 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Optical proximity correction for mask repair
US8584057B2 (en) 2012-03-01 2013-11-12 Taiwan Semiconductor Manufacturing Copmany, Ltd. Non-directional dithering methods
US8510687B1 (en) 2012-03-01 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Error diffusion and grid shift in lithography
US8589830B2 (en) 2012-03-07 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for enhanced optical proximity correction
US8527916B1 (en) 2012-03-14 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dissection splitting with optical proximity correction to reduce corner rounding
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9091930B2 (en) 2012-04-02 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced EUV lithography system
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8627241B2 (en) 2012-04-16 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern correction with location effect
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8677511B2 (en) 2012-05-02 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for charged particle lithography system
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US8631361B2 (en) 2012-05-29 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design method with dynamic target point
US8609308B1 (en) 2012-05-31 2013-12-17 Taiwan Semicondcutor Manufacturing Company, Ltd. Smart subfield method for E-beam lithography
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
US8563224B1 (en) 2012-06-04 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Data process for E-beam lithography
US8468473B1 (en) 2012-06-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for high volume e-beam lithography
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
US8751976B2 (en) 2012-06-27 2014-06-10 Cheng-Lung Tsai Pattern recognition for integrated circuit design
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US8745550B2 (en) 2012-07-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fracture aware OPC
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US8835082B2 (en) 2012-07-31 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for E-beam lithography with multi-exposure
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8739080B1 (en) 2012-10-04 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mask error enhancement factor (MEEF) aware mask rule check (MRC)
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US9158209B2 (en) 2012-10-19 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of overlay prediction
US20140123084A1 (en) 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Improving a Lithography Simulation Model
US20140119638A1 (en) 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System, method and computer program product to evaluate a semiconductor wafer fabrication process
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9128384B2 (en) 2012-11-09 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a pattern
US8812999B2 (en) 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US8799834B1 (en) 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
US20140226893A1 (en) 2013-02-11 2014-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System for Image-Based Defect Alignment
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9690212B2 (en) 2013-03-11 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid focus-exposure matrix
US10274839B2 (en) 2013-03-11 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Two-dimensional marks
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8984450B2 (en) 2013-03-14 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for extracting systematic defects
US9053279B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern modification with a preferred position function
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8716841B1 (en) 2013-03-14 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask and process
US9054159B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a feature of a semiconductor device
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US20150234272A1 (en) 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
WO2016035555A1 (ja) * 2014-09-02 2016-03-10 富士フイルム株式会社 非化学増幅型レジスト組成物、非化学増幅型レジスト膜、パターン形成方法、及び、電子デバイスの製造方法
CN106796405B (zh) * 2014-09-30 2020-10-09 富士胶片株式会社 抗蚀剂膜的图案化用有机系处理液的制造方法
JP6467054B2 (ja) * 2015-07-29 2019-02-06 富士フイルム株式会社 感活性光線性又は感放射線性組成物、並びに、この組成物を用いた感活性光線性又は感放射線性組成物膜
US9696624B2 (en) * 2015-07-29 2017-07-04 Rohm And Haas Electronic Materials Llc Nanoparticle-polymer resists
US20170059989A1 (en) * 2015-08-24 2017-03-02 A School Corporation Kansai University Polymer compound, radiation sensitive composition and pattern forming method
US9983474B2 (en) * 2015-09-11 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist having sensitizer bonded to acid generator
EP3391148B1 (de) * 2015-10-13 2021-09-15 Inpria Corporation Organotinoxidhydroxidstrukturierungszusammensetzungen, vorläufer und strukturierung
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
JP6651965B2 (ja) * 2016-04-14 2020-02-19 信越化学工業株式会社 単量体、高分子化合物、レジスト組成物及びパターン形成方法
US11054742B2 (en) * 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130029270A1 (en) * 2011-07-25 2013-01-31 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
WO2016172737A1 (en) * 2015-04-22 2016-10-27 Robinson Alex Phillip Graham Sensitivity enhanced photoresists
US20170029962A1 (en) * 2015-08-01 2017-02-02 Indian Institute Of Science Education And Research , Thiruvananthapuram (Iiser-Tvm) Method for the synthesis of layered luminescent transition metal dichalcogenide quantum dots
US20180040474A1 (en) * 2016-08-05 2018-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography

Also Published As

Publication number Publication date
CN110609442B (zh) 2022-10-28
US20210325782A1 (en) 2021-10-21
US20190384173A1 (en) 2019-12-19
US20230375924A1 (en) 2023-11-23
KR20190142242A (ko) 2019-12-26
TWI701505B (zh) 2020-08-11
US11054742B2 (en) 2021-07-06
TW202001421A (zh) 2020-01-01
DE102018114910B4 (de) 2023-01-12
CN110609442A (zh) 2019-12-24
KR102396016B1 (ko) 2022-05-11

Similar Documents

Publication Publication Date Title
DE102018114910B4 (de) Leistungssteigerung von metallischem EUV-Resist durch Additive und entsprechende Verfahren
DE112013000700B4 (de) Metall-Peroxo-Verbindungen mit organischen Koliganden für Elektronenstrahl-, Tief-UV- und Extrem-UV-Fotolackanwendungen
DE102013104390B4 (de) Verfahren für die Herstellung einer Lithografiemaske
DE102015106624B4 (de) Verfahren zum Verringern einer Defekt-Druckbarkeit für eine 1D-Struktur
DE60202230T2 (de) Naheffektkorrektur mittels nicht aufgelöster Hilfsstrukturen in Form von Leiterstäben
DE102017122398B4 (de) Verfahren zur feuchtesteuerung bei der euv-lithografie und halbleiter-fertigungsanlage
DE102015110459A1 (de) Euv-maske und herstellungsverfahren mit deren verwendung
DE112015001717T5 (de) Maskenrohling, Phasenverschiebungsmaske und Verfahren zur Herstellung derselben
DE4430253A1 (de) Raumfilter für ein verkleinerndes Musterprojektionsgerät
DE102006004230B4 (de) Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
DE102015108569A1 (de) Reflektierende fotomaske und reflexionstyp-maskenrohling
DE102015112858B4 (de) Maske mit Mehrschichtstruktur und Herstellungsverfahren unter Verwendung einer solchen
DE112005002469T5 (de) Festphasenimmersionslinsenlithographie
EP0176871A2 (de) Verfahren zur Herstellung eines Photoresists
DE10252051A1 (de) Fotomaske für eine Außerachsen-Beleuchtung und Verfahren zur Herstellung derselben
DE3337315A1 (de) Zweifach-lichtempfindliche zusammensetzungen und verfahren zur erzeugung bildmustergemaesser photoresistschichten
DE10195745T5 (de) Eine neue chromfreie Wechselmaske zur Produktion von Halbleiter-Bauelement Features
DE102020131427A1 (de) Photoresistzusammensetzung und Herstellungsverfahren von Photoresiststruktur
DE102015109358A1 (de) Verfahren und vorrichtung zum belichten einer struktur auf einem substrat
DE102022109191A1 (de) Zwischengitterartiger absorber für extrem-ultraviolettmaske
DE102021101198A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102019133965A1 (de) Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit
DE102018117690A1 (de) Multi-Funktions-Überlagerungsmarken zum Reduzieren von Rauschen und Extrahieren von Informationen über Fokus und kritische Abmessung
DE10305617A1 (de) Maske und Verfahren zum Strukturieren eines Halbleiterwafers
DE102018127447B4 (de) Anti-Reflexionsbeschichtung durch Ionenimplantation für lithographische Strukturierung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final