CN108807150B - 半导体装置的制作方法 - Google Patents

半导体装置的制作方法 Download PDF

Info

Publication number
CN108807150B
CN108807150B CN201711248892.7A CN201711248892A CN108807150B CN 108807150 B CN108807150 B CN 108807150B CN 201711248892 A CN201711248892 A CN 201711248892A CN 108807150 B CN108807150 B CN 108807150B
Authority
CN
China
Prior art keywords
layer
photoresist
photoresist layer
metal
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711248892.7A
Other languages
English (en)
Other versions
CN108807150A (zh
Inventor
訾安仁
郑雅如
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108807150A publication Critical patent/CN108807150A/zh
Application granted granted Critical
Publication of CN108807150B publication Critical patent/CN108807150B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/06Hydrocarbons
    • C08F12/08Styrene
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/025Non-macromolecular photopolymerisable compounds having carbon-to-carbon triple bonds, e.g. acetylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/029Inorganic compounds; Onium compounds; Organic compounds having hetero atoms other than oxygen, nitrogen or sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/032Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders
    • G03F7/033Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders the binders being polymers obtained by reactions only involving carbon-to-carbon unsaturated bonds, e.g. vinyl polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/01Use of inorganic substances as compounding ingredients characterized by their specific function
    • C08K3/011Crosslinking or vulcanising agents, e.g. accelerators
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • C08K5/0025Crosslinking or vulcanising agents; including accelerators

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本公开实施例提供的材料组成与应用其的方法,包括提供基板并形成光致抗蚀剂层于基板上。在多种实施例中,光致抗蚀剂层包括具有自由基产生剂、有机核心、与有机溶剂的金属络合物。举例来说,有机核心包括至少一交联点位。在一些实施例中,对光致抗蚀剂层进行曝光工艺。在曝光工艺后,显影曝光的光致抗蚀剂层以形成图案化光致抗蚀剂层。

Description

半导体装置的制作方法
技术领域
本公开实施例涉及制作半导体装置的方法,更具体涉及极紫外线光刻中的光致抗蚀剂材料组成和/或络合物以及采用其的方法。
背景技术
电子产业对较小与较快的电子装置的需求增加,且电子装置同时提供大量的复杂功能。综上所述,半导体产业的持续趋势为制作低成本、高效能、与低能耗的集成电路。通过缩小半导体的集成电路尺寸(如最小结构尺寸)可达这些远程目标,进而改良产能与降低相关成本。然而缩小尺寸也会增加集成电路工艺的复杂性。为了实现半导体集成电路与装置单元的持续进展,需要在半导体工艺与技术上具有类似进展。
一般而言,半导体集成电路的最小结构尺寸,为用于光刻工艺中的射线源波长、光致抗蚀剂组成、光致抗蚀剂选择性、与其他参数的函数。在半导体光刻的进展中,射线源波长缩短且较弱,因此光致抗蚀剂设计为尽可能有效地利用射线源。在一例中,导入化学放大光致抗蚀剂组成,以增加光致抗蚀剂对曝光光源的敏感度。然而,化学放大光致抗蚀剂系统面临难以克服的限制,比如薄膜中的低光子吸收度、中等的蚀刻选择性、以及有限的分辨率增益。此外,对具有高分辨率、低线宽粗糙度、与高敏感度等特性的光致抗蚀剂需求,远大于化学放大光致抗蚀剂系统所能提供。如此一来,化学放大光致抗蚀剂本身在半导体技术的持续进展中,无法满足新世代的光刻需求。
如此一来,现有技术无法完全满足所有方面。
发明内容
本公开一实施例提供的半导体装置的制作方法,包括:提供基板;形成光致抗蚀剂层于基板上,其中光致抗蚀剂层包括具有自由基产生剂、有机核心、以及有机溶剂的金属络合物,且其中有机核心包括至少一交联点位;对光致抗蚀剂层进行曝光工艺;以及在曝光工艺后,显影曝光后的光致抗蚀剂层以形成图案化光致抗蚀剂层。
附图说明
图1是多种实施例中,图案化基板的方法其流程图。
图2A、图2B、图2C、图2D、与图2E是依据图1的方法制作的半导体结构于多种工艺阶段中的剖视图。
图3是一些实施例中的金属络合物。
图4是一些实施例中,包含金属核心、自由基产生剂、与交联点点位的金属络合物。
图5是一些实施例中,包含耦接至聚合物的金属核心与自由基产生剂之金属络合物。
图6是一些实施例中,包含耦接至聚合物的金属氧化物簇的金属络合物。
图7A、图7B、图7C、图7D、图7E、图7F、图7G、图7H、图7I、图7J、图7K、与图7L是一些实施例中,可作为部分的金属敏化剂的多种分子结构。
图8A、图8B、图8C、图8D、图8E、与图8F是一些实施例中,可作为具有交联点位的部分有机核心的多种分子结构。
图9是一些实施例中,可作为金属核心和/或金属络合物的额外结构。
图10A与图10B分别为一些实施例中,采用公知光致抗蚀剂与此处所述的金属光致抗蚀剂形成的图案。
附图标记说明:
100:方法
102、104、106、108、110:步骤
200:半导体结构
202:基板
204:下方层
204’:图案化下方层
206:光致抗蚀剂层
206’:图案化光致抗蚀剂层
206a:未曝光部分
206b:曝光部分
具体实施方式
下述内容提供的不同实施例或实例可实施本公开的不同结构。特定构件与排列的实施例用以简化本公开而非局限本公开。举例来说,形成第一结构于第二结构上的叙述包含两者直接接触,或两者之间隔有其他额外结构而非直接接触。此外,本公开的多种例子中可重复标号,但这些重复仅用以简化与清楚说明,不代表不同实施例和/或设置之间具有相同标号的单元之间具有相同的对应关系。
此外,空间性的相对用语如“下方”、“其下”、“较下方”、“上方”、“较上方”或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件也可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
在一些例子中,下述实施例也可用于处理深紫外线与电子束光刻。此外,多种实施例可用于增加光致抗蚀剂敏感度(比如光致抗蚀剂对深紫外线、紫外线、或电子束等射线源的敏感度)。一般而言,光刻图案化包含以光致抗蚀剂膜涂布基板,以射线源如深紫外线、紫外线、或电子束等射线源曝光光致抗蚀剂膜,以及在显影剂(化学溶液)中显影曝光后的光致抗蚀剂。显影剂可移除部分的曝光光致抗蚀剂(比如正型光致抗蚀剂的曝光部分或负型光致抗蚀剂的未曝光部分),以形成图案化光致抗蚀剂层。接着可在后续蚀刻工艺中,采用图案化光致抗蚀剂层作为蚀刻掩模,以将图案化光致抗蚀剂层的图案转移至下方材料层。在另一实施例中,在对下方层如外延的半导体层进行后续离子注入的工艺中,采用光致抗蚀剂图案作为离子注入掩模。
一般而言,半导体集成电路的最小结构尺寸,为用于光刻工艺中的射线源波长、光致抗蚀剂组成、光致抗蚀剂选择性、与其他参数的函数。在半导体光刻的进展中,射线源波长缩短为用于深紫外线光刻的248nm(KrF激光)至193nm(ArF激光),以及用于极紫外线光刻的13.5nm。用以产生这些光波长射线源(光源)相对较弱,因此光致抗蚀剂设计为尽可能有效的利用光源。采用公知的化学放大光致抗蚀剂可达部分目标,且化学放大效果可增加光致抗蚀剂对曝光光源的敏感度。目前大部分的半导体公司采用化学放大光致抗蚀剂以用于大量生产。化学放大光致抗蚀剂已用于248nm(比如KrF激光)与193nm(比如ArF激光)的深紫外线光刻,以及13.5nm的极紫外线光刻,但对于高分辨率、低线宽粗糙度、与高敏感度等特性的光致抗蚀剂需求,则远大于化学放大光致抗蚀剂系统所能提供。如此一来,现有方法无法适当地满足新世代的光刻需求。一般而言,化学放大光致抗蚀剂系统已面临难以克服的限制,比如薄膜的低光子吸收、中等的蚀刻选择性、以及有限的分辨率增益。举例来说,在极紫外线的化学放大光致抗蚀剂中,其组成不含高极紫外线吸收单元。如此一来,极紫外线的化学放大光致抗蚀剂的敏感度低。即使有改良光致抗蚀剂敏感度的方法,线宽粗糙度与分辨率仍面临所谓的分辨率-线宽粗糙度-敏感度的权衡得失,即非常难以同时改善光致抗蚀剂的分辨率、线宽粗糙度、与敏感度。
与现有技术相较,此处所述的多种实施例具有多种优点。应理解的是,上述内容不需说明所有优点,所有实施例不需具有特定优点,且其他实施例可具有不同优点。一般而言,此处所述的实施例以较佳方式改善光致抗蚀剂效能,可更有效地使用光刻光源(如极紫外线)。举例来说,一些实施例提供新颖的金属光致抗蚀剂(如极紫外线光致抗蚀剂),其可用于改善光致抗蚀剂的光吸收(如极紫外线吸收)。在一些实施例中,此处所述的金属光致抗蚀剂至少包含金属自由基产生剂、具有一或多个交联点位的有机核心、以及有机溶剂。在一些例子中,光致抗蚀剂包含金属自由基产生剂,其可为具有自由基产生剂功能的金属簇或单一金属分子。在一些实施例中,金属自由基产生剂与溶剂的重量比例可介于约1%至20%之间。在一些例子中,光致抗蚀剂包含具有至少一交联点位的有机核心,且有机核心与溶剂的重量比例可介于约3%至35%之间。在一些实施例中,光致抗蚀剂可包含可交联点位和/或自由基产生点位中的金属核心。举例来说,金属核心与溶剂之间的重量比例可介于约3%至30%之间。在一些实施例中,此处所述的金属光致抗蚀剂包含有机溶剂如丙二醇甲醚醋酸酯、丙二醇甲醚、1-乙氧基-2-丙醇、γ-丁内酯、环己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺、异丙醇、四氢呋喃、4-甲基-2-戊醇、或其他合适溶剂。在一些实施例中,金属光致抗蚀剂经曝光(比如波长小于100nm的深紫外线或极紫外线曝光)后,金属自由基起始剂可产生自由基以诱发交联反应。举例来说,有机核心因此交联而不溶,而光致抗蚀剂的未曝光部分可溶于显影剂中。在一些实施例中,此处所述的金属光致抗蚀剂可用于图案间距小于约40nm的工艺中。在一些例子中,此处所述的光致抗蚀剂其线宽粗糙度与曝光能量可分别为小于约5nm与小于约40mJ。
值得注意的是,本公开的材料组成、金属络合物、与方法并不限于特定的基板种类、光掩模种类、光致抗蚀剂种类、射线源(如射线波长)、和/或光刻系统种类。举例来说,材料组成、金属络合物、与方法可用于图案化多种基板材料上的结构和/或装置,且基板可为硅、锗、碳化硅、硅锗、钻石、半导体化合物、半导体合金、视情况可包含一或多个外延层的基板、可具有应力以增进效能的基板、可包含绝缘层上硅结构的基板、和/或具有其他合适的增进结构的基板。本公开实施例也可用于采用反射式光掩模(如用于极紫外线光刻)、穿透式光掩模、二元强度光掩模、相移光掩模、或本领域普通技术人员中已知的其他光掩模的工艺。在一些例子中,此处所述的实施例可用的工艺采用多种光致抗蚀剂,比如聚甲基丙烯酸甲酯、SU-8、极紫外线光致抗蚀剂、正型光致抗蚀剂、负型光致抗蚀剂、或本领域普通技术人员中已知的其他光致抗蚀剂。此外,本公开实施例可用于多种光刻系统/对准机台,比如接触对准机台、邻近对准机台、投影对准机台、或极紫外线光刻系统。如此一来,本公开实施例可进一步用于采用任何种类的射线源(射线波长)的系统,且射线源可为紫外线、深紫外线、极紫外线、或本领域普通技术人员中已知的其他射线源。
如图1所示,是多种实施例中用以图案化基板(如半导体晶片)的方法100其流程图。部分或全部的方法100可由下述系统实施,其采用进阶光刻工艺如深紫外线光刻、极紫外线光刻、电子束光刻、X光光刻、和/或其他光刻工艺,以改善图案尺寸的准确性。在此实施例中,极紫外线和/或电子束光刻为主要例子。应理解的是,在方法100之前、之中、或之后也可进行额外步骤,且方法的其他实施例可置换、省略、或调换一些步骤。应注意方法100仅用以举例,而非局限本公开至权利要求书未实际限缩的范围。方法100将搭配图2A、图2B、图2C、图2D、与图2E进一步说明。
特别的是,图2A、图2B、图2C、图2D、与图2E是一些实施例中,半导体结构200于多种工艺阶段中的剖视图。方法100将搭配图2A、图2B、图2C、图2D、与图2E说明如下,而方法100的实施例可用以制作半导体结构200。半导体结构200可为制作IC时的中间结构或其部分,其可包含逻辑电路、存储结构、被动构件(如电阻、电容、或电感)、或主动构件(如二极管、场效晶体管、金属氧化物半导体场效晶体管、互补式金属氧化物半导体晶体管、双极晶体管、高电压晶体管、高频晶体管、鳍状场效晶体管、其他三维的晶体管、金属氧化物半导体场效晶体管、互补式金属氧化物半导体晶体管、双极晶体管、高电压晶体管、高频晶体管、或鳍状场效晶体管)、其他存储器、或上述的组合。
方法100的步骤102提供基板。在图2A的例子中,步骤102的实施例之一为半导体结构200的剖视图,其包含基板202。在一些实施例中,基板202可包含半导体基板如前述,且基板202可包含多种层状物如导电层或绝缘层形成于基板202上、有机层、和/或多种增进结构如前述。基板202也可包含多种掺杂设置,可视本领域普通技术人员已知的设计需求而定。
在一些实施例中,基板202包含之后进行工艺(如图案化或注入)的下方层204(或材料层)。举例来说,下方层204可包含之后图案化的硬掩模层。在一些例子中,下方层204可包含之后离子注入的外延的半导体层。然而在一些实施例中,基板202可不包含下方层,而下方层204可视情况形成于基板202上。在一实施例中,下方层204可包含硬掩模层,其材料可为氧化硅、氮化硅、氮氧化硅、氮化钛、或其他合适的材料或组成。在一些实施例中,下方层204可包含抗反射涂层如无氮抗反射涂层,其材料可为氧化硅、碳氧化硅、或等离子体增强化学气相沉积的氧化硅。在多种实施例中,下方层204可包含高介电常数的介电层、栅极层、硬掩模层、界面层、盖层、扩散阻挡层、介电层、导电层、其他合适的层状物、和/或上述的组合。
在一些实施例中,半导体结构200可改为用于图案化半导体晶片的光掩模。如此一来,一些实施例中的基板202可包含光掩模基板,其可为透明材料(如石英)或低热膨胀材料(如氧化硅-氧化钛化合物)。光掩模基板也可包含后续图案化的材料层。在此例中,基板202可包含光掩模基板,其可用于制作深紫外线光掩模、极紫外线光掩模、或其他种类的光掩模。综上所述,一些实施例中的下方层204可包含材料层,其经图案化后可定义电路图案。举例来说,下方层204可包含吸光层如铬层。
方法100的步骤104形成光致抗蚀剂层于基板202上,或视情况位于基板202上的下方层204(图2A)上。在多种例子中,光致抗蚀剂层206包含金属光致抗蚀剂。光致抗蚀剂层206对用于光刻曝光工艺中的射线敏感,且可抵抗蚀刻工艺或离子注入工艺。与至少一些现有光致抗蚀剂组成相较(如下详述),金属的光致抗蚀剂层206可改良光致抗蚀剂(如极紫外线光致抗蚀剂)对深紫外线或极紫外线的吸收。在一些实施例中,光致抗蚀剂层206的形成方法为旋转涂布工艺。在一些例子中,在形成光致抗蚀剂层206之前,先形成粘着层如六甲基二硅氮烷层于基板202(或视情况位于基板202上的下方层204)上。在一些实施例中,在形成光致抗蚀剂层206之后以及进行曝光工艺之前,可进行预烘烤工艺以蒸发溶剂并使光致抗蚀剂层206致密化。在多种实施例中,光致抗蚀剂层206对多种射线敏感,比如深紫外线(如KrF激光的248nm射线或者ArF激光的193nm射线)、极紫外线(如13.5nm射线)、电子束、或离子束。为说明此例子,光致抗蚀剂层206对极紫外线敏感。光致抗蚀剂层206的多种实施例将搭配图3至图9详述如下。在一些例子中,极紫外线曝光后的光致抗蚀剂层206可溶于正型显影剂或负型显影剂中。
方法100的步骤106将图案曝光至涂布光致抗蚀剂层的基板上。如图2B所示的半导体结构200的剖视图,在步骤106的实施例之一中,可经由中间光掩模曝光光致抗蚀剂层206(见图2A),且曝光工艺采用光刻成像系统。在一些实施例中,可采用极紫外线(如13.5nm)曝光光致抗蚀剂层206。在其他实施例中,可采用深紫外线(如248nm的KrF准分子激光或193nm的ArF准分子激光)、X光、电子束、离子束、和/或其他合适的射线源曝光光致抗蚀剂层206。在多种例子中,曝光光致抗蚀剂层的步骤可于空气、液体(比如浸润式光刻)、或真空(比如极紫外线光刻与电子束光刻)中进行。在一些实施例中,射线束可经由光掩模(如穿透式光掩模或反射式光掩模)图案化,且光掩模可包含分辨率增进结构如相移结构和/或光学邻近修正,并采用离轴照射模式。在一些其他实施例中,以预定图案如集成电路布局直接调整射线束,而不需采用光掩模。举例来说,可采用数位图案产生器或直写模式。在此例中,射线束包含极紫外线,且可在极紫外线光刻系统中进行步骤106的曝光。
在一些实施例中,在步骤106将图案曝光至涂布光致抗蚀剂的基板上之后,可进行烘烤工艺。举例来说,一些实施例在曝光光致抗蚀剂层之后以及进行显影光致抗蚀剂的工艺之前,可进行后烘烤工艺以稳定并硬化曝光后的光致抗蚀剂层。在一些例子中,在步骤106的曝光工艺后将形成潜图案于光致抗蚀剂层206中。举例来说,潜图案指的是光致抗蚀剂层206上的曝光图案,其于显影工艺后将变成物理的光致抗蚀剂图案。在多种实施例中,光致抗蚀剂层的潜图案可包含光致抗蚀剂层的未曝光部分206a与曝光部分206b。在多种实施例中,步骤106的曝光工艺后的光致抗蚀剂层206其曝光部分206b将产生物理变化或化学变化。步骤106的曝光后的光致抗蚀剂层如何变化的细节,将搭配图3至图9说明如下。在一些实施例中,若采用正型光致抗蚀剂,则曝光部分206b将溶解于后续的显影工艺中。在一些例子中,若采用负型光致抗蚀剂,则曝光部分206b将转为不溶,且后续的显影工艺将溶解未曝光部分206a。
方法100的步骤108进行显影工艺,以形成图案化光致抗蚀剂层。如图2B与图2C所示,步骤108的一实施例在形成潜影像(包含未曝光部分206a与曝光部分206b)之后,进行光致抗蚀剂显影工艺以形成图案化光致抗蚀剂层206’。在一些实施例中,光致抗蚀剂显影工艺包含湿式化学显影工艺,如本领域普通技术人员所知。如前所述,若采用负型光致抗蚀剂,则曝光部分206b将转为不溶。因此在图2C中,显影工艺后的半导体结构200采用负型光致抗蚀剂如图示。
方法100的步骤110经由图案化光致抗蚀剂层的开口,对基板进行工艺。举例来说,可采用图案化光致抗蚀剂层206’作为掩模,对半导体结构200进行工艺。如此一来,可对图案化光致抗蚀剂层206’的开口中的部分半导体结构200(比如下方层204的露出区域)进行工艺,而图案化光致抗蚀剂层206’覆盖保护的其他部分的半导体结构则免于工艺。在一些实施例中,步骤110的工艺可包含以图案化光致抗蚀剂层206’作为蚀刻掩模,并施加至下方层204的蚀刻工艺,以将图案自图案化光致抗蚀剂层206’转移至下方层204。在其他实施例中,步骤110的工艺可包含以图案化光致抗蚀剂层206’作为离子注入掩模,并对半导体结构200进行离子注入工艺,其可形成多种掺杂结构于半导体结构200中(比如下方层204中)。
如上所述,此例中的下方层204可包含硬掩模层。在此例中,图案化光致抗蚀剂层206’的图案可先转移至下方层204(如硬掩模)形成图案化下方层204’(图2D),接着再转移至基板202的其他层。举例来说,可采用干(等离子体)蚀刻工艺、湿蚀刻工艺、上述的组合、和/或其他蚀刻方法,经由图案化光致抗蚀剂层206’的开口蚀刻下方层204。举例来说,干蚀刻工艺可包括含氧气体、含氟气体、含氯气体、含溴气体、含碘气体、其他合适气体和/或等离子体、或上述的组合。在一些实施例中,在蚀刻下方层204以形成图案化下方层204’时,可消耗部分或全部的图案化光致抗蚀剂层206’。在一实施例中,可剥除蚀刻工艺后残留的任何图案化光致抗蚀剂层206’,以保留图案化下方层204’于基板202上,如图2E所示。
方法100可包含其他步骤于上述步骤之前、之中、或之后。在一实施例中,基板202为半导体基板,且方法100形成鳍状场效晶体管装置。在此例中,方法100可进一步包含形成多个主动鳍状物于半导体基板202中。此外,此例的步骤110可进一步包含经由图案化下方层204’的开口蚀刻基板202,以形成沟槽于基板202中;将介电材料填入沟槽;进行化学机械研磨工艺,以形成浅沟槽隔离结构;外延成长和/或使浅沟槽隔离结构凹陷,以形成鳍状主动区。在一些实施例中,方法100包含其他步骤以形成多个栅极、栅极间隔物、掺杂的源极/漏极区、用于栅极/源极/漏极结构的接点、及类似物。在一些实施例中,后续工艺可形成多种接点/通孔/线路以及多层内连线结构(比如金属层与层间介电物)于基板上,其设置以连接多种结构以形成功能电路。上述功能电路可包含一或多个装置如一或多个鳍状场效晶体管装置。在此例中,多层内连线结构可包含垂直内连线如通孔或接点,以及水平内连线如金属线路。多种内连线结构可采用多种导电材料如铜、钨、和/或硅化物。在一例中,可采用镶嵌和/或双镶嵌工艺形成铜相关的多层内连线结构。此外,可在方法100之前、之中、或之后进行额外工艺步骤,且方法100的多种实施例可置换或省略上述的一些工艺步骤。
图3至图9是一些实施例中,用于光致抗蚀剂层的金属络合物。在一些例子中,其可称作金属光致抗蚀剂络合物。在多种实施例中,金属光致抗蚀剂络合物可提供极紫外线光致抗蚀剂,比如对射线如极紫外线敏感的光致抗蚀剂。特别要注意的是,极紫外线可催化这些反应。在一些例子中,其他种类的射线如深紫外线、X光、离子束、或其他种类的射线可催化这些反应。在一些实施例中,本公开实施例的光致抗蚀剂可包含金属氧化物纳米粒子、有机和/或无机分子、分子级的有机金属材料、或本领域普通技术人员已知和/或此处所述的其他材料。图3是一实施例中,金属络合物包含多个金属核心(M+)与相关的配位基(L)。在一些例子中的极紫外线曝光后,金属络合物可交联。在本公开一些实施例中,图4显示的金属络合物包含金属核心(M+)、自由基产生剂(R)、交联点位(L)、以及其他核心(C)。其他核心(C)可为有机核心或金属核心。在一些实施例中,此处所述的交联点位具有双键或三键。在一些实施例中的紫外线光曝光后,金属核心(M+)可吸收极紫外线光子,自由基产生剂(R)可产生自由基,而交联点位(L)可活化,因此核心(C)可彼此交联。在此处所述的实施例中,图5显示的金属络合物包含耦接至聚合物的自由基产生剂(R)与金属核心(M+)。在一些实施例中的紫外线曝光后,自由基产生剂(R)可产生自由基以诱发交联反应。在一些实施例中,图6显示金属络合物包含耦接至聚合物的金属氧化物簇。在多种实施例中的极紫外线曝光后,金属氧化物簇产生自由基,且聚合物可彼此交联。如上述内容所强调,金属氧化物簇可具有自由基产生剂的功能,因此可诱发交联反应。
图7A、图7B、图7C、图7D、图7E、图7F、图7G、图7H、图7I、图7J、图7K与图7L提供可作为部分金属敏化剂的多种分子结构。在一些实施例中,金属敏化剂可包含此处所述的金属自由基产生剂。在一些例子中,金属敏化剂可包含此处所述的金属核心。在多种实施例中,金属敏化剂包含对极紫外线具有高吸收的原子,比如铯、钡、镧、铈、铟、锡、银、和/或锑。在一些例子中,极紫外线曝光后可产生自由基于金属核心与有机部分之间,且可发生交联如前述。在一些例子中,金属敏化剂的分子量小于约600。在一些例子中,金属敏化剂可耦接至聚合物如聚苯乙烯、丙烯酸酯、或C1-10的单元以形成聚合物。在一些例子中,深紫外线曝光后可产生自由基且可发生交联。
图8A、图8B、图8C、图8D、图8E、与图8F提供的多种分子结构,可作为具有交联点位的部分有机核心。在一些实施例中,有机核心可包含芳香碳环,或烷基/烷氧基/氟化烷基/氟化烷氧基的直链状或环状结构(碳数介于约8至60之间)。在一些实施例中,交联点位可包含具有双键或三键的结构,比如R(M)-CH=或R(M)-C≡。在一些例子中,交联点位的数量为约2至20之间。在一些实施例中,具有交联点位的有机核心也包含一些-OH或-COOH,以提供极性功能并增进图案化能力。图9可作为金属核心和/或金属簇的额外结构。在一些例子中,图9的金属核心和/或金属簇可耦接至聚合物,如图5与图6所示。为了说明目的,图10B的图案具有较大的工艺容忍度,其采用此处所述的金属光致抗蚀剂。与此相较,图10A的图案采用公知光致抗蚀剂。
用于光致抗蚀剂层206的多种组成与金属络合物其额外细节,以及多种方法与结构(比如半导体结构200的材料)的其他方式其额外细节,均可依据本公开实施例制作而不说明于此。
举例来说,本公开一些实施例提供形成半导体图案的方法,其包含形成第一材料层于半导体基板上,形成第二材料层(比如硅硬掩模)于第一材料层的顶部上,形成第三材料层(比如光致抗蚀剂)于第二材料层的顶部上,以及以光刻工艺形成光致抗蚀剂图案。在一些实施例中,光致抗蚀剂包含金属光致抗蚀剂,其至少具有金属自由基产生剂、具有一或多个交联点位的有机核心、以及有机溶剂。在一些例子中,光致抗蚀剂图案可作为后续蚀刻工艺的蚀刻掩模,比如将图案化光致抗蚀剂层的图案转移至下方的材料层(比如形成半导体图案)。在其他例子中,光致抗蚀剂图案可作为施加至下方材料层的后续离子注入工艺中的离子注入掩模。在一些实施例中,第一材料层的光学性质不同于硅硬掩模与光致抗蚀剂的光学性质。在一些例子中,第一材料层的n值、k值、或T值不同于光致抗蚀剂的n值、k值、或T值。在多种实施例中,第一材料层包含的聚合物结构、酸活性分子、光产酸剂负载量、淬灭剂负载量、发色团、交联剂、与溶剂中至少有一者不同于其他层,以与光致抗蚀剂具有不同的n值。在一些实施例中,第一材料、第二材料层(如硅硬掩模)、与光致抗蚀剂具有不同的抗蚀刻性。在一些例子中,第一材料层或光致抗蚀剂包含至少一抗蚀刻分子。举例来说,抗蚀刻分子可包含低大西数值(Onishi number)的结构、双键、三键、硅、氮化硅、钛、氮化钛、铝、氧化铝、氮氧化硅、或类似物。在一些实施例中,半导体基板为实质上导电或半导电,其电阻小于103Ω-公尺。在一些例子中,半导体基板可包含金属、金属合金、金属的氮化物、硫化物、硒化物、氧化物、和/或硅化物如MXa(其中M为金属而X为氮、硫、硒、氧、或硅,而a为约0.4至2.5)。举例来说,基板可为含钛、铝、钴、钌、氮化钛、氮化钨、或氮化钽。在一些实施例中,半导体基板包含介电物,其介电常数介于约1至40之间。在多种实施例中,半导体基板可包含硅、金属氧化物、和/或金属氮化物如MXb(其中M为金属或硅,X为氮或氧,而b为约0.4至2.5)。举例来说,基板包含氧化硅、氮化硅、氧化铝、氧化铪、或氧化镧。
此外,本公开一些实施例提供形成半导体图案的方法,其包括形成第一材料层于半导体基板上,形成第二材料层于第一材料层的顶部上(其中第二材料层可为硅硬掩模),形成第三材料层于第二材料层的顶部上(其中第三材料层可为光致抗蚀剂),并以光刻形成光致抗蚀剂图案。在一些例子中,光致抗蚀剂图案可作为后续蚀刻工艺中的蚀刻掩模,比如将图案化光致抗蚀剂层的图案转移至下方的材料层(比如形成半导体图案)。在其他例子中,光致抗蚀剂图案可作为施加至下方材料层的后续离子注入工艺中的离子注入掩模。在一些例子中,第二材料层可包括含硅聚合物、交联剂、发色团、光产酸剂、淬灭剂(碱)、氟添加剂、和/或溶剂。在一些实施例中,第二材料层的光学特性不同于第一材料层与光致抗蚀剂的光学特性。在一些例子中,第二材料层的n值、k值、或T值不同于第一材料层与光致抗蚀剂的n值、k值、或T值。在多种实施例中,第二材料层包含的含硅聚合物结构、酸活性分子、光产酸剂负载量、淬灭剂负载量、发色团、交联剂、与溶剂中至少有一者不同于其他层,以与光致抗蚀剂具有不同的n值。在一些实施例中,第一材料层、第二材料层(比如硅硬掩模)、与光致抗蚀剂具有不同的抗蚀刻性。在一些例子中,第二材料层包含至少一抗蚀刻分子。举例来说,抗蚀刻分子可包含低大西数值的结构、双键、三键、硅、氮化硅、钛、氮化钛、铝、氧化铝、氮氧化硅、或类似物。
如上所述,本公开实施例提供的光致抗蚀剂包含金属光致抗蚀剂,其具有至少一金属自由基产生剂、具有一或多个交联点位的有机核心、以及有机溶剂。在一些实施例中,金属光致抗蚀剂中的金属自由基产生剂可为产生自由基功能的金属簇或单一金属分子。在一实施例中,金属自由基产生剂与溶剂(如有机溶剂)的重量比可介于约1%至20%之间。作为阳离子核心的金属可对极紫外线具有高吸收的原子,比如铯、钡、镧、铈、铟、锡、银、或锑。在一些实施例中,在极紫外线曝光后,自由基产生在金属核心与金属光致抗蚀剂的有机部分之间。在一些实施例中,金属自由基产生剂的分子量小于约600。在一些实施例中,金属敏化剂可连接至聚合物。在一些例子中,在深紫外线或极紫外线曝光后,产生自由基并诱发交联工艺,使金属光致抗蚀剂的曝光处不溶。在一些例子中,金属光致抗蚀剂包含具有至少一交联点位的有机核心,且有机核心与溶剂的重量比可介于约3%至35%之间。在一些实施例中,上述光致抗蚀剂可包含金属核心于交联点位和/或自由基产生点位中。举例来说,金属核心与溶剂的重量比可介于约3%至30%之间。在一些实施例中,曝光(比如波长小于100nm的深紫外线或极紫外线曝光)金属光致抗蚀剂后,金属自由基产生剂可产生自由基以诱发交联反应。举例来说,有机核心因此交联且不溶,而光致抗蚀剂的未曝光部分则可溶于显影剂中。在一些实施例中,显影剂系统可包含负型显影光致抗蚀剂,因此上述金属光致抗蚀剂中可为混合式的负型显影化学放大光致抗蚀剂,以最佳化光致抗蚀剂效能如线宽粗糙度及曝光能量。在一些例子中,负型显影化学放大光致抗蚀剂与上述金属光致抗蚀剂的比例小于约50%。在一些实施例中,此处所述的金属光致抗蚀剂可用于图案间距小于约40nm的工艺。在一些例子中,上述光致抗蚀剂的线宽粗糙度与曝光能量分别小于约5nm及小于约40mJ。在一些实施例中,金属光致抗蚀剂的有机核心包含芳香碳环,或烷基/烷氧基/氟化烷基/氟化烷氧基的直链状或环状结构(碳数介于约8至60之间)。在一些实施例中,交联点位可包含具有双键或三键的结构,比如R(M)-CH=或R(M)-C≡。在一些例子中,交联点位的数量等于约2至20。在一些实施例中,具有交联点位的有机核心包含一些-OH或-COOH,以提供极性功能并增进图案化能力。
与现有技术相较,多种实施例提供多种优点。应理解的是下述内容不必说明所有优点,所有实施例不需具有特定优点,且其他实施例可具有不同优点。在一例中,实施例包含改良光致抗蚀剂效能的方法,其可更有效地利用光刻光源(如极紫外线)。举例来说,一些实施例提供新颖的金属光致抗蚀剂(如极紫外线光致抗蚀剂),其可用于改善光致抗蚀剂的光吸收(如极紫外线吸收)。在一些实施例中,此处所述的金属光致抗蚀剂至少包含金属自由基产生剂、具有一或多个交联点位的有机核心、以及有机溶剂。在一些例子中,光致抗蚀剂包含金属自由基产生剂,其可为具有自由基产生剂功能的金属簇或单一金属分子。在一些实施例中,金属自由基产生剂与溶剂的重量比例可介于约1%至20%之间。在一些例子中,光致抗蚀剂包含具有至少一交联点位的有机核心,且有机核心与溶剂的重量比例可介于约3%至35%之间。在一些实施例中,光致抗蚀剂可包含可交联点位和/或自由基产生点位中的金属核心。举例来说,金属核心与溶剂之间的重量比例可介于约3%至30%之间。在一些实施例中,此处所述的金属光致抗蚀剂包含有机溶剂如前述。在一些实施例中,曝光金属光致抗蚀剂后,金属自由基产生剂可产生自由基以诱发交联反应。举例来说,有机核心可因此交联而不溶,而光致抗蚀剂的未曝光部分可溶于显影剂中。在一些实施例中,此处所述的金属光致抗蚀剂可应用于图案间距小于约40nm的工艺。如此一来,本公开实施例可克服至少一些现有光致抗蚀剂组成与方法的多种缺点。
如此一来,本公开的一实施例的方法包括:提供基板,以及形成光致抗蚀剂层于基板上。在多种实施例中,光致抗蚀剂层包括具有自由基产生剂、有机核心、以及有机溶剂的金属络合物。举例来说,有机核心包括至少一交联点位。在一些实施例中,对光致抗蚀剂层进行曝光工艺。在曝光工艺后,显影曝光后的光致抗蚀剂层以形成图案化光致抗蚀剂层。
在一实施例中,上述方法的自由基产生剂为部分的金属核心,且金属核心为单一金属分子或具有自由基产生剂功能的金属簇。
在一实施例中,上述方法的自由基产生剂与有机溶剂的重量比例介于约1%至20%之间。
在一实施例中,上述方法的有机核心与有机溶剂的重量比例介于约3%至35%之间。
在一实施例中,上述方法的金属核心与有机溶剂的重量比例介于约3%至30%之间。
在一实施例中,上述方法的自由基产生剂包含对极紫外线具有高吸收的原子,其包括铯、钡、镧、铈、铟、锡、银、或锑。
在一实施例中,上述方法在显影光致抗蚀剂层时,自由基产生剂产生自由基以活化至少一交联点位,并使金属络合物与另一金属络合物交联。
在一实施例中,上述方法的自由基产生剂耦接至聚合物,且聚合物包括聚苯乙烯、丙烯酸酯、或碳数介于1至10的单元。
在一实施例中,上述方法的有机核心包括芳香碳环,或烷基/烷氧基/氟化烷基/氟化烷氧基的直链状或环状结构(碳数介于约8至60之间)。
在一些实施例中,上述方法的交联点位包含具有双键或三键的结构。
在一些实施例中,上述方法的具有双键或三键的结构包括R(M)-CH=或R(M)-C≡。
在一些实施例中,上述方法的金属络合物还包括-OH结构或-COOH结构。
在另一实施例中的方法,沉积第一材料层于半导体基板上。在一些实施例中,接着形成第二材料层于第一材料层上。在一些例子中,第二材料层包括硅硬掩模。在多种例子中,接着形成第三材料层于第二材料层上,其中第三材料层包括光致抗蚀剂。在一些实施例中,光致抗蚀剂包括具有自由基产生剂、有机核心、与有机溶剂的金属络合物。在一些实施例中,接着以光刻工艺形成光致抗蚀剂图案。
在一实施例中,上述方法的第一材料层包括的聚合物结构、酸活性分子、光产酸剂负载量、淬灭剂负载量、发色团、交联剂、与溶剂中至少有一者不同于光致抗蚀剂。
在一实施例中,上述方法的第一材料层、第二材料层、与光致抗蚀剂具有不同的抗蚀刻性。
在一实施例中,上述方法的第二材料层包括的含硅聚合物结构、酸活性分子、光产酸剂负载量、淬灭剂负载量、发色团、交联剂、与溶剂中至少有一者不同于光致抗蚀剂。
在又一实施例中,制作半导体装置的方法包含提供基板及形成光致抗蚀剂层于基板上,其中光致抗蚀剂层包含金属络合物。在多种实施例中,金属络合物包含自由基产生剂、有机核心、与有机溶剂,且有机核心包括至少一交联点位。在一些例子中,对光致抗蚀剂层进行曝光工艺,其中曝光工艺采用极紫外线光源,且经由中间光掩模投射至光致抗蚀剂层上。中间光掩模包括电路图案。在一些例子中,电路图案包括一或多个鳍状场效晶体管装置的电路图案。在多种实施例中,在曝光工艺之后显影曝光的光致抗蚀剂层,以形成图案化光致抗蚀剂层,其中图案化光致抗蚀剂层包含电路图案。
在一实施例中,上述方法的自由基产生剂包含对极紫外线具有高吸收的原子,其包括铯、钡、镧、铈、铟、锡、银、或锑;且有机核心包含一或多个芳香碳环,或烷基/烷氧基/氟化烷基/氟化烷氧基的直链状或环状结构(碳数介于约8至60之间)。
在一实施例中,上述方法的有机溶剂包括丙二醇甲醚醋酸酯、丙二醇甲醚、1-乙氧基-2-丙醇、γ-丁内酯、环己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺、异丙醇、四氢呋喃、或4-甲基-2-戊醇。
在一实施例中,上述方法的电路图案的图案间距小于约40nm。
上述实施例的特征有利于本领域普通技术人员理解本公开实施例。本领域普通技术人员应理解可采用本公开作基础,设计并变化其他工艺与结构以完成上述实施例的相同目的和/或相同优点。本领域普通技术人员也应理解,这些等效置换并未脱离本公开构思与范畴,并可在未脱离本公开的构思与范畴的前提下进行改变、替换、或更动。

Claims (15)

1.一种半导体装置的制作方法,包括:
提供一基板;
形成一第一材料层于该基板上方,其中该第一材料层具有一第一光学特性及一第一抗蚀刻性;
在形成该第一材料层之后,沉积一第二材料层于该第一材料层上方,其中该第二材料层包含一硅硬掩模,且其中该第二材料层具有一第二光学特性及一第二抗蚀刻性;
在沉积该第二材料层之后,形成一光致抗蚀剂层于该第二材料层上,其中该光致抗蚀剂层包括具有一自由基产生剂、一有机核心、以及一有机溶剂的一金属络合物,且其中该有机核心包括至少一交联点位,且其中该自由基产生剂包含对极紫外线具有高吸收的原子及多个甲基,且其中该光致抗蚀剂层具有有一第三光学特性及一第三抗蚀刻性,其中该第一光学特性不同于该第二光学特性及该第三光学特性,且其中该第一抗蚀刻性、该第二抗蚀刻性及该第三抗蚀刻性不同;
在形成该光致抗蚀剂层之后,对该光致抗蚀剂层进行一曝光工艺,使得该自由基产生剂产生多个自由基以活化该至少一交联点位元,并使该金属络合物与另一金属络合物交联;
在该曝光工艺后,显影曝光后的该光致抗蚀剂层以形成具有暴露该硅硬掩模的多个第一开口的一图案化光致抗蚀剂层;
在形成该图案化光致抗蚀剂层之后,通过该图案化光致抗蚀剂层中的该些第一开口蚀刻该硅硬掩模,以形成具有暴露该第一材料层的多个第二开口的一图案化硅硬掩模;以及
在形成该图案化硅硬掩模之后,通过该图案化硅硬掩模中的该些第二开口蚀刻该第一材料层,以形成多个鳍状主动区。
2.如权利要求1所述的半导体装置的制作方法,其中该自由基产生剂为部分的该金属核心,且该金属核心为一单一金属分子或具有自由基产生剂功能的一金属簇。
3.如权利要求1所述的半导体装置的制作方法,其中该自由基产生剂与该有机溶剂的重量比例介于1%至20%之间。
4.如权利要求1所述的半导体装置的制作方法,其中该有机核心与该有机溶剂的重量比例介于3%至35%之间。
5.如权利要求2所述的半导体装置的制作方法,其中该金属核心与该有机溶剂的重量比例介于3%至30%之间。
6.如权利要求1所述的半导体装置的制作方法,其中该自由基产生剂包含对极紫外线具有高吸收的原子,其还包括铯、钡、镧、铈、铟、锡、银、或锑。
7.如权利要求1所述的半导体装置的制作方法,其中该自由基产生剂耦接至一聚合物,且该聚合物包括聚苯乙烯、丙烯酸酯、或碳数介于1至10的单元。
8.如权利要求1所述的半导体装置的制作方法,其中该有机核心包括碳数介于8至60之间的烷基/烷氧基/氟化烷基/氟化烷氧基的直链状或环状结构或芳香碳环。
9.如权利要求1所述的半导体装置的制作方法,其中该至少一交联点位包含具有碳双键或碳三键的一结构。
10.如权利要求9所述的半导体装置的制作方法,其中具有碳双键或碳三键的该结构包括R(M)-CH=或R(M)-C≡。
11.一种半导体装置的制作方法,包括:
沉积一吸收层于一透明掩模基板上,其中该吸收层包含一铬层,且其中该透明掩模基板包含石英;
在沉积该吸收层于该透明掩模基板上之后,形成一黏着层于该吸收层上,其中黏着层包含一六甲基二硅氮烷层;
在形成该黏着层之后,形成一光致抗蚀剂层于该黏着层上,其中该光致抗蚀剂层包括一第一金属核心的一金属络合物,该第一金属核心具有一自由基产生剂、一第一有机核心、与一有机溶剂,且其中该自由基产生剂包含对极紫外线具有高吸收的一原子;
在形成该光致抗蚀剂层之后,以一第一光刻工艺将该光致抗蚀剂层图案化,以提供具有暴露该吸收层的多个开口的一图案化光致抗蚀剂层;
在形成该图案化光致抗蚀剂层之后,通过该图案化光致抗蚀剂层中的该些开口将该吸收层图案化,以在该透明掩模基板上提供一图案化吸收层,其中该图案化吸收层定义一电路图案,且其中该图案化吸收层及该透明掩模基板提供一极紫外线掩模;以及
使用该极紫外线掩模透过一第二光刻工艺将一半导体基板图案化,其中该第二光刻工艺将该电路图案转移至该半导体基板。
12.一种半导体装置的制作方法,包括:
提供一基板;
形成一混合式光致抗蚀剂层于该基板上,其中该混合式光致抗蚀剂层包含一负型显影化学放大光致抗蚀剂及一金属光致抗蚀剂,其中该金属光致抗蚀剂包含具有一自由基产生剂、一有机核心、与一有机溶剂的一金属络合物,其中该有机核心包括至少一交联点位,且其中该自由基产生剂包含对极紫外线具有高吸收的原子,且其中该混合式光致抗蚀剂层包含比该负型显影化学放大光致抗蚀剂更大量的该金属光致抗蚀剂;
对该混合式光致抗蚀剂层进行一曝光工艺,其中该曝光工艺采用一极紫外线光源,且经由一中间光掩模投射至该混合式光致抗蚀剂层上,其中该中间光掩模包括一电路图案;以及
在曝光工艺之后显影曝光的该混合式光致抗蚀剂层,以形成一图案化混合式光致抗蚀剂层,其中该图案化混合式光致抗蚀剂层包含该电路图案。
13.如权利要求12所述的半导体装置的制作方法,其中该自由基产生剂包含的对极紫外线具有高吸收的原子包括镧、铈、铟、锡、银、或锑;且该有机核心包含碳数介于8至60之间的烷基/烷氧基/氟化烷基/氟化烷氧基的直链状或环状结构或芳香碳环。
14.如权利要求12所述的半导体装置的制作方法,其中该有机溶剂包括丙二醇甲醚醋酸酯、丙二醇甲醚、1-乙氧基-2-丙醇、γ-丁内酯、环己酮、乳酸乙酯、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺、异丙醇、四氢呋喃、或4-甲基-2-戊醇。
15.如权利要求12所述的半导体装置的制作方法,其中该电路图案的图案间距小于40nm。
CN201711248892.7A 2017-04-28 2017-12-01 半导体装置的制作方法 Active CN108807150B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762491383P 2017-04-28 2017-04-28
US62/491,383 2017-04-28
US15/726,040 2017-10-05
US15/726,040 US10741410B2 (en) 2017-04-28 2017-10-05 Material composition and methods thereof

Publications (2)

Publication Number Publication Date
CN108807150A CN108807150A (zh) 2018-11-13
CN108807150B true CN108807150B (zh) 2023-01-17

Family

ID=63917505

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711248892.7A Active CN108807150B (zh) 2017-04-28 2017-12-01 半导体装置的制作方法

Country Status (3)

Country Link
US (1) US10741410B2 (zh)
CN (1) CN108807150B (zh)
TW (1) TWI761399B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10825684B2 (en) * 2016-03-18 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10990013B2 (en) 2017-09-22 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US11009796B2 (en) 2017-09-22 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US10741391B2 (en) 2017-10-25 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure by patterning resist layer having inorganic material
US10381481B1 (en) * 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
CN111752093B (zh) * 2019-03-28 2023-10-13 台湾积体电路制造股份有限公司 半导体结构的形成方法
DE102019133965A1 (de) * 2019-08-28 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit
US11681221B2 (en) 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
DE102020129681B4 (de) 2020-03-30 2023-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer halbleitervorrichtung
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
JP2023524969A (ja) * 2020-05-06 2023-06-14 インプリア・コーポレイション 中間凍結工程による有機金属光パターニング可能層を用いたマルチパターニング
TWI781629B (zh) * 2020-05-22 2022-10-21 台灣積體電路製造股份有限公司 半導體裝置的製造方法
US11726405B2 (en) 2020-09-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist for semiconductor fabrication

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010210751A (ja) * 2009-03-09 2010-09-24 Jsr Corp レジストパターンコーティング剤及びそれを用いたレジストパターン形成方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2587696B2 (ja) * 1989-02-17 1997-03-05 富士写真フイルム株式会社 感光材料
JP2002162739A (ja) * 2000-11-27 2002-06-07 Hitachi Chem Co Ltd 永久マスクレジスト、永久マスクレジストの製造法及び永久マスクレジスト積層基板
JP2004354609A (ja) * 2003-05-28 2004-12-16 Tokyo Ohka Kogyo Co Ltd 化学増幅型ポジ型ホトレジスト組成物およびレジストパターンの形成方法
JP4296053B2 (ja) * 2003-07-04 2009-07-15 富士フイルム株式会社 多層レジストプロセス用中間層組成物及びそれを用いたパターン形成方法
JPWO2006013707A1 (ja) * 2004-08-04 2008-05-01 コニカミノルタエムジー株式会社 インクジェット記録方法およびこれに用いるインクジェット記録用インク
WO2012050064A1 (ja) * 2010-10-14 2012-04-19 日産化学工業株式会社 ポリエーテル構造を含有する樹脂を含むリソグラフィー用レジスト下層膜形成組成物
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
TWI573249B (zh) * 2012-11-12 2017-03-01 聯華電子股份有限公司 半導體佈局圖案之製作方法、半導體元件之製作方法以及半導體元件
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8993404B2 (en) * 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9583381B2 (en) * 2013-06-14 2017-02-28 Micron Technology, Inc. Methods for forming semiconductor devices and semiconductor device structures
US9341945B2 (en) * 2013-08-22 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of formation and use
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
EP3230294B1 (en) 2014-10-23 2021-06-30 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
JP6980993B2 (ja) * 2016-10-06 2021-12-15 信越化学工業株式会社 レジスト材料及びパターン形成方法
US9929012B1 (en) * 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010210751A (ja) * 2009-03-09 2010-09-24 Jsr Corp レジストパターンコーティング剤及びそれを用いたレジストパターン形成方法

Also Published As

Publication number Publication date
US20180315617A1 (en) 2018-11-01
TWI761399B (zh) 2022-04-21
US10741410B2 (en) 2020-08-11
CN108807150A (zh) 2018-11-13
TW201839802A (zh) 2018-11-01

Similar Documents

Publication Publication Date Title
CN108807150B (zh) 半导体装置的制作方法
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US10825684B2 (en) Material composition and methods thereof
US11487207B2 (en) Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
CN106876251B (zh) 半导体装置的制造方法
US9921480B2 (en) Extreme ultraviolet photoresist
US11809080B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
US10515847B2 (en) Method for forming vias and method for forming contacts in vias
US10520821B2 (en) Lithography process with enhanced etch selectivity
CN108231548B (zh) 半导体装置的制作方法
CN108333866B (zh) 光刻图案化的方法
US11003076B2 (en) Extreme ultraviolet photoresist and method
CN109427558B (zh) 半导体装置的形成方法
CN110941148B (zh) 半导体装置的制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant