DE102020129681B4 - Verfahren zur herstellung einer halbleitervorrichtung - Google Patents

Verfahren zur herstellung einer halbleitervorrichtung Download PDF

Info

Publication number
DE102020129681B4
DE102020129681B4 DE102020129681.9A DE102020129681A DE102020129681B4 DE 102020129681 B4 DE102020129681 B4 DE 102020129681B4 DE 102020129681 A DE102020129681 A DE 102020129681A DE 102020129681 B4 DE102020129681 B4 DE 102020129681B4
Authority
DE
Germany
Prior art keywords
tris
compound
layer
tin
protective layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102020129681.9A
Other languages
English (en)
Other versions
DE102020129681A1 (de
Inventor
Ming-Hui Weng
Chen-Yu Liu
Chih-Cheng Liu
Yi-Chen Kuo
Jia-Lin WEI
Yen-Yu Chen
Jr-Hung Li
Yahru CHENG
Chi-Ming Yang
Tze-Liang Lee
Ching-Yu Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/071,004 external-priority patent/US11822237B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020129681A1 publication Critical patent/DE102020129681A1/de
Application granted granted Critical
Publication of DE102020129681B4 publication Critical patent/DE102020129681B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend:
Abscheiden einer Photolackzusammensetzung, die eine erste organometallische Verbindung und eine zweite Verbindung umfasst, über einer Substratfläche durch Atomlagenabscheidung (ALD) oder chemische Gasphasenabscheidung (CVD) zum Bilden einer Photolackschicht;
Bilden einer Schutzschicht, die ein Polymer über der Photolackschicht umfasst;
selektives Belichten der Photolackschicht mit aktinischer Strahlung durch die Schutzschicht, um eine latente Struktur zu bilden;
Entfernen der Schutzschicht;
Entwickeln der latenten Struktur, indem ein Entwickler auf die selektiv belichtete Photolackschicht aufgebracht wird, um eine Struktur zu bilden, die einen Abschnitt der Substratstruktur belichtet; und
Entfernen eines Abschnitts der Substratfläche, die durch das Entwickeln belichtet wurde.

Description

  • VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der provisorischen US-Patentanmeldung Nr. 63/002,264 , eingereicht am 30. März 2020, und der provisorischen US-Patentanmeldung Nr. 63/025,956 , eingereicht am 15. Mai 2020, deren gesamte Inhalte hierin durch Verweis eingeschlossen sind.
  • HINTERGRUND
  • Da Verbrauchervorrichtungen in Reaktion auf Verbraucherforderungen immer kleiner werden, sind die einzelnen Komponenten dieser Vorrichtungen notwendigerweise ebenfalls immer kleiner geworden. Halbleitervorrichtungen, die einen großen Teil von Vorrichtungen wie Mobiltelefonen, Computertablets und dergleichen ausmachen, unterliegen einem Druck, immer kleiner zu werden, mit einem entsprechenden Druck, die einzelnen Vorrichtungen (z. B. Transistoren, Widerständen, Kondensatoren usw.) innerhalb der Halbleitervorrichtungen ebenfalls in der Größe zu verkleinern.
  • Eine ermöglichende Technologie, die in den Herstellungsprozessen von Halbleitervorrichtungen verwendet wird, ist die Verwendung von photolithographischen Materialien. Solche Materialien werden auf eine Fläche einer zu strukturierenden Schicht aufgebracht und dann einer Energie ausgesetzt, die selbst strukturiert wurde. Eine solche Belichtung modifiziert die chemischen und physischen Eigenschaften der belichteten Regionen des photosensitiven Materials. Diese Modifikation kann zusammen mit dem Fehlen der Modifikation in Regionen des photosensitiven Materials, die nicht belichtet wurden, verwendet werden, um eine Region ohne Entfernen der anderen zu entfernen. Während jedoch die Größe der einzelnen Vorrichtungen abgenommen hat, wurden die Prozessfenster für photolithographische Verarbeitung immer schmaler. So sind Fortschritte im Gebiet der photolithographischen Verarbeitung notwendig, um die Fähigkeit zu erhalten, die Vorrichtungen abzuskalieren, und weitere Verbesserungen sind notwendig, um die gewünschten Designkriterien zu erfüllen, die sich in Richtung immer kleinerer Komponenten bewegen.
  • US 2017 / 0 168 398 A1 beschreibt ein Verfahren zum Herstellen von Halbleitersubstraten mittels eines Lithographieprozesses. US 2018 / 0 315 617 A1 beschreibt ein Verfahren, das das Bereitstellen eines Substrats und das Bilden einer Resistschicht über dem Substrat umfasst, wobei die Resistschicht einen Metallkomplex enthält. US 2020 / 0 064 733 A1 beschreibt metallorganische Strahlungs-Resistzusammensetzungen auf der Basis von Zinnionen mit Alkylliganden.
  • Figurenliste
  • Die folgende ausführliche Beschreibung lässt sich am besten anhand der beiliegenden Zeichnungen verstehen. Es wird betont, dass nach den Standardverfahren in der Industrie verschiedene Merkmale nicht maßstabsgetreu gezeichnet werden und rein illustrativen Zwecken dienen. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 illustriert einen Prozessablauf des Herstellens einer Halbleitervorrichtung nach Ausführungsformen der Offenbarung.
    • 2 zeigt eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 3 zeigt eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 4A und 4B zeigen eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 5 zeigt eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 6A, 6B und 6C zeigen eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 7 zeigt eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 8 zeigt eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 9A, 9B und 9C zeigen organometallische Vorläufer nach Ausführungsformen der Offenbarung.
    • 10 zeigt eine Photolackabscheidungsvorrichtung nach einer Ausführungsform der Offenbarung.
    • 11 zeigt eine Reaktion der Photolackschicht aufgrund der Belichtung mit aktinischer Strahlung und Erhitzung nach einer Ausführungsform der Offenbarung.
    • 12 zeigt eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 13 zeigt eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 14A und 14B zeigen eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 15 zeigt eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 16A, 16B und 16C zeigen eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 17 zeigt eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
    • 18 zeigt eine Prozessstufe einer sequenziellen Operation nach einer Ausführungsform der Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungsformen, oder Beispiele, für die Umsetzung verschiedener Merkmale der Offenbarung bereitstellt. Spezifische Ausführungsformen oder Beispiele von Bauteilen und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele, die nicht als einschränkend zu verstehen sind. Beispielsweise sind Abmessungen von Elementen nicht auf den offenbarten Bereich oder die Werte eingeschränkt, sondern können von Prozessbedingungen und/oder gewünschten Eigenschaften der Vorrichtung abhängig sein. Weiterhin kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und es kann außerdem Ausführungsformen umfassen, in denen weitere Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet werden können, sodass das erste und das zweite Merkmal nicht in direktem Kontakt stehen müssen. Verschiedene Merkmale können um der Einfachheit und Klarheit Willen willkürlich in unterschiedlichen Maßstäben gezeichnet sein.
  • Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung), und die räumlich relativen Bezeichnungen, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden. Weiterhin kann der Begriff „hergestellt aus“ entweder „umfassend“ oder „bestehend aus“ bedeuten.
  • Da die Halbleiterindustrie sich in Nanometertechnologieprozessknoten entwickelt hat, um eine höhere Vorrichtungsdichte, höhere Leistung und geringere Kosten zu erreichen, ist es zu Herausforderungen bei der Verringerung der Halbleitermerkmalsgröße gekommen. Extremultraviolette Lithographie (ELTVL) wurden entwickelt, um kleinere Halbleitervorrichtungsgrößen zu bilden und die Vorrichtungsdichten an einem Halbleiterwafer zu erhöhen. Um ELTVL zu verbessern, ist ein Waferbelichtungsdurchsatz wünschenswert. Der Waferbelichtungsdurchsatz kann durch erhöhte Belichtungsleistung oder erhöhte Lackphotogeschwindigkeit (Sensitivität) verbessert werden.
  • Metallhaltige Photolacke werden in extremultravioletter (EUV) Lithographie verwendet, weil Metalle eine hohe Absorptionsfähigkeit von extremultravioletter Strahlung aufweisen und daher die Lackphotogeschwindigkeit erhöhen. Metallhaltige Photolackschichten können jedoch während der Verarbeitung ausgasen, was dazu führen kann, dass die Photolackschichtqualität sich im Lauf der Zeit ändert und eine Verunreinigung der Halbleitervorrichtungsverarbeitungskammern, des Umgangs mit Ausrüstung wie vorne öffnenden vereinheitlichen Pods (FOUPs) und anderer Halbleiterwafer verursachen kann, wodurch die Lithographieleistung beeinträchtigt wird und Mängel verstärkt werden.
  • Photolackschichtbildungs- und -sturkturierungsoperationen, die Metallverunreinigungen der Verarbeitungskammern, Substrathandhabungsausrüstung und anderer Wafers von den Metallen in metallhaltigen Photolacken wesentlich verringern oder verhindern, sind wünschenswert.
  • In Ausführungsformen der Offenbarung werden die obigen Probleme durch Bilden einer Schutzschicht über einer metallhaltigen Photolackschicht auf einem Substrat gebildet. Weiterhin umfassen Ausführungsformen der Offenbarung lösungsmittelfreie Photolackschichtbildung, sodass sie einen grüneren Prozess bereitstellen. 1 illustriert einen Prozessablauf 100 des Herstellens einer Halbleitervorrichtung nach Ausführungsformen der Offenbarung. Ein Lack wird in einigen Ausführungsformen in Operation S110 auf eine Fläche einer Schicht, die strukturiert werden soll, oder ein Substrat 10 beschichtet, um eine Lackschicht 15 zu bilden, wie in 2 dargestellt. In einigen Ausführungsformen ist der Lack ein metallhaltiger Photolack, der durch CVD oder ALD gebildet ist. In einigen Ausführungsformen durchläuft die Lackschicht 15 dann nach dem Abscheiden eine erste Heizoperation S120. In anderen Ausführungsformen wird die metallhaltige Photolackschicht durch ein Spin-Coating-Verfahren gebildet. In einigen Ausführungsformen wird die Lackschicht für ca. 10 Sekunden bis ca. 10 Minuten auf eine Temperatur zwischen ca. 40 °C und ca. 150 °C erhitzt.
  • Nach der optionalen ersten Heizoperation S120 oder der Lackabscheideoperation S110 wird eine Schutzschicht 20 in Operation S130 über der Lackschicht 15 gebildet, wie in 3 gezeigt. In einigen Ausführungsformen wird die Schutzschicht 20 durch eine Spincoating-Operation über der Lackschicht 15 gebildet. Die Schutzschicht 20 wird in einigen Ausführungsformen nachfolgend in einer zweiten Heizoperation S140 erhitzt, um Lösungsmittel zu entfernen oder die Schutzschicht zu trocknen. In einigen Ausführungsformen wird die zweite Heizoperation S140 bei einer Temperatur zwischen ca. 40 °C und ca. 150 °C für ca. 10 Sekunden bis ca. 10 Minuten ausgeführt.
  • Die Lackschicht 15 und die Schutzschicht 20 werden nachfolgend in Operation S150 selektiv mit aktinischer Strahlung 45/97 belichtet (siehe 4A und 4B). Die Lackschicht 15 wird durch die Schutzschicht 20 mit aktinischer Strahlung 45/97 belichtet. In einigen Ausführungsformen wird die aktinische Strahlung 45/97 nicht wesentlich von der Schutzschicht 20 aufgenommen. In einigen Ausführungsformen wird die Photolackschicht 15 selektiv oder strukturiert ultravioletter Strahlung ausgesetzt. In einigen Ausführungsformen ist die ultraviolette Strahlung eine tiefultraviolette Strahlung (DUV). In einigen Ausführungsformen ist die ultraviolette Strahlung eine extrem ultraviolette (EUV) Strahlung. In einigen Ausführungsformen wird die Lackschicht 15 selektiv oder strukturiert mit einem Elektronenstrahl belichtet. In einigen Ausführungsformen ist die Lackschicht 15 eine Photolackschicht, die photosensitiv gegen die aktinische Strahlung 45/97 ist und die Schutzschicht 20 ist keine Photolackschicht und nicht photosensitiv gegen die aktinische Strahlung 45/97. Photolackschichten nach dieser Offenbarung sind Schichten, die einer chemischen Reaktion unterzogen werden, wenn sie die aktinische Strahlung aufnehmen, was dazu führt, dass Abschnitte der Photolackschicht, die der aktinischen Strahlung ausgesetzt sind, ihre Lösbarkeit in einem Entwickler ändern, im Gegensatz zu Abschnitten der Photolackschicht, die der aktinischen Strahlung nicht ausgesetzt sind. Die Schichten, die nicht photosensitiv gegen die aktinische Strahlung sind, durchlaufen keine wesentliche chemische Reaktion zum Ändern der Lösbarkeit der Schicht in einem Entwickler bei Belichtung mit der aktinischen Strahlung.
  • Wie in 4A gezeigt ist, durchläuft die Belichtungsstrahlung 45 in einigen Ausführungsformen vor dem Bestrahlen der Photolackschicht 15 eine Photomaske 30. In einigen Ausführungsformen weist die Photomaske 30 eine Struktur auf, die in der Photolackschicht 15 repliziert werden soll. Die Struktur wird in einigen Ausführungsformen durch eine opake Struktur 35 an dem Photomaskensubstrat 40 gebildet. Die opake Struktur 35 kann durch ein Material gebildet werden, das undurchlässig gegen ultraviolette Strahlung ist, wie etwa Chrom, während das Photomaskensubstrat 40 aus einem Material gebildet wird, das für ultraviolette Strahlung transparent ist, wie etwa verschmolzener Quarz.
  • In einigen Ausführungsformen erfolgt die selektive oder strukturierte Belichtung der Photolackschicht 15 zum Bilden belichteter Regionen 50 und unbelichteter Regionen 52 unter Verwendung von extrem ultravioletter Lithographie. In einer extrem ultravioletten Lithographieoperation wird in einigen Ausführungsformen eine reflektierende Photomaske 65 verwendet, um das strukturierte Belichtungslicht zu bilden, wie in 4B gezeigt. Die reflektierende Photomaske 65 umfasst ein Glassubstrat 70 mit geringer thermischer Ausdehnung, an dem ein reflektierender Mehrschichtenaufbau 75 aus Si und Mo gebildet wird. Eine Abdeckschicht 80 und eine Absorptionsschicht 85 werden auf dem reflektierenden Mehrschichtenaufbau 75 gebildet. Eine hintere leitfähige Schicht 90 ist auf der Rückseite des Substrats 70 mit geringer thermischer Ausdehnung gebildet. Extrem ultraviolette Strahlung 95 wird in einem Einfallswinkel von ca. 6° auf die reflektierende Photomaske 65 gelenkt. Ein Abschnitt 97 der extrem ultravioletten Strahlung wird durch den Si/Mo-Mehrschichtenaufbau 75 auf das mit Photolack beschichtete Substrat 10 reflektiert, während der Abschnitt der extrem ultravioletten Strahlung, der auf die Absorberschicht 85 fällt, durch die Photomaske absorbiert wird. In einigen Ausführungsformen befinden sich weitere Optiken, einschließlich Spiegeln, zwischen der reflektierenden Photomaske 65 und dem photolackbeschichteten Substrat 10.
  • In einigen Ausführungsformen erfolgt die Belichtung mit der Strahlung durch Platzieren des photolackbeschichteten Substrats in einem Photolithographiewerkzeug. Das Photolithographiewerkzeug umfasst eine Photomaske 30/65, Optiken, eine Belichtungsstrahlungsquelle zum Bereitstellen der Strahlung 45/97 zur Belichtung, und einer beweglichen Stufe zum Unterstützen und Bewegen des Substrats unter der Belichtungsstrahlung.
  • In einigen Ausführungsformen werden Optiken (nicht dargestellt) in dem Photolithographiewerkzeug verwendet, um die Strahlung zu erweitern, zu reflektieren oder anderweitige zu steuern, bevor oder nachdem die Strahlung 45/97 durch die Photomaske 30/65 strukturiert wird. In einigen Ausführungsformen umfassen die Optiken eine oder mehrere Linsen, Spiegel, Filter und Kombinationen daraus zum Steuern der Strahlung 45/97 entlang ihres Pfads.
  • In einigen Ausführungsformen ist die Strahlung eine elektromagnetische Strahlung, wie etwa eine g-Linie (Wellenlänge ca. 436 nm), i-Linie (Wellenlänge ca. 365 nm), ultraviolette Strahlung, weit ultraviolette Strahlung, extrem ultraviolette Strahlung, Elektronenstrahlen oder dergleichen. In einigen Ausführungsformen ist die Strahlungsquelle aus der Gruppe ausgewählt, bestehend aus einer Quecksilberdampflampe, Xenonlampe, Kohlenstoffbogenlampe, einem KrF-Excimerlaserlicht (Wellenlänge 248 nm), einem ArF-Excimerlaserlicht (Wellenlänge 193 nm), einem F2-Excimerlaserlicht (Wellenlänge 157 nm) oder einem Co2-lasererregten2-lasererregten Sn-Plasma (extrem ultraviolett, Wellenlänge von 13,5 nm).
  • Die Menge der elektromagnetischen Strahlung kann durch einen Fluenz oder Dosis charakterisiert sein, die durch das integrierte strahlende Flussmittel über die Belichtungszeit erhalten wird. Geeignete Strahlungsfluenzen reichen in einigen Ausführungsformen von ca. 1 mJ/cm2 bis ca. 150 mJ/cm2, in anderen Ausführungsformen von ca. 2 mJ/cm2 bis ca. 100 mJ/cm2 und in anderen Ausführungsformen von ca. 3 mJ/cm2 bis ca. 50 mJ/cm2. Ein gewöhnlicher Fachmann auf dem Gebiet erkennt, dass weitere Bereiche von Strahlungsfluenzen innerhalb der ausdrücklichen oben genannten Bereiche betrachtet sind und in diese Offenbarung fallen.
  • In einigen Ausführungsformen erfolgt die selektive oder strukturierte Belichtung durch einen Scanelektronenstrahl. Bei Elektronenstrahllithographie induziert der Elektronenstrahl sekundäre Elektronen, die das bestrahlte Material modifizieren. Eine hohe Auflösung ist unter Verwendung von Elektronenstrahllithographie und den hierin offenbarten metallhaltigen Lacken erreichbar. Elektronenstrahlen können durch die Energie des Strahls charakterisiert sein, und geeignete Energien reichen in einigen Ausführungsformen von ca. 5 V bis ca. 200 kV (Kilovolt), und in anderen Ausführungsformen von ca. 7,5 V bis ca. 100 kV. Nähenkorrigierte Strahldosen bei 30 kV reichen in einigen Ausführungsformen von ca. 0,1 µC/cm2 bis ca. 5 µC/cm2, in anderen Ausführungsformen von ca. 0,5 µC/cm2 bis ca. 1 µC/cm2 und in anderen Ausführungsformen von ca. 1 µC/cm2 bis ca. 100 µC/cm2. Ein gewöhnlicher Fachmann auf dem Gebiet kann entsprechende Dosen bei anderen Strahlenergien basierend auf der Lehre hierin berechnen und erkennt, dass weitere Bereiche von Elektronenstrahleigenschaften innerhalb der ausdrücklich oben genannten Bereiche betrachtet sind und in diese Offenbarung fallen.
  • Die Region der Lackschicht, die der Strahlung 50 ausgesetzt ist, durchläuft eine chemische Reaktion und ändert damit ihre Empfindlichkeit für die Entfernung in einer nachfolgenden Entwicklungsoperation S175. In einigen Ausführungsformen durchläuft der Abschnitt der Lackschicht, der der Strahlung 50 ausgesetzt ist, eine Reaktion, durch die der belichtete Abschnitt während der Entwicklungsoperation S175 leichter entfernt werden kann. In anderen Ausführungsformen durchläuft der Abschnitt der Lackschicht, der der Strahlung 50 ausgesetzt ist, eine Reaktion, durch die der belichtete Abschnitt während der Entwicklungsoperation S175 widerstandsfähig gegen die Entfernung wird.
  • Als nächstes durchläuft die Lackschicht 15 in Operation S160 eine dritte Erhitzung oder ein Nachbelichtungsbrennen (PEB). In einigen Ausführungsformen wird die Photolackschicht 15 für ca. 20 Sekunden bis ca. 300 Sekunden auf eine Temperatur von ca. 50 °C bis ca. 250 °C erhitzt. In einigen Ausführungsformen erfolgt das Nachbelichtungsbrennen bei einer Temperatur von ca. 100 °C bis ca. 230 °C, und in anderen Ausführungsformen bei einer Temperatur von ca. 150 °C bis ca. 200 °C. In einigen Ausführungsformen veranlasst die Nachbelichtungsbrennoperation S160 die Quervernetzung des Reaktionsprodukts der ersten Verbindung oder des ersten Vorläufers und der zweiten Verbindung oder des zweiten Vorläufers.
  • In einigen Ausführungsformen wird die Schutzschicht 20 in Operation S170 wie in 5 gezeigt ist, nach der Nachbelichtungsbrennoperation S160 entfernt. In einigen Ausführungsformen verhindert die Schutzschicht 20 das Ausgasen der metallhaltigen Lackschicht 15. Beispielsweise können während der Nachbelichtungsbrennoperation S160 kleinere metallhaltige Verbindungen in der Lackschicht während der Nachbelichtungsbrennoperation flüchtig werden und aus der Lackschicht 15 ausgasen. Die metallhaltigen Verbindungen können das Verarbeitungswerkzeug, Halbleiterwaferhandhandungswerkzeuge oder andere Halbleiterwafers verunreinigen, die Verarbeitung durchlaufen. Die Schutzschicht 20 verhindert das Ausgasen metallhaltiger Verunreinigungen von der Lackschicht 15. In einigen Ausführungsformen wird die Schutzschicht 20 durch ein geeignetes Lösungsmittel entfernt.
  • Die selektiv belichtete Lackschicht wird nachfolgend in Operation S175 entwickelt. In einigen Ausführungsformen wird die Lackschicht 15 durch Aufbringen eines lösungsmittelbasierten Entwicklers 57 auf die selektiv belichtete Lackschicht entwickelt. Wie in 6A dargestellt, wird ein flüssiger Entwickler 57 aus einem Spender 62 auf die Lackschicht 15 gegeben. In einigen Ausführungsformen durchlaufen die belichteten Abschnitte 50 des Photolacks eine Quervernetzungsreaktion aufgrund der Belichtung mit aktinischer Strahlung oder des Nachbelichtungsbrennens, und der nicht belichtete Abschnitt der Photolackschicht 52 wird durch den Entwickler 57 entfernt, der eine Struktur von Öffnungen 55 in der Photolackschicht 15 erzeugt, um das Substrat 20 zu belichten, wie in 7 dargestellt.
  • In einigen Ausführungsformen umfasst der Lackentwickler 57 ein Lösungsmittel und eine Säure oder eine Base. In einigen Ausführungsformen liegt die Konzentration des Lösungsmittels zwischen ca. 60 Gew. % bis ca. 99 Gew. %, basierend auf dem Gesamtgewicht des Lackentwicklers. Die Säure-Basen-Konzentration liegt zwischen ca. 0,001 Gew. % bis ca. 20 Gew. %, basierend auf dem Gesamtgewicht des Lackentwicklers. In bestimmten Ausführungsformen liegt die Konzentration der Säure oder Basis in dem Entwickler zwischen ca. 0,01 Gew. % bis ca. 15 Gew. %, basierend auf dem Gesamtgewicht des Lackentwicklers.
  • In einigen Ausführungsformen wird der Entwickler 57 mit einem Spin-On-Prozess auf die Lackschicht 15 aufgebracht. In dem Spin-On-Prozess wird der Entwickler 57 von über der Lackschicht 15 auf die Lackschicht 15 aufgebracht, während das lackbeschichtete Substrat gedreht wird, wie in 6A gezeigt ist. In einigen Ausführungsformen wird der Entwickler 57 mit einer Rate zwischen ca. 5 ml/min und ca. 800 ml/min zugeführt, während das mit Photolack beschichtete Substrat 10 bei einer Geschwindigkeit zwischen ca. 100 U/min und ca. 2000 U/min gedreht wird. In einigen Ausführungsformen befindet sich der Entwickler bei einer Temperatur zwischen ca. 10° C und ca. 80° C. Die Entwicklungsoperation fährt in einigen Ausführungsformen zwischen ca. 30 Sekunden und ca. 10 Minuten fort.
  • In einigen Ausführungsformen ist der Entwickler 57 ein organisches Lösungsmittel. Das organische Lösungsmittel kann jedes geeignete Lösungsmittel sein. In einigen Ausführungsformen ist das Lösungsmittel eines oder mehrere, ausgewählt aus Propylenglycolmethyletheracetat (PGMEA), Propylenglycolmonomethylether (PGME), 1-Ethoxy-2-Propanol (PGEE), γ-Butyrolacton (GBL), Cyclohexanon (CHN), Ethyllactat (EL), Methanol, Ethanol, Propanol, n-Butanol, 4-Methyl-2-Pentanol, Aceton, Methylethylketon, Dimethylformamid (DMF), Isopropanol (IPA), Tetrahydrofuran (THF), Methylisobutylcarbinol (MIBC), n-Butylacetat (nBA), 2-Heptanon (MAK), Tetrahydrofuran (THF) und Dioxan.
  • In einigen Ausführungsformen bleibt die Schutzschicht 20 auf der Lackschicht 15, bis die Entwicklungsoperation S175 und die Schutzschicht 20 während der Entwicklungsoperation S175 entfernt wird, wie in 6B dargestellt. In einigen Ausführungsformen ist die Schutzschicht 20 in dem Entwickler 57 löslich.
  • Während der Spin-On-Operation ein geeignetes Verfahren zum Entwickeln der Photolackschicht 15 nach der Belichtung ist, soll sie der Erläuterung dienen und soll die Ausführungsform nicht einschränken. Stattdessen können alle geeigneten Entwicklungsoperationen, einschließlich Tauchprozesse, Pfützenprozesse und Sprühverfahren alternativ verwendet werden. Alle diese Entwicklungsoperationen sind im Umfang der Ausführungsformen umfasst.
  • In einigen Ausführungsformen wird ein Trockenentwickler 105 auf die selektiv belichtete Lackschicht 15 aufgebracht, wie in 6C gezeigt ist. In einigen Ausführungsformen ist der Trockenentwickler 105 ein Plasma oder ein chemischer Dampf, und die Trockenentwicklungsoperation S180 ist eine Plasmaätz- oder chemische Ätzoperation. Die Trockenentwicklung nutzt die Unterschiede bezüglich der Zusammensetzung, des Ausmaßes der Quervernetzung und der Filmdichte zum selektiven Entfernen der gewünschten Abschnitte des Lacks. In einigen Ausführungsformen verwenden die Trockenentwicklungsprozesse entweder ein leichtes Plasma (hoher Druck, niedrige Energie) oder einen thermischen Prozess in einer erhitzten Vakuumkammer beim Fließen einer Trockenentwicklungschemikalie, wie etwa BCl3, BF3, oder einer anderen Lewis-Säure im Dampfzustand. In einigen Ausführungsformen entfernt das BCl3 das unbelichtete Material und hinterlässt eine Struktur des belichteten Films, die durch plasmabasierte Ätzprozesse in die darunterliegenden Schichten übertragen wird.
  • In einigen Ausführungsformen umfasst die Trockenentwicklung Plasmaprozesse, einschließlich transformatorgekoppelten Plasmas (TCP), induktiv gekoppeltes Plasma (ICP) oder kapazitiv gekoppeltes Plasma (CCP). In einigen Ausführungsformen wird der Plasmaprozess bei einem Druck von ca. 5 mTorr bis zu einem Druck von ca. 20 mTorr, bei einer Energiestufe von ca. 250 W bis ca. 1000 W, einer Temperatur von ca. 0 °C bis ca. 300 °C, und einem Durchfluss von ca. 100 bis ca. 1000 sccm, für ca. 1 bis ca. 3000 Sekunden ausgeführt.
  • Nach der Entwicklungsoperation erfolgt eine weitere Verarbeitung, während die strukturierte Photolackschicht 50 vorhanden ist. Beispielsweise erfolgt in einigen Ausführungsformen eine Ätzoperation unter Verwendung von Trocken- oder Nassätzen, zum Übertragen der Struktur der Lackschicht 50 auf das darunterliegende Substrat 10, was Ausschnitte 55', wie in 8 gezeigt, bildet. Das Substrat 10 weist einen anderen Ätzwiderstand auf als die Lackschicht 15. In einigen Ausführungsformen ist das Ätzmittel selektiver gegen das Substrat 10 als die Lackschicht 15.
  • In einigen Ausführungsformen wird die belichtete Lackschicht 15 während der Ätzoperation in einigen Ausführungsformen mindestens teilweise entfernt. In anderen Ausführungsformen wird die belichtete Lackschicht 15 nach dem Ätzen von Substrat 10 durch selektives Ätzen unter Verwendung eines geeigneten Lackstripperlösungsmittels oder durch eine Lackplasmaascheoperation entfernt.
  • In einigen Ausführungsformen umfasst das Substrat 10 eine einkristalline Halbleiterschicht auf mindestens einem Flächenabschnitt. Das Substrat 10 kann ein einkristallines Halbleitermaterial umfassen, wie etwa unter anderem Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb und InP. In einigen Ausführungsformen ist das Substrat 10 eine Siliziumschicht eines SOI-Substrats (Silizium-auf-Isolator). In bestimmten Ausführungsformen ist das Substrat 10 aus kristallinem Si hergestellt.
  • Das Substrat 10 kann in seiner Oberflächenregion eine oder mehrere Pufferschichten umfassen (nicht dargestellt). Die Pufferschichten können dazu dienen, schrittweise die Gitterkonstante von der des Substrats zu der der nachfolgend gebildeten Source-/Drain-Regionen zu ändern. Die Pufferschichten können aus epitaktisch aufgebauten einkristallinen Halbleitermaterialen gebildet sein, wie etwa unter anderem Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP und InP. In einer Ausführungsform ist die Siliziumgermanium-Pufferschicht (SiGe-Pufferschicht) epitaktisch auf dem Siliziumsubstrat 10 aufgebaut. Die Germaniumkonzentration auf den SiGe-Pufferschichten kann von 30 Atom% für die unterste Pufferschicht auf 70 Atom% für die oberste Pufferschicht ansteigen.
  • In einigen Ausführungsformen umfasst das Substrat 10 eine oder mehrere Schichten von mindestens einem Metall, einer Metalllegierung und Metall/Nitrid/Sulfid/Oxid/Silizid mit der Formel MXa, wobei M ein Metall ist und X N, S, Se, O, Si ist, und a von ca. 0,4 bis ca. 2,5 reicht. In einigen Ausführungsformen umfasst das Substrat 10 Titan, Aluminium, Kobalt, Ruthenium, Titannitrid, Wolframnitrid, Tantalnitrid und Kombinationen daraus.
  • In einigen Ausführungsformen umfasst das Substrat 10 ein Dielektrikum, das mindestens ein Silizium- oder Metalloxid oder -nitrid der Formel MXbb aufweist, wobei Mein Metall oder Si ist, X N oder O ist und b von ca. 0,4 bis ca. 2,5 reicht. In einigen Ausführungsformen umfasst das Substrat 10 Siliziumdioxid, Siliziumnitrid, Aluminiumoxid, Hafniumoxid, Lanthanoxid und Kombinationen daraus.
  • Die Photolackschicht 15 ist eine photosensitive Schicht, die durch Belichtung mit aktinischer Strahlung strukturiert wird. Typischerweise ändern sich die chemischen Eigenschaften der Photolackregionen, auf die die einfallende Strahlung trifft, in einer Weise, die von der Art des verwendeten Photolacks abhängt. Photolackschichten 15 sind entweder Positiv-Ton-Lacke oder Negativ-Ton-Lacke. Ein Positiv-Ton-Lack bezieht sich auf ein Photolackmaterial, bei dem bei Entwicklung die Abschnitte der Photolackschicht, die mit aktinischer Strahlung belichtet wurden, wie etwa mit UV-Licht, entfernt werden, während die Region des Photolacks, die nicht belichtet (oder weniger belichtet) ist, nach der Entwicklungsoperation auf dem Substrat bleibt. Ein Negativ-Ton-Lack andererseits bezieht sich auf ein Photolackmaterial, bei dem bei Entwicklung die Abschnitte des Photolacks, die mit aktinischer Strahlung belichtet wurden, auf dem Substrat bleiben, während die Region des Photolacks, die nicht belichtet (oder weniger belichtet) ist, während der Entwicklungsoperation entfernt wird.
  • In einigen Ausführungsformen ist die Photolackschicht 15 aus einer Photolackzusammensetzung hergestellt, die eine erste Verbindung oder einen ersten Vorläufer und eine zweite Verbindung oder einen zweiten Vorläufer umfasst, die in einem Dampfzustand kombiniert sind. Der erste Vorläufer oder die erste Verbindung ist ein organometallisches Material mit der Formel: MaRbXc, wie in 9A gezeigt, wobei M mindestens eines aus Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu ist; und Reine substituierte oder nicht substituierte Alkyl-, Alkenyl- oder Carboxylatgruppe ist. In einigen Ausführungsformen ist M ausgewählt aus der Gruppe, bestehend aus Sn, Bi, Sb, In, Te und Kombinationen davon gewählt. In einigen Ausführungsformen ist Rein C3-C6-Alkyl, Alkenyl, oder Carboxylat. In einigen Ausführungsformen ist R ausgewählt aus der Gruppe, bestehend aus Propyl, Isopropyl, Butyl, Isobutyl, sec-Butyl, tert-Butyl, Pentyl, Isopentyl, sec-Pentyl, tert-Pentyl, Hexyl, iso-Hexyl, sec-Hexyl, tert-Hexyl und Kombinationen davon. X ist in einigen Ausführungsformen ein Ligand, Ion oder ein andrer Teil, das/der mit der zweiten Verbindung oder dem zweiten Vorläufer reagiert; und 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 und b + c ≤ 5. In einigen Ausführungsformen ist die Alkyl-, Alkenyl- oder Carboxylatgruppe mit einer oder mehreren Fluorogruppen substituiert. In einigen Ausführungsformen ist der organometallische Vorläufer ein Dimer, wie in 9A gezeigt, wobei jede Monomereinheit durch eine Amingruppe verbunden ist. Jedes Monomer weist eine Formel: MaRbXc, wie oben definiert, auf.
  • In einigen Ausführungsformen ist R ein Alkyl, wie etwa CnH2n+1, wobei n ≥ 3. In einigen Ausführungsformen ist R fluoriert, wobei es z. B. die Formel CnFxH((2n+1)-x) hat. In einigen Ausführungsformen hat R mindestens einen beta-Wasserstoff oder beta-Fluor. In einigen Ausführungsformen ist R ausgewählt aus der Gruppe bestehend aus i-Propyl, n-Propyl, t-Butyl, i-Butyl, n-Butyl, sec-Butyl, n-Pentyl, i-Pentyl, t-Pentyl und sec-Pentyl und Kombinationen davon gewählt.
  • In einigen Ausführungsformen ist X eine Gruppe, die leicht durch die zweite Verbindung oder den zweiten Vorläufer ersetzt werden kann, um eine M-OH-Gruppe zu bilden, wie etwa eine Gruppe, ausgewählt aus der Gruppe, bestehend aus Aminen, einschließlich Dialkylamino und Monoalkylamino; Alkoxy; Carboxylaten, Halogenen und Sulfonaten. In einigen Ausführungsformen ist die Sulfonatgruppe mit einer oder mehreren Amingruppen substituiert. In einigen Ausführungsformen ist das Halogenid eines oder mehrere, ausgewählt aus der Gruppe, bestehend aus F, Cl, Br und I. In einigen Ausführungsformen umfasst die Sulfonatgruppe eine substituierte oder unsubstituierte C1-C3-Gruppe.
  • In einigen Ausführungsformen umfasst die erste organometallische Verbindung oder der erste organometallische Vorläufer einen metallischen Kern M+ mit Liganden L, die an dem metallischen Kern M+ angehängt sind, wie in 9B dargestellt. In einigen Ausführungsformen ist der metallische Kern M+ ein Metalloxid. Die Liganden L umfassen in einigen Ausführungsformen C3-C12 aliphatische oder aromatische Gruppen. Die aliphatischen oder aromatischen Gruppen können unverzweigt oder verzweigt mit zyklischen oder nichtzyklischen gesättigten anhängenden Gruppen sein, die 1-9 Kohlenstoffe umfassen, einschließlich Alkylgruppen, Alkenylgruppen und Phenylgruppen. Die verzweigten Gruppen können ferner mit Sauerstoff oder Halogen substituiert werden. In einigen Ausführungsformen umfassen die C3-C12 aliphatischen oder aromatischen Gruppen heterozyklische Gruppen. In einigen Ausführungsformen sind die C3-C12 aliphatischen oder aromatischen Gruppen an dem Metall mit einer Ether- oder Esterverbindung angehängt. In einigen Ausführungsformen umfassen die C3-C12 aliphatischen oder aromatischen Gruppen Nitrit und Sulfonatsubstituenten.
  • In einigen Ausführungsformen umfasst der organometallische Vorläufer oder die organometallische Verbindung ein sec-Hexyl-tris(dimethylamino)-Zinn, t-Hexyl-tris(dimethylamino)-Zinn, i-Hexyl-tris(dimethylamino)-Zinn, n-Hexyl-tris(dimethylamino)-Zinn, sec-Pentyl-tris(dimethylamino), t-Pentyl-tris(dimethylamino)-Zinn, i-Pentyl-tris(dimethylamino)-Zinn, n-Pentyl-tris(dimethylamino)-Zinn, sec-Butyl-tris(dimethylamino)-Zinn, t-Butyl-tris(dimethylamino)-Zinn, i-Butyl-tris(dimethylamino)-Zinn, n-Butyl-tris(dimethylamino)-Zinn, sec-Butyl-tris(dimethylamino)-Zinn, i-Propyl(tris)dimethylamino-Zinn, n-Propyl-tris(diethylamino)-Zinn und analoge Alkyl(tris)(t-butoxy)-Zinn Verbindungen, umfassend sec-Hexyl-tris(t-butoxy)-Zinn, t-Hexyl-tris(t-butoxy)-Zinn, i-Hexyl-tris(t-butoxy)-Zinn, n-Hexyl-tris(t-Butoxy)-Zinn, sec-Pentyl-tris(t-butoxy), t-Pentyl-tris(t-butoxy)-Zinn, i-Pentyl-tris(t-butoxy)-Zinn, n-Pentyl-tris(t-butoxy)-Zinn, t-Butyl-tris(t-butoxy)-Zinn, i-Butyl-tris(butoxy)-Zinn, n-Butyl-tris(butoxy)-Zinn, sec-Butyl-tris(butoxy)-Zinn, i-Propyl(tris)dimethylamino-Zinn oder n-Propyl-tris(butoxy)-Zinn. In einigen Ausführungsformen sind die organometallischen Vorläufer oder organometallischen Verbindungen fluoriert. In einigen Ausführungsformen weisen die organometallischen Vorläufer oder Verbindungen einen Siedepunkt von weniger als ca. 200 °C auf.
  • In einigen Ausführungsformen umfasst die erste Verbindung oder der erste Vorläufer eine oder mehrere ungesättigte Verbindungen, die mit einer funktionellen Gruppe, wie einer Hydroxylgruppe, koordiniert werden kann, an der Oberfläche des Substrats oder einer dazwischenliegenden Unterschicht zum Verbessern der Haftung der Photolackschicht an dem Substrat oder der Unterschicht.
  • In einigen Ausführungsformen ist der zweite Vorläufer oder die zweite Verbindung mindestens eines aus einem Amin, einem Boran, einem Phosphin oder Wasser. In einigen Ausführungsformen hat das Amin eine Formel NpHnXm, wobei 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3, wenn p 1 ist, und n + m = 4, wenn p 2 ist, und jedes X ist unabhängig ein Halogen, gewählt aus der Gruppe, bestehend aus F, Cl, Br und I. In einigen Ausführungsformen hat das Boran eine Formel BpHnXm, wobei 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3, wenn p 1 ist, und n + m = 4, wenn p 2 ist, und jedes X ist unabhängig ein Halogen, gewählt aus der Gruppe bestehend aus F, Cl, Br und I. In einigen Ausführungsformen hat das Phosphin eine Formel PpHnXm, wobei 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3, wenn p 1 ist, oder n + m = 4, wenn p 2 ist, und jedes X ist unabhängig ein Halogen, ausgewählt aus der Gruppe, bestehend aus F, Cl, Br und I.
  • In einigen Ausführungsformen ist der zweite Vorläufer oder die zweite Verbindung Wasser, Ammoniak oder Hydrazin. Das Reaktionsprodukt aus Wasser, Ammoniak oder Hydrazin und dem organometallischen Vorläufer oder Verbindung kann Wasserstoffbindungen bilden, die den Siedepunkt des Reaktionsprodukts anheben und Freisetzung von Metallphotolackmaterial verhindern, und damit eine Metallverunreinigung verhindern. Die Wasserstoffbindungen können auch helfen, Feuchtigkeitswirkungen auf die Photolackschichtqualität zu verhindern.
  • 9B zweigt eine Reaktion, die metallische Vorläufer aufgrund von Belichtung mit aktinischer Strahlung in einer Ausführungsform durchlaufen. Aufgrund der Belichtung mit der aktinischen Strahlung trennen sich Ligandengruppen L von dem metallischen Kern M+ des metallischen Vorläufers und zwei oder mehr metallische Vorläuferkerne verbinden sich miteinander.
  • 9C zeigt Beispiele organometallischer Vorläufer nach Ausführungsformen der Offenbarung. In 9C ist Bz eine Benzolgruppe.
  • in einigen Ausführungsformen erfolgt die Operation S110 des Abscheidens einer Photolackzusammensetzung durch eine Gasphasenabscheidungsoperation. In einigen Ausführungsformen umfasst die Gasphasenabscheidungsoperation Atomlagenabscheidung (ALD) oder chemische Gasphasenabscheidung (CVD). In einigen Ausführungsformen umfasst die ALD plasmaverstärkte Atomlagenabscheidung (PE-ALD) und die CVD umfasst plasmaverstärkte chemische Gasphasenabscheidung (PE-CVD), metallorganische chemische Gasphasenabscheidung (MO-CVD); chemische Gasphasenabscheidung bei atmosphärischem Druck (AP-CVD) und chemische Niederdruck-Gasphasenabscheidung (LP-CVD).
  • Eine Lackschichtabscheidungsvorrichtung 200 nach einigen Ausführungsformen der Offenbarung ist in 10 gezeigt. In einigen Ausführungsformen ist die Abscheidungsvorrichtung 200 eine ALD- oder CVD-Vorrichtung. Die Abscheidungsvorrichtung 200 umfasst eine Vakuumkammer 205. Eine Substratträgerstufe 210 in der Vakuumkammer 205 trägt ein Substrat 10, wie etwa einen Siliziumwafer. In einigen Ausführungsformen umfasst die Substratträgerstufe 210 eine Heizung. Eine erste Vorläufer- oder Verbindungsgaszufuhr 220 und eine Träger-/Spülgaszufuhr 225 sind in einigen Ausführungsformen mit einem Einlass 230 in die Kammer über eine Gasleitung 235 verbunden, und eine zweite Vorläufer- oder Verbindungsgaszufuhr 240 und Träger-/Spülgaszufuhr 225 sind mit einem anderen Einlass 230' in die Kammer über eine andere Gasleitung 235' verbunden. Die Kammer wird evakuiert, und überschüssige Reaktionsnebenprodukte werden durch eine Vakuumpumpe 245 über einen Auslass 250 und eine Abgasleitung 255 entfernt. In einigen Ausführungsformen werden die Durchflussrate oder die Pulse von Vorläufergasen und Träger-/Spülgasen, die Evakuierung von überschüssigen Reaktionsmitteln und Reaktionsnebenprodukten, Druck in der Vakuumkammer 205 und Temperatur der Vakuumkammer 205 oder der Waferträgerstufe 210 durch einen Controller 260 gesteuert, der konfiguriert ist, jeden dieser Parameter zu steuern.
  • Das Abscheiden einer Photolackschicht umfasst das Kombinieren der ersten Verbindung oder des ersten Vorläufers und der zweiten Verbindung oder des zweiten Vorläufers in einem Dampfzustand zum Bilden der Photolackzusammensetzung. In einigen Ausführungsformen werden die erste Verbindung oder der erste Vorläufer und die zweite Verbindung oder der zweite Vorläufer der Photolackzusammensetzung in die Abscheidungskammer 205 (CVD-Kammer) etwa gleichzeitig über die Einlässe 230, 230' eingeführt. In einigen Ausführungsformen werden die erste Verbindung oder der erste Vorläufer und die zweite Verbindung oder der zweite Vorläufer in die Abscheidungskammer 205 (ALD-Kammer) abwechselnd über die Einlässe 230, 230', d. h. - erst eine Verbindung oder ein Vorläufer, dann eine zweite Verbindung oder ein zweiter Vorläufer, und dann nachfolgend abwechselnd eine Wiederholung der Einführung der einen Verbindung oder des Vorläufers, gefolgt von der zweiten Verbindung oder dem zweiten Vorläufer.
  • In einigen Ausführungsformen reicht die Abscheidungskammertemperatur während der Abscheidungsoperation von ca. 30 °C bis ca. 400 °C und in anderen Ausführungsformen von ca. 50 °C bis ca. 250 °C. In einigen Ausführungsformen reicht der Druck in der Abscheidungskammer während der Abscheidungsoperation von ca. 5 mTorr bis ca. 100 Torr, und in anderen Ausführungsformen von ca. 100 mTorr bis ca. 10 Torr. In einigen Ausführungsformen ist die Plasmaleistung geringer als ca. 1000 W. In einigen Ausführungsformen reicht die Plasmaleistung von ca. 100 W bis ca. 900 W. In einigen Ausführungsformen reicht die Durchflussrate der ersten Verbindung oder des ersten Vorläufers und der zweiten Verbindung oder des zweiten Vorläufers von ca. 100 sccm bis ca. 1000 sccm. In einigen Ausführungsformen reicht das Verhältnis des Flusses des organometallischen Verbindungsvorläufers zu der zweiten Verbindung oder dem Vorläufer von ca. 1:1 bis ca. 1:5. Bei Betriebsparametern außerhalb der oben genannten Bereiche entstehen in einigen Ausführungsformen unzufriedenstellende Photolackschichten. In einigen Ausführungsformen erfolgt die Photolackschichtbildung in einer einzigen Kammer (eine Ein-Topf-Schichtbildung).
  • In einem CVD-Prozess nach einigen Ausführungsformen der Offenbarung werden zwei oder mehr Gasströme in separaten Einlasspfaden 230, 235 und 230', 235' eines organometallischen Vorläufers und eines zweiten Vorläufers in die Abscheidungskammer 205 einer CVD-Vorrichtung eingeführt, wobei sie sich mischen und in der Gasphase reagieren, um ein Reaktionsprodukt zu bilden. Die Ströme werden in einigen Ausführungsformen unter Verwendung separater Injektionseinlässe 230, 230' oder eines Doppelplenumduschkopfs eingeführt. Die Abscheidungsvorrichtung ist so konfiguriert, dass die Ströme organometallischer Vorläufer und zweiter Vorläufer in der Kammer gemischt werden, was dem organometallischem Vorläufer und dem zweiten Vorläufer erlaubt, zu reagieren, um ein Reaktionsprodukt zu bilden. Ohne Einschränkung des Mechanismus, der Funktion oder Anwendbarkeit der Offenbarung wird angenommen, dass das Produkt der Gasphasenreaktion ein schwereres Molekulargewicht aufweist und dann verdichtet oder anderweitig auf dem Substrat 10 abgeschieden wird.
  • In einigen Ausführungsformen wird ein ALD-Prozess verwendet, um die Photolackschicht abzuscheiden. Während des ALD wird eine Schicht auf einem Substrat 10 aufgebaut, indem die Oberfläche des Substrats abwechselnd gasförmigen Verbindungen (oder Vorläufern) ausgesetzt wird. Im Gegensatz zu CVD werden die Vorläufer als eine Reihe von sequenziellen, nicht überlappenden Pulsen eingeführt. In jedem dieser Pulse reagieren die Vorläufermoleküle mit der Oberfläche in selbsteinschränkender Weise, sodass die Reaktion endet, wenn alle reaktiven Stellen an der Oberfläche aufgebraucht sind. Deshalb wird eine maximale Menge an Material, die auf der Oberfläche nach einem einzigen Kontakt mit allen Vorläufern (einem ALD-Zyklus) ausgesetzt ist, durch die Art der Vorläufer-Oberflächeninteraktion bestimmt.
  • In einer Ausführungsform eines ALD-Prozesses wird ein organometallischer Vorläufer gepulst, um in einer ersten halben Reaktion den metallhaltigen Vorläufer auf die Oberfläche des Substrats 10 aufzubringen. In einigen Ausführungsformen reagiert der organometallische Vorläufer mit einer geeigneten darunterliegenden Spezies (beispielsweise OH- oder NH-Funktion auf der Oberfläche des Substrats) zum Bilden einer neuen selbstsättigenden Fläche. Überschüssige unbenutzte Reaktionsmittel und die Reaktionsnebenprodukte werden in einigen Ausführungsformen durch ein Evakuierungsabpumpen unter Verwendung einer Vakuumpumpe 245 und/oder durch ein Fließen eines inerten Spülgases entfernt. Dann wird ein zweiter Vorläufer, wie etwa Ammoniak (NH3), in einigen Ausführungsformen in die Abscheidungskammer pulsiert. Das NH3 reagiert mit dem organometallischen Vorläufer auf dem Substrat, um einen Reaktionsproduktphotolack auf der Substratfläche zu erhalten. Der zweite Vorläufer bildet auch selbstsättigende Verbindungen mit den darunterliegenden reaktiven Spezies zum Bereitstellen einer weiteren selbsteinschränkenden und sättigenden zweiten halben Reaktion. Eine zweite Spülung erfolgt in einigen Ausführungsformen zum Entfernen von ungenutztem Reaktionsnebenprodukten. Pulse des ersten Vorläufers und des zweiten Vorläufers erfolgen abwechselnd mit dazwischenliegenden Spüloperationen, bis eine gewünschte Dicke der Photolackschicht erreicht wird.
  • In einigen Ausführungsformen wird die Photolackschicht 15 mit einer Dicke von ca. 5 nm bis ca. 50 nm und in anderen Ausführungsformen mit einer Dicke von ca. 10 nm bis ca. 30 nm gebildet. Ein gewöhnlicher Fachmann auf dem Gebiet erkennt, dass weitere Bereiche von Dicken innerhalb der ausdrücklichen oben genannten Bereiche in Erwägung gezogen werden und in diese Offenbarung fallen. Die Dicke kann unter Verwendung von Nichtkontaktverfahren von Röntgenreflektivität und/oder Ellipsometrie, basierend auf den optischen Eigenschaften der Photolackschichten, bewertet werden. In einigen Ausführungsformen ist jede Photolackschichtdicke relativ einheitlich, um die Verarbeitung zu erleichtern. In einigen Ausführungsformen variiert die Variation der Dicke der abgeschiedenen Photolackschicht um nicht mehr als ± 25 % um die durchschnittliche Dicke; in anderen Ausführungsformen variiert jede Photolackschichtdicke um nicht mehr als ± 10 % um die durchschnittliche Photolackschichtdicke. In einigen Ausführungsformen, wie etwa in stark einheitlichen Abscheidungen auf größeren Substraten, kann die Bewertung der Einheitlichkeit der Photolackschicht mit einem 1-Zentimeter-Kantenausschnitt bewertet werden, d. h. die Schichteneinheitlichkeit wird für Abschnitte der Beschichtung innerhalb von 1 Zentimeter der Kante nicht bewertet. Ein Fachmann auf dem Gebiet erkennt, dass weitere Bereiche innerhalb der ausdrücklichen oben genannten Bereiche in Erwägung gezogen werden und in den Umfang der vorliegenden Offenbarung fallen.
  • In einigen Ausführungsformen werden die erste und die zweite Verbindung oder der erste und der zweite Vorläufer mit einem Trägergas in die Abscheidungskammer 205 zugeführt. Das Trägergas, ein Spülgas, ein Abscheidungsgas oder ein anderes Prozessgas kann Stickstoff, Wasserstoff, Argon, Neon, Helium oder Kombinationen davon umfassen.
  • In einigen Ausführungsformen umfasst die organometallische Verbindung Zinn (Sn), Antimon (Sb), Wismut (Bi), Indium (In) und/oder Tellurium (Te) als die Metallkomponente, die Offenbarung ist jedoch nicht auf diese Metalle beschränkt. In anderen Ausführungsformen umfassen weitere geeignete Metalle Titan (Ti), Zirconium (Zr), Hafnium (Hf), Vanadium (V), Kobalt (Co), Molybdän (Mo), Wolfram (W), Aluminium (Al), Gallium (Ga), Silizium (Si), Germanium (Ge), Phosphor (P), Arsen (As), Yttrium (Y), Lanthan (La), Cerium (Ce), Lutetium (Lu) oder Kombinationen daraus. Die weiteren Metalle können als Alternativen oder neben den Sn, Sb, Bi, In und/oder Te verwendet werden.
  • Das bestimmte Metall, das verwendet wird, kann die Absorption der Strahlung wesentlich beeinflussen. Daher kann die Metallkomponente basierend auf der gewünschten Strahlung und dem Absorptionsquerschnitt gewählt werden. Zinn, Antimon, Wismut, Tellurium und Indium stellen eine starke Absorption von extrem ultraviolettem Licht bei 13,5 nm bereit. Hafnium stellt eine gute Absorption von Elektronenstrahlen und extremer UV-Strahlung bereit. Metallzusammensetzungen, die Titan, Vanadium, Molybdän oder Wolfram umfassen, weisen eine starke Absorption bei längeren Wellenlängen auf, um beispielsweise eine Sensitivität für die 248-nm-Wellenlänge von ultraviolettem Licht bereitzustellen.
  • 11 zeigt eine Reaktion der Photolackzusammensetzungskomponenten aufgrund der Belichtung mit aktinischer Strahlung und Erhitzung nach einer Ausführungsform der Offenbarung. 11 zeigt eine beispielhafte chemische Struktur der Photolackschicht (PR) in verschiedenen Stufen des Photolackstrukturierungsverfahrens nach Ausführungsformen der Offenbarung. Wie in 11 gezeigt, umfasst die Photolackzusammensetzung eine organometallische Verbindung, beispielsweise SnX2R2, und eine zweite Verbindung, beispielsweise Ammoniak (NH3). Wenn die organometallische Verbindung und das Ammoniak kombiniert werden, reagiert die organometallische Verbindung mit einem Teil des Ammoniaks in der Gasphase zum Bilden eines Reaktionsprodukts mit Amingruppen, die an dem Metall (Sn) der organometallischen Verbindung angehängt sind. Die Amingruppen in der abgeschiedenen Photolackschicht weisen Wasserstoffbindungen auf, die im Wesentlichen den Siedepunkt der abgeschiedenen Photolackschicht erhöhen und das Ausgasen von metallhaltigem Photolackmaterial verbinden können, was eine Verunreinigung der Abscheidungskammer und Halbleitervorrichtungverarbeitungsausrüstung durch das Metall in dem metallhaltigen Photolack verhindert. Weiterhin können die Wasserstoffbindungen der Amingruppen die Wirkung steuern, die Feuchtigkeit auf die Photolackschichtqualität hat.
  • Bei einer nachfolgenden Belichtung mit ultravioletter Strahlung absorbiert die organometallische Verbindung die extrem ultraviolette Strahlung, und eine oder mehrere organische R-Gruppen werden von der organometallischen Verbindung abgespalten, um eine aminometallische Verbindung in den von der Strahlung belichteten Bereichen zu bilden. Dann quervernetzen sich, wenn das Nachbelichtungsbrennen (PEB) ausgeführt wird, die aminometallischen Verbindungen in einigen Ausführungsformen durch die Amingruppen, wie in 11 dargestellt. In einigen Ausführungsformen entstehen teilweise Quervernetzungen der aminometallischen Verbindungen aufgrund von Belichtung mit extrem ultravioletter Strahlung.
  • In einigen Ausführungsformen umfasst die Schutzschicht 20 ein Polymer. In einigen Ausführungsformen ist das Polymer mindestens eines aus einem Polyvinylalkohol, einer Polyacrylsäure, einem Polymethylmethacrylat, einem Polyacrylamid, einem Polytetrafluoroethylen, einem Polyethylen, einem Polypropylen, einem Polystyrol, einem Polyhydroxystyrol oder einer Polymethylacrylsäure. In einigen Ausführungsformen weist das Polymer ein Molekulargewicht von ca. 1000 Dalton bis ca. 10.000 Dalton auf. In einigen Ausführungsformen weist das Polymer ein Molekulargewicht von ca. 2000 Dalton bis ca. 8.000 Dalton auf. Polymere mit Molekulargewichten von weniger als 1000 Dalton hemmen möglicherweise nicht ausreichend das Ausgasen von metallhaltigem Lack. Polymere, die Molekularegewichte von mehr als ca. 10.000 Dalton aufweisen, sind nachträglich möglicherweise schwer zu entfernen und führen zu einer verringerten Auflösung der Lackstruktur. In einigen Ausführungsformen liegt die Dicke der Schutzschicht 20 im Bereich von ca. 3 nm bis ca. 100 nm. In einigen Ausführungsformen weist die Schutzschicht eine Dicke von ca. 15 nm bis ca. 80 nm auf. Bei Dicken unter ca. 3 nm hemmt die Schutzschicht möglicherweise nicht ausreichend das Ausgasen von metallhaltigem Lack. Bei Dicken über 100 nm kann es schwer sein, nachfolgend vollständig die Schutzschicht zu entfernen, was zu einer verringerten Auflösung der Lackstruktur führt.
  • In einigen Ausführungsformen umfasst das Bilden der Schutzschicht 20: Kombinieren eines Polymers und eines Lösungsmittels zum Bilden einer Schutzschichtzusammensetzung, Abscheiden der Schutzschichtzusammensetzung über der Lackschicht 15 und Entfernen des Lösungsmittels von der Schutzschichtzusammensetzung. In einigen Ausführungsformen umfasst das Abscheiden der Schutzschichtzusammensetzung Spincoating der Schutzschichtzusammensetzung über der Lackschicht 15. In einigen Ausführungsformen umfasst das Entfernen des Lösungsmittels von der Schutzschichtzusammensetzung das Erhitzen der Schutzschicht 20 bei einer Temperatur von ca. 40 °C und ca. 150 °C für ca. 10 Sekunden bis ca. 10 Minuten.
  • In einigen Ausführungsformen ist das Lösungsmittel mindestens eines von einem Ether, umfassend Propylenglycolmethyletheracetat (PGMEA), Propylenglycolmonomethylether (PGME), Diethylether, Diisoamylether (DIAE) und 1-Ethoxy-2-Propanol (PGEE); γ-Butyrolacton (GBL); Cyclohexanon (CHN); Ethyllactat (EL); einem Alkohol, umfassend Methanol, Ethanol, Propanol, Isopropanol (IPA), n-Butanol, 4-Methyl-2-Pentanol; einem Keton, umfassend Aceton, Methylethylketon und 2-Heptanon (MAK); Dimethylformamid (DMF); Tetrahydrofuran (THF); Methylisobutylcarbinol (MIBC); n-Butylacetat (nBA); Tetrahydrofuran (THF); 1,4-Dioxan; einem Alkan; und entionisiertem Wasser. In einigen Ausführungsformen wird das Polymer in dem Lösungsmittel gelöst, und die darunterliegende Lackschicht ist in dem Lösungsmittel nicht löslich.
  • In einigen Ausführungsformen ist die Schutzschicht 20 keine Photolackschicht. In einigen Ausführungsformen durchläuft der Abschnitt der Schutzschicht 20, der selektiv mit der aktinischen Strahlung belichtet ist, keine Quervernetzungsreaktion. In einigen Ausführungsformen ist die Zusammensetzung der Schutzschicht nicht photosensitiv gegen die aktinische Strahlung, die verwendet wird, um selektiv die Lackschicht 15 zu entfernen. In einigen Ausführungsformen wirkt sich die Schutzschicht 20 nicht auf die Absorption aktinischer Strahlung durch die Lackschicht 15 auf.
  • In einigen Ausführungsformen ist das Lösungsmittel ein polares Lösungsmittel, umfassend mindestens eines aus entionisiertem Wasser, einem Alkohol oder einem Keton. In einigen Ausführungsformen umfasst das polare Lösungsmittel mindestens eines aus IPA, PGME, DMSO oder Wasser. In einigen Ausführungsformen umfasst die Zusammensetzung der Schutzschicht 20 ein polares Lösungsmittel, und das Polymer ist ein hydrophiles Polymer. In einigen Ausführungsformen ist das hydrophile Polymer mindestens eines von einem Polyvinylalkohol, einer Polyacrylsäure, einer Polymethylacrylsäure, einem Polyacrylamid oder einem Polyhydroxystyrol. Das hydrophile Polymer stellt eine Schutzschicht mit einer hydrophilen oberen Oberfläche bereit. Das hydrophile Polymer kann eine verbesserte Lösbarkeit in dem Lösungsmittel aufweisen, das zum Entfernen der Schutzschicht verwendet wird, und eine verbesserte Auflösung der Lackschicht ermöglichen. In einigen Ausführungsformen verbessert die hydrophile Polymerschutzschicht die Entwicklungsoperation der Lackschicht 15 und verbessert damit die Strukturauflösung.
  • In einigen Ausführungsformen ist das Lösungsmittel ein nichtpolares Lösungsmittel, umfassend mindestens eines von einem Ether, wie etwa Diethylether oder DIAE; einem Alkan; oder 1,4-Dioxan, und das Polymer ist ein hydrophobes Polymer. In einigen Ausführungsformen ist das hydrophobe Polymer mindestens eines von einem Polytetrafluoroethylen, einem Polyethylen, einem Polypropylen oder einem Polystyrol. In einigen Ausführungsformen stellt das hydrophobe Polymer eine hydrophobe Oberfläche bereit, die Feuchtigkeit in der Umgebung daran hindert, in die Lackschicht einzudringen und die Leistung der Lackschicht zu verschlechtern. Absorption von Feuchtigkeit durch die Lackschicht 15 kann zu übermäßigen Quervernetzungen der Lackschicht 15 führen, auch in nicht belichteten Abschnitten der Lackschicht, wobei Quervernetzungen unerwünscht sein können. Daher verbessert in einigen Ausführungsformen die hydrophobe Oberfläche die Strukturauflösung.
  • Die Schutzschicht 20 wird durch jedes aus den hierin offenbarten Lösungsmitteln entfernt. In anderen Ausführungsformen wird die Schutzschicht durch Ultraviolette Strahlung, thermische Behandlung, Ätzen oder den Lackentwickler entfernt.
  • In einigen Ausführungsformen ist eine Schicht, die strukturiert werden soll (Zielschicht) 60 über dem Substrat angeordnet, bevor die Photolackschicht gebildet wird, wie in 12 gezeigt ist. In einigen Ausführungsformen ist die Schicht, die strukturiert 60 werden soll, eine Metallisierungsschicht oder eine Dielektrikumschicht, wie etwa eine Passivierungsschicht, die über eine Metallisierungsschicht angeordnet ist. In Ausführungsformen, in denen die Schicht, die strukturiert 60 werden soll, eine Metallisierungsschicht ist, wird die Schicht, die strukturiert 60 werden soll, unter Verwendung von Metallisierungsprozessen und Metallabscheidungstechniken, umfassend chemische Gasphasenabscheidung, Atomlagenabscheidung und physische Gasphasenabscheidung (Sputtering), aus einem leitfähigen Material gebildet. Ebenso wird, wenn die Schicht, die strukturiert 60 werden soll, eine Dielektrikumschicht ist, die Schicht, die strukturiert 60 werden soll, durch Techniken zur Bildung einer Dielektrikumschicht gebildet, umfassend thermische Oxidierung, chemische Gasphasenabscheidung, Atomlagenabscheidung und physische Gasphasenabscheidung.
  • In einigen Ausführungsformen durchläuft die Lackschicht 15 dann für ca. 10 Sekunden bis ca. 10 Minuten eine optionale erste Heizoperation bei einer Temperatur zwischen ca. 40 °C und ca. 150 °C. Nach der optionalen ersten Heizoperation oder der Lackschichtbildung wird eine Schutzschicht 20 über der Lackschicht 15 gebildet, wie in 13 gezeigt. Die Schutzschicht 20 ist dieselbe wie die Schutzschicht, die mit Verweis auf 3 beschrieben ist. In einigen Ausführungsformen wird die Schutzschicht 20 nachfolgend in einer zweiten Heizoperation erhitzt, um Lösungsmittel zu entfernen oder die Schutzschicht bei einer Temperatur zwischen ca. 40 °C und ca. 150 °C für ca. 10 Sekunden bis ca. 10 Minuten zu trocknen.
  • Die Photolackschicht 15 und die Schutzschicht 20 werden nachfolgend selektiv mit aktinischer Strahlung 45 belichtet, um belichtete Regionen 50 und unbelichtete Regionen 52 in der Photolackschicht zu bilden, wie in 14A und 14B gezeigt und hierin mit Bezug auf 4A und 4B beschrieben. Wie hierin erklärt, ist der Photolack in einigen Ausführungsformen ein Negativ-Ton-Photolack.
  • Als nächstes durchlaufen die Lackschicht 15 und die Schutzschicht 20 eine dritte Erhitzung oder ein Nachbelichtungsbrennen (PEB). In einigen Ausführungsformen werden die Lackschicht 15 und die Schutzschicht 20 für ca. 20 Sekunden bis ca. 300 Sekunden auf eine Temperatur von ca. 50 °C bis ca. 250 °C erhitzt. In einigen Ausführungsformen erfolgt das Nachbelichtungsbrennen bei einer Temperatur von ca. 100 °C bis ca. 230 °C, und in anderen Ausführungsformen bei einer Temperatur von ca. 150 °C bis ca. 200 °C.
  • In einigen Ausführungsformen wird die Schutzschicht 20 nachfolgend, wie in 15 gezeigt ist, nach der Nachbelichtungsbrennoperation entfernt.
  • Die nicht-belichteten Photolackregionen 52 werden durch Auftragen von Entwickler 57 aus einem Spender 62 entwickelt, wie in 16A gezeigt. In einigen Ausführungsformen wird die Schutzschicht 20 während der Entwicklungsoperation, wie in 16B gezeigt, entfernt. In anderen Ausführungsformen werden die nicht entwickelten Photolackregionen durch eine trockene Entwicklungsoperation entwickelt, wie in 16C dargestellt. Die Entwicklungsoperation bildet eine Photolackstruktur 55, wie in 17 gezeigt. Die Entwicklungsoperation ist ähnlich wie die hierin mit Verweis auf 6A, 6B, 6C und 7 erklärte.
  • Dann wird, wie in 18 dargestellt, die Struktur 55 in der Photolackschicht 15 auf die Schicht, die strukturiert 60 werden soll, mit einer Ätzoperation übertragen, und die Photolackschicht wird entfernt, wie mit Verweis auf 8 beschrieben, um Struktur 55" in der Schicht zu bilden, die strukturiert 60 werden soll.
  • Andere Ausführungsformen umfassen andere Operationen vor, während oder nach den oben beschriebenen Operationen. In einigen Ausführungsformen umfassen die offenbarten Verfahren das Bilden von Finnenfeldeffekttransistorstrukturen (FinFET-Strukturen). In einigen Ausführungsformen sind mehrere aktive Finnen an dem Halbleitersubstrat gebildet. Solche Ausführungsformen umfassen ferner das Ätzen des Substrats durch die Öffnungen einer strukturierten Hartmaske zum Bilden von Gräben in dem Substrat; das Füllen der Gräben mit einem Dielektrikum; das Ausführen eines chemisch-mechanischen Polierprozesses (CMP-Prozess) zum Bilden von Shallow-Trench-Isolation-Merkmalen (STI-Merkmalen); und das epitaktische Aufbauen oder Ausschneiden der STI-Merkmale zum Bilden von finnenähnlichen aktiven Regionen. In einigen Ausführungsformen sind eine oder mehrere Gateelektroden auf dem Substrat gebildet. Einige Ausführungsformen umfassen das Bilden von Gateabstandhaltern, dotierten Source-/Drain-Regionen, Kontakten für Gate-/Source-/Drain-Merkmale usw. In anderen Ausführungsformen ist eine Zielstruktur als Metallleitungen in einer vielschichtigen Verbindungstruktur gebildet. Beispielsweise können die Metallleitungen in einer Zwischenschichtdielektrikumschicht (ILD-Schicht) des Substrats gebildet sein, die geätzt wurde, um mehrere Gräben zu bilden. Die Gräben können mit einem leitfähigen Material gefüllt sein, wie etwa einem Metall; und das leitfähige Material kann unter Verwendung eines Prozesses wie einer chemisch-mechanischen Planarisierung (CMP) poliert sein, um die strukturierte ILD Schicht offenzulegen, wodurch die Metallleitungen in der ILD-Schicht gebildet werden. Die obigen sind nicht einschränkende Beispiele von Vorrichtungen/Strukturen, die über die hierin beschriebenen Verfahren hergestellt und/oder verbessert werden können.
  • In einigen Ausführungsformen werden aktive Bestandteile wie Dioden, Feldeffekttransistoren (FETs), Metalloxidhalbleiter-Feldeffekttransistoren (MOSFET), komplementäre Metalloxidhalbleitertransistoren (CMOS-Transistoren), bipolare Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, FinFETs, andere dreidimensionale (3D) FETs, Metalloxidhalbleiter-Feldeffekttransistoren (MOSFET), komplementäre Metalloxidhalbleitertransistoren (CMOS-Transistoren), bipolare Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere Speicherzellen und Kombinationen davon nach Ausführungsformen der Offenbarung gebildet.
  • Ausführungsformen der Offenbarung stellen einen einheitlichen Photolackfilm bereit, der auf der Fläche eines Halbleitersubstrats abgeschieden ist. In einigen Ausführungsformen wird eine Verunreinigung der Abscheidungskammer und der Halbleitersubstrathandhabungsausrüstung durch Metalle in metallhaltige Photolacken verhindert. Photolackfeuchtigkeitsempfindlichkeitsprobleme werden durch Verfahren nach Ausführungsformen der Offenbarung verhindert. Verbesserte Strukturauflösung wird durch Ausführungsformen dieser Offenbarung bereitgestellt. Ausführungsformen der Offenbarung stellen verbesserte Leitungsbreitenrauheit bereit.
  • Eine Ausführungsform der Offenbarung ist ein Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend das Bilden einer Photolackschicht über einem Substrat, umfassend das Kombinieren eines ersten Vorläufers und eines zweiten Vorläufers in einem Gaszustand zum Bilden eines Photolackmaterials und Abscheiden des Photolackmaterials über dem Substrat. Eine Schutzschicht wird über der Photolackschicht gebildet. Die Photolackschicht wird selektiv mit aktinischer Strahlung durch die Schutzschicht belichtet, um eine latente Struktur in der Photolackschicht zu bilden. Die Schutzschicht wird entfernt und die latente Struktur wird entwickelt, indem ein Entwickler auf die selektiv belichtete Photolackschicht aufgebracht wird, um eine Struktur zu bilden. In einer Ausführungsform umfasst die Schutzschicht ein hydrophiles Polymer. In einer Ausführungsform umfasst die Schutzschicht ein hydrophobes Polymer. In einer Ausführungsform umfasst die Schutzschicht ein Polymer, das aus der Gruppe ausgewählt ist, bestehend aus einem Polyvinylalkohol, einer Polyacrylsäure, einem Polymethylmethacrylat, einem Polyacrylamid, einem Polytetrafluoroethylen, einem Polyethylen, einem Polypropylen, einem Polystyrol, einem Polyhydroxystyrol, einer Polymethylacrylsäure und Kombinationen davon. In einer Ausführungsform umfasst die Schutzschicht ein Polymer. In einer Ausführungsform weist das weist das Polymer ein Molekulargewicht von ca. 1000 Dalton bis ca. 10,000 Dalton auf. In einer Ausführungsform umfasst das Bilden einer Schutzschicht über der Photolackschicht Spincoating einer Mischung des Polymers und eines Lösungsmittels über dem Substrat. In einer Ausführungsform ist der erste Vorläufer ein organometallisches Material mit einer Formel: MaRbXc, wobei M mindestens eines ist von Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu; R eine substituierte oder unsubstituierte Alkyl-, Alkenyl- oder Carboxylatgruppe ist; X eine Halogenid- oder Sulfonatgruppe ist; und 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 und b + c ≤ 5; und der zweite Vorläufer mindestens eines ist von einem Amin, einem Boran, einem Phosphin oder Wasser. In einer Ausführungsform umfasst das Verfahren nach dem selektiven Belichten der Photolackschicht mit aktinischer Strahlung zum Bilden einer latenten Struktur und vor dem Entfernen der Schutzschicht das Erhitzen der Photolackschicht. In einer Ausführungsform umfasst das Verfahren nach dem Bilden der Schutzschicht über der Photolackschicht und vor dem selektiven Belichten der Photolackschicht mit aktinischer Strahlung das Erhitzen der Photolackschicht. In einer Ausführungsform wird die Schutzschicht während der Entwicklung der latenten Struktur entfernt. In einer Ausführungsform wird das Photolackmaterial über dem Substrat durch Atomlagenabscheidung (ALD) oder chemische Gasphasenabscheidung (CVD) abgeschieden.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend das Abscheiden einer Photolackzusammensetzung, die eine erste organometallische Verbindung und eine zweite Verbindung umfasst, über einer Substratfläche durch Atomlagenabscheidung (ALD) oder chemische Gasphasenabscheidung (CVD) zum Bilden einer Photolackschicht. Eine Schutzschicht, die ein Polymer umfasst, wird über der Photolackschicht gebildet. Die Photolackschicht wird selektiv mit aktinischer Strahlung durch die Schutzschicht belichtet, um eine latente Struktur zu bilden. Die Schutzschicht wird entfernt, und die latente Struktur wird entwickelt, indem ein Entwickler auf die selektiv belichtete Photolackschicht aufgebracht wird, um eine Struktur zu bilden, die einen Abschnitt der Substratstruktur belichtet. Ein Abschnitt der Substratfläche, der durch die Entwicklung belichtet wird, wird entfernt. In einer Ausführungsform ist die erste organometallische Verbindung mindestens eine von sec-Hexyl-tris(dimethylamino)-Zinn, t-Hexyl-tris(dimethylamino)-Zinn, i-Hexyl-tris(dimethylamino)-Zinn, n-Hexyl-tris(dimethylamino)-Zinn, sec-Pentyl-tris(dimethylamino), t-Pentyl-tris(dimethylamino)-Zinn, i-Pentyl-tris(dimethylamino)-Zinn, n-Pentyl-tris(dimethylamino)-Zinn, sec-Butyl-tris(dimethylamino)-Zinn t-Butyl-tris(dimethylamino)-Zinn, i-Butyl-tris(dimethylamino)-Zinn, n-Butyl-tris(dimethylamino)-Zinn, sec-Butyl-tris(dimethylamino)-Zinn, i-Propyl(Tris)dimethylamino-Zinn, n-Propyl-tris(Diethylamino)-Zinn und analogen Alkyl(tris)(t-butoxy)-Zinn Verbindungen, umfassend sec-Hexyl-tris(t-butoxy)-Zinn, t-Hexyl-tris(t-butoxy)-Zinn, i-Hexyl-tris(t-butoxy)-Zinn, n-Hexyl-tris(t-butoxy)-Zinn, sec-Pentyl-tris(t-butoxy), t-Pentyl-tris(t-butoxy)-Zinn, i-Pentyl-tris(t-butoxy)-Zinn, n-Pentyl-tris(t-butoxy)-Zinn, t-Butyl-tris(t-butoxy)-Zinn, i-Butyl-tris(butoxy)-Zinn, n-Butyl-tris(butoxy)-Zinn, sec-Butyl-tris(butoxy)-Zinn, i-Propyl(tris)dimethylamino-Zinn oder n-Propyl-tris(butoxy)-Zinn; und die zweite Verbindung ist mindestens eine von einem Amin, einem Boran, einem Phosphin oder Wasser. In einer Ausführungsform umfasst das Entfernen eines Abschnitts der Substratfläche durch Entwickeln das Ätzen der Substratfläche. In einer Ausführungsform umfasst das Verfahren nach dem selektiven Belichten der Photolackschicht durch die Schutzschicht mit aktinischer Strahlung zum Bilden einer latenten Struktur und vor dem Entfernen der Schutzschicht das Erhitzen der Photolackschicht bei einer Temperatur von 100 °C bis 200 °C. In einer Ausführungsform ist das Polymer mindestens eine von einem hydrophilen Polymer oder einem hydrophoben Polymer. In einer Ausführungsform ist das Polymer mindestens eines von einem Polyvinylalkohol, einer Polyacrylsäure, einem Polymethylmethacrylat, einem Polyacrylamid, einem Polytetrafluoroethylen, einem Polyethylen, einem Polypropylen, einem Polystyrol, einem Polyhydroxystyrol oder einer Polymethylacrylsäure. In einer Ausführungsform ist der Entwickler ein Trockenentwickler. In einer Ausführungsform umfasst das Verfahren nach dem Bilden der Schutzschicht über der Photolackschicht und vor dem selektiven Belichten der Photolackschicht durch die Schutzschicht mit aktinischer Strahlung, das Erhitzen der Schutzschicht und Photolackschicht bei einer Temperatur von 40 °C bis 150 °C. In einer Ausführungsform umfasst die Schutzschicht: Mischen des Polymers und eines Lösungsmittels zum Bilden einer Schutzschichtzusammensetzung, Spincoating der Schutzschichtzusammensetzung über der Photolackschicht und Entfernen der Lösungsmittel von der Schutzschichtzusammensetzung. In einer Ausführungsform ist die aktinische Strahlung eine extrem ultraviolette Strahlung. In einer Ausführungsform umfasst das Verfahren das Erhitzen der Photolackschicht vor dem Bilden der Schutzschicht.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend das gleichzeitige Einführen einer ersten Verbindung und einer zweiten Verbindung in eine Kammer zum Bilden einer Zusammensetzung der ersten Verbindung und der zweiten Verbindung. Die Zusammensetzung der ersten Verbindung und der zweiten Verbindung ist über einem Substrat durch chemische Gasphasenabscheidung (CVD) zum Bilden einer Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung abgeschieden. Die erste Verbindung ist eine organometallische Verbindung und die zweite Verbindung ist mindestens eines von einem Amin, einem Boran, einem Phosphin oder Wasser. Eine Schutzschicht wird durch Beschichten einer Schutzschichtzusammensetzung, die ein Polymer und ein Lösungsmittel umfasst, über der Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung gebildet. Die Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung wird strukturiert mit aktinischer Strahlung durch die Schutzschicht belichtet, um eine latente Struktur in der Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung zu bilden. Die Schutzschicht wird entfernt, und die strukturierte belichtete Schicht der Zusammensetzung entwickelt, um eine strukturierte Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung zu bilden. In einer Ausführungsform ist die Schutzschichtzusammensetzung nicht photosensitiv gegen die aktinische Strahlung. In einer Ausführungsform wird nach dem selektiven Belichten der Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung durch die Schutzschicht mit aktinischer Strahlung, um eine latente Struktur zu bilden, und vor dem Entfernen der Schutzschicht die Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung bei einer Temperatur von 100 °C bis 200 °C erhitzt. In einer Ausführungsform ist das Lösungsmittel ein polares Lösungsmittel und das Polymer ist ein hydrophiles Polymer. In einer Ausführungsform ist das Lösungsmittel ein nichtpolares Lösungsmittel, und das Polymer ist ein hydrophobes Polymer. In einer Ausführungsform ist das Lösungsmittel ein polares Lösungsmittel, und das Polymer ist mindestens eines von einem Polyvinylalkohol, einer Polyacrylsäure, einer Polymethylacrylsäure, einem Polyacrylamid oder einem Polyhydroxystyrol. In einer Ausführungsform ist das Lösungsmittel ein nichtpolares Lösungsmittel, und das Polymer ist mindestens eines von einem Polytetrafluoroethylen, einem Polyethylen, einem Polypropylen oder einem Polystyrol. In einer Ausführungsform ist die aktinische Strahlung eine extrem ultraviolette Strahlung. In einer Ausführungsform umfasst das Verfahren das Erhitzen der Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung vor dem Bilden der Schutzschicht. In einer Ausführungsform umfasst das Verfahren das Entfernen eines Abschnitts des Substrats, der durch die Entwicklung belichtet wird. In einer Ausführungsform umfasst das Entfernen eines Abschnitts des Substrats durch Entwickeln das Ätzen des Substrats. In einer Ausführungsform ist das Entwickeln eine Trockenentwicklungsoperation.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zum Strukturieren einer Lackschicht, umfassend das Abscheiden einer Lackschicht über einer Substratfläche durch Atomlagenabscheidung (ALD) oder chemische Gasphasenabscheidung (CVD). Die Lackschicht umfasst ein Reaktionsprodukt einer organometallischen Verbindung und mindestens eines von einem Amin, einem Boran, einem Phosphin oder Wasser. Eine Schutzschicht wird über der Lackschicht gebildet. Nach dem Bilden der Schutzschicht wird die Lackschicht strukturiert zum Bilden einer latenten Struktur in der Lackschicht quervernetzt. Die Schutzschicht wird entfernt, und die latente Struktur wird entwickelt, indem ein Entwickler auf die strukturierte quervernetzte Lackschicht aufgebracht wird, um eine Struktur zu bilden, die einen Abschnitt der Substratstruktur belichtet. In einer Ausführungsform umfasst das Verfahren das Entfernen eines belichteten Abschnitts des Substrats nach der Entwicklung. In einer Ausführungsform umfasst die organometallische Verbindung ein Metall, das aus der Gruppe ausgewählt ist, bestehend aus Sn, Bi, Sb, In und Te. In einer Ausführungsform wird die Schutzschicht während der strukturierten Querverbindung der Lackschicht nicht quervernetzt. In einer Ausführungsform umfasst das Bilden der Schutzschicht: Kombinieren eines Polymers und eines Lösungsmittels zum Bilden einer Schutzschichtzusammensetzung, Spincoating der Schutzschichtzusammensetzung über der Lackschicht und Entfernen des Lösungsmittels von der Schutzschichtzusammensetzung. In einer Ausführungsform ist das Lösungsmittel ein polares Lösungsmittel, und das Polymer ist mindestens eines von einem Polyvinylalkohol, einer Polyacrylsäure, einer Polymethylacrylsäure, einem Polyacrylamid oder einem Polyhydroxystyrol. In einer Ausführungsform ist das Lösungsmittel ein nichtpolares Lösungsmittel, und das Polymer ist mindestens eines aus einem Polytetrafluoroethylen, einem Polyethylen, einem Polypropylen oder einem Polystyrol. In einer Ausführungsform umfasst das Amin, Boran oder Phosphin einen Halogenidsubstituent. In einer Ausführungsform umfasst das strukturierte Quervernetzen der Lackschicht strukturierte Belichtung der Lackschicht mit extrem ultravioletter Strahlung und das Erhitzen der strukturierte belichteten Lackschicht und der Schutzschicht. In einer Ausführungsform wird die strukturierte belichtete Lackschicht bei einer Temperatur von 100 °C bis 200 °C erhitzt.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zum Bilden einer strukturierten Schicht über einer Substratfläche, umfassend das Abscheiden eines Reaktionsprodukts einer organometallischen Verbindung in Gasphase und einer zweiten Gasphasenverbindung über einem Substrat zum Bilden einer Lackschicht über der Substratfläche. Die organometallische Verbindung weist eine Formel: MaRbXc, auf, wobei M mindestens eines ist von Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu; R ist eine substituierte oder nichtsubstituierte Alkyl-, Alkenyl- oder Carboxylatgruppe; X ist eine Halogenid- oder Sulfonatgruppe; und 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 und b + c ≤ 5. Die zweite Gasphasenverbindung ist mindestens eines von einem Amin, einem Boran, einem Phosphin oder Wasser. Eine Schutzschicht wird über der Lackschicht gebildet. Die Lackschicht wird strukturiert zum Bilden einer latenten Struktur in der Lackschicht quervernetzt. Nach dem strukturierten Quervernetzen der Lackschicht wird die Schutzschicht entfernt, und die latente Struktur wird entwickelt, indem ein Entwickler auf die strukturierte quervernetzte Lackschicht aufgebracht, um eine Struktur zu bilden, die einen Abschnitt der Substratstruktur belichtet. In einer Ausführungsform umfasst das Amin, Boran oder Phosphin einen Halogenidsubstituent. In einer Ausführungsform wird die Schutzschicht während der Entwicklung der latenten Struktur entfernt. In einer Ausführungsform umfasst das strukturierte Quervernetzen der Lackschicht strukturierte Belichtung der Lackschicht mit extrem ultravioletter Strahlung durch die Schutzschicht und das Erhitzen der strukturiert belichteten Lackschicht. In einer Ausführungsform wird die strukturierte belichtete Lackschicht bei einer Temperatur von 100 °C bis 200 °C erhitzt. In einer Ausführungsform umfasst das Verfahren das Entfernen eines Abschnitts des Substrats, das durch die Entwicklung belichtet wurde. In einer Ausführungsform umfasst das Verfahren das Erhitzen der Lackschicht bei einer Temperatur von 40 °C bis 150 °C vor dem strukturierten Quervernetzen der Lackschicht. In einer Ausführungsform umfasst das Bilden der Schutzschicht: Kombinieren eines Polymers und eines Lösungsmittels zum Bilden einer Schutzschichtzusammensetzung, Abscheiden der Schutzschichtzusammensetzung über der Lackschicht und Entfernen des Lösungsmittels von der Schutzschichtzusammensetzung. In einer Ausführungsform ist das Lösungsmittel ein polares Lösungsmittel und das Polymer ist mindestens eines von einem Polyvinylalkohol, einer Polyacrylsäure, einer Polymethylacrylsäure, einem Polyacrylamid oder einem Polyhydroxystyrol. In einer Ausführungsform ist das Lösungsmittel ein nichtpolares Lösungsmittel und das Polymer ist mindestens eines aus einem Polytetrafluoroethylen, einem Polyethylen, einem Polypropylen oder einem Polystyrol.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zum Strukturieren einer Photolackschicht, umfassend das Abscheiden einer Photolackschicht über einem Substrat durch eine Gasphasenabscheidungsoperation. Die Photolackschicht umfasst ein Reaktionsprodukt einer organometallischen Verbindung und einer zweiten Verbindung, wobei die zweite Verbindung mindestens eines ist von einem Amin, einem Boran, einem Phosphin oder Wasser. Eine Schutzschichtzusammensetzung, die ein Polymer und ein Lösungsmittel umfasst, wird über die Photolackschicht beschichtet, um eine Schutzschicht zu bilden. Die Photolackschicht wird selektiv mit aktinischer Strahlung durch die Schutzschicht belichtet, um eine latente Struktur in der Photolackschicht zu bilden. Die Schutzschicht wird entfernt, und Abschnitte der Photolackschicht, die nicht mit der aktinischen Strahlung belichtet wurden, werden zum Bilden einer Struktur verbleibender Abschnitte der Photolackschicht entfernt, die mit der aktinischen Strahlung während der selektiven Belichtung der Photolackschicht belichtet wurden. In einer Ausführungsform umfasst das Verfahren das Entfernen von Abschnitten des Substrats, die durch Entfernen von Abschnitten der Photolackschicht belichtet wurden. In einer Ausführungsform umfasst das Entfernen von Abschnitten des Substrats Trockenätzen des Substrats. In einer Ausführungsform umfasst das Entfernen der Abschnitte der Photolackschicht das Aufbringen eines Plasmas auf die Photolackschicht. In einer Ausführungsform umfasst die Gasphasenabscheidungsoperation Atomlagenabscheidung oder chemische Gasphasenabscheidung. In einer Ausführungsform ist die Schutzschicht nicht photosensitiv gegen die die aktinische Strahlung. In einer Ausführungsform umfasst das Verfahren nach dem selektiven Belichten der Photolackschicht mit aktinischer Strahlung das Erhitzen der Photolackschicht und Photolackschicht bei einer Temperatur von 100 °C bis 200 °C. In einer Ausführungsform wird die Schutzschicht während dem Entfernen von Abschnitten der Photolackschicht entfernt, die nicht mit der aktinischen Strahlung belichtet wurden. In einer Ausführungsform umfasst das Verfahren das Erhitzen der Schutzschicht und der Photolackschicht bei einer Temperatur von 40 °C bis 150 °C vor dem selektiven Belichten der Photolackschicht mit aktinischer Strahlung. In einer Ausführungsform ist das Amin Ammoniak oder Hydrazin.
  • Obiges umschreibt Merkmale mehrerer Ausführungsformen oder Beispiele, mit denen Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen. Fachleute auf dem Gebiet sollten verstehen, dass sie diese Offenbarung leicht als Grundlage für das Design oder die Änderung anderer Prozesse und Strukturen verwenden können, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der hierin eingeführten Ausführungsformen oder Beispiele zu erreichen. Fachleute auf dem Gebiet sollten außerdem verstehen, dass solche äquivalenten Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hieran vornehmen können, ohne vom Geist und Umfang dieser Offenbarung abzuweichen.

Claims (11)

  1. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend: Abscheiden einer Photolackzusammensetzung, die eine erste organometallische Verbindung und eine zweite Verbindung umfasst, über einer Substratfläche durch Atomlagenabscheidung (ALD) oder chemische Gasphasenabscheidung (CVD) zum Bilden einer Photolackschicht; Bilden einer Schutzschicht, die ein Polymer über der Photolackschicht umfasst; selektives Belichten der Photolackschicht mit aktinischer Strahlung durch die Schutzschicht, um eine latente Struktur zu bilden; Entfernen der Schutzschicht; Entwickeln der latenten Struktur, indem ein Entwickler auf die selektiv belichtete Photolackschicht aufgebracht wird, um eine Struktur zu bilden, die einen Abschnitt der Substratstruktur belichtet; und Entfernen eines Abschnitts der Substratfläche, die durch das Entwickeln belichtet wurde.
  2. Verfahren nach Anspruch 1, wobei die erste organometallische Verbindung mindestens eines aus einem sec-Hexyl-tris(dimethylamino)-Zinn, t-Hexyl-tris(dimethylamino)-Zinn, i-Hexyl-tris(dimethylamino)-Zinn, n-Hexyl-tris(dimethylamino)-Zinn, sec-Pentyl-tris(dimethylamino), t-Pentyl-tris(dimethylamino)-Zinn, i-Pentyl-tris(dimethylamino)-Zinn, n-Pentyl-tris(dimethylamino)-Zinn, sec-Butyl-tris(dimethylamino)-Zinn t-Butyl-tris(dimethylamino)-Zinn, i-Butyl-tris(dimethylamino)-Zinn, n-Butyl-tris(dimethylamino)-Zinn, sec-Butyl-tris(dimethylamino)-Zinn, i-Propyl(tris)dimethylamino-Zinn, n-Propyl-tris(diethylamino)-Zinn und analogen Alkyl(tris)(t-butoxy)-Zinn-Verbindungen ist, umfassend sec-Hexyl-tris(t-butoxy)-Zinn, t-Hexyl-tris(t-butoxy)-Zinn, i-Hexyl-tris(t-butoxy)-Zinn, n-Hexyl-tris(t-butoxy)-Zinn, sec-Pentyl-tris(t-butoxy), t-Pentyl-tris(t-butoxy)-Zinn, i-Pentyl-tris(t-butoxy)-Zinn, n-Pentyl-tris(t-butoxy)-Zinn, t-Butyl-tris(t-butoxy)-Zinn, i-Butyl-tris(butoxy)-Zinn, n-Butyl-tris(butoxy)-Zinn, sec-Butyl-tris(butoxy)-Zinn, i-Propyl(tris)dimethylamino-Zinn oder n-Propyl-tris(butoxy)-Zinn; und die zweite Verbindung mindestens eines aus einem Amin, einem Boran, einem Phosphin oder Wasser ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Entfernen eines Abschnitts der Substratfläche durch das Entwickeln das Ätzen der Substratfläche umfasst.
  4. Verfahren nach einem der Ansprüche 1 bis 3, ferner umfassend das Erhitzen der Photolackschicht bei einer Temperatur von 100 °C bis 200 °C nach dem selektiven Belichten der Photolackschicht durch die Schutzschicht mit aktinischer Strahlung zum Bilden einer latenten Struktur und vor dem Entfernen der Schutzschicht.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei das Polymer mindestens eines aus einem hydrophilen Polymer oder einem hydrophoben Polymer ist.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei das Polymer aus der Gruppe ausgewählt ist, bestehend aus einem Polyvinylalkohol, einer Polyacrylsäure, einem Polymethylmethacrylat, einem Polyacrylamid, einem Polytetrafluoroethylen, einem Polyethylen, einem Polypropylen, einem Polystyrol, einem Polyhydroxystyrol, einer Polymethylacrylsäure und Kombinationen davon.
  7. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend: gleichzeitiges Einführen einer ersten Verbindung und einer zweiten Verbindung in eine Kammer zum Bilden einer Zusammensetzung der ersten Verbindung und der zweiten Verbindung; Abscheiden der Zusammensetzung der ersten Verbindung und der zweiten Verbindung über einem Substrat durch chemische Gasphasenabscheidung (CVD) zum Bilden einer Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung, wobei die erste Verbindung eine organometallische Verbindung ist und die zweite Verbindung mindestens eines aus einem Amin, einem Boran, einem Phosphin oder Wasser ist; Bilden einer Schutzschicht durch Beschichten einer Schutzschichtzusammensetzung, die ein Polymer und ein Lösungsmittel umfasst, über der Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung; Strukturiertes Belichten der Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung mit aktinischer Strahlung durch die Schutzschicht, um eine latente Struktur in der Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung zu bilden; Entfernen der Schutzschicht; und Entwickeln der strukturiert belichteten Schicht der Zusammensetzung, um eine strukturierte Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung zu bilden.
  8. Verfahren nach Anspruch 7, wobei die Schutzschichtzusammensetzung nicht gegen die aktinische Strahlung photosensitiv ist.
  9. Verfahren nach Anspruch 7 oder 8, ferner umfassend das Erhitzen der Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung bei einer Temperatur von 100 °C bis 200 °C nach dem selektiven Belichten der Schicht der Zusammensetzung der ersten Verbindung und der zweiten Verbindung durch die Schutzschicht mit aktinischer Strahlung, um eine latente Struktur zu bilden, und vor dem Entfernen der Schutzschicht.
  10. Verfahren nach einem der Ansprüche 7 bis 9, wobei das Lösungsmittel ein polares Lösungsmittel ist und das Polymer ein hydrophiles Polymer ist.
  11. Verfahren nach einem der Ansprüche 7 bis 9, wobei das Lösungsmittel ein nicht-polares Lösungsmittel ist und das Polymer ein hydrophobes Polymer ist.
DE102020129681.9A 2020-03-30 2020-11-11 Verfahren zur herstellung einer halbleitervorrichtung Active DE102020129681B4 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063002264P 2020-03-30 2020-03-30
US63/002,264 2020-03-30
US202063025956P 2020-05-15 2020-05-15
US63/025,956 2020-05-15
US17/071,004 2020-10-15
US17/071,004 US11822237B2 (en) 2020-03-30 2020-10-15 Method of manufacturing a semiconductor device

Publications (2)

Publication Number Publication Date
DE102020129681A1 DE102020129681A1 (de) 2021-09-30
DE102020129681B4 true DE102020129681B4 (de) 2023-03-23

Family

ID=76508246

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020129681.9A Active DE102020129681B4 (de) 2020-03-30 2020-11-11 Verfahren zur herstellung einer halbleitervorrichtung

Country Status (4)

Country Link
US (1) US20230375920A1 (de)
CN (1) CN113050369A (de)
DE (1) DE102020129681B4 (de)
TW (1) TWI804806B (de)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170168398A1 (en) 2015-12-10 2017-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US20180315617A1 (en) 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US20200064733A1 (en) 2014-10-23 2020-02-27 Inpria Corporation Organometallic solution based high resolution patterning compositions and corresponding methods

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5650088B2 (ja) * 2011-10-11 2015-01-07 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US11092889B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
JP7213642B2 (ja) * 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
US10510586B1 (en) * 2018-09-07 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer structure having a dense middle layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200064733A1 (en) 2014-10-23 2020-02-27 Inpria Corporation Organometallic solution based high resolution patterning compositions and corresponding methods
US20170168398A1 (en) 2015-12-10 2017-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US20180315617A1 (en) 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof

Also Published As

Publication number Publication date
DE102020129681A1 (de) 2021-09-30
TWI804806B (zh) 2023-06-11
TW202136895A (zh) 2021-10-01
US20230375920A1 (en) 2023-11-23
CN113050369A (zh) 2021-06-29

Similar Documents

Publication Publication Date Title
TWI476816B (zh) 自我對準間隔之多重圖案化方法
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
DE102021113271A1 (de) Verfahren zur Herstellung eines Halbleiterbauelement und Musterbildungsverfahren
US11822237B2 (en) Method of manufacturing a semiconductor device
US20230369048A1 (en) Method of manufacturing a semiconductor device
DE102020129681B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
DE102021101893A1 (de) Verhindern eines ausgasens einer fotolackschicht
DE102021110173A1 (de) In-situ-abscheidung und verdichtungsbehandlung für metalhaltige resistschicht
DE102021101492A1 (de) Herstellungsverfahren einer halbleitervorrichtung
US11784046B2 (en) Method of manufacturing a semiconductor device
US12002675B2 (en) Photoresist layer outgassing prevention
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
US20230418156A1 (en) Method of manufacturing a semiconductor device and semiconductor device manufacturing tool
DE102023104562A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102023107991A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
CN115206780A (zh) 制造半导体装置的方法
DE102022104248A1 (de) Fotolack und Verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G03F0007000000

Ipc: G03F0007110000

R018 Grant decision by examination section/examining division
R020 Patent grant now final