DE102023104562A1 - Verfahren zur herstellung einer halbleitervorrichtung - Google Patents

Verfahren zur herstellung einer halbleitervorrichtung Download PDF

Info

Publication number
DE102023104562A1
DE102023104562A1 DE102023104562.8A DE102023104562A DE102023104562A1 DE 102023104562 A1 DE102023104562 A1 DE 102023104562A1 DE 102023104562 A DE102023104562 A DE 102023104562A DE 102023104562 A1 DE102023104562 A1 DE 102023104562A1
Authority
DE
Germany
Prior art keywords
photoresist layer
base composition
base
photoresist
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102023104562.8A
Other languages
English (en)
Inventor
Ching-Yu Chang
An-Ren Zi
Chin-Hsiang Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102023104562A1 publication Critical patent/DE102023104562A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Ein Verfahren zum Herstellen einer Halbleitervorrichtung umfasst das Bilden einer Fotolackschicht über einem Substrat und das Aufbringen einer Basenzusammensetzung auf die Fotolackschicht, wobei die Basenzusammensetzung eine nichtorganische Base, eine organische Base, einen thermischen Basengenerator oder einen Fotobasengenerator umfasst. Die Fotolackschicht wird selektiv mit aktinischer Strahlung belichtet, um eine latente Struktur zu bilden. Die latente Struktur wird durch Aufbringen einer Entwicklerzusammensetzung auf die selektiv belichtete Fotolackschicht entwickelt, um eine Struktur in der Fotolackschicht zu bilden. Die Basenzusammensetzung wird auf die Fotolackschicht während eines oder mehrerer Vorgänge aufgebracht, die aus der Gruppe ausgewählt sind, die aus dem Aufbringen der Basenzusammensetzung auf das Substrat als eine Unterschicht, bevor die Fotolackschicht gebildet wird und die Zusammensetzung anschließend von der Fotolackschicht absorbiert wird, einem Vorbelichtungseinbrennvorgang, nachdem die Fotolackschicht selektiv belichtet wird und vor dem Entwickeln der latenten Struktur, und nach dem Entwickeln der latenten Struktur besteht.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 63/331,389 , die am 15. April 2022 eingereicht wurde und deren gesamte Offenbarung hiermit durch Bezugnahme aufgenommen wird.
  • HINTERGRUND
  • Da Verbrauchergeräte in Abhängigkeit von der Verbrauchernachfrage immer kleiner geworden sind, sind auch die einzelnen Komponenten dieser Geräte zwangsläufig verkleinert worden. Halbleitervorrichtungen, die eine Hauptkomponente von Vorrichtungen wie Mobiltelefonen, Computer-Tablets und dergleichen bilden, sollen immer kleiner werden, wobei entsprechender auch die einzelnen Vorrichtungen (z. B. Transistoren, Widerstände, Kondensatoren usw.) innerhalb der Halbleitervorrichtungen ebenfalls verkleinert werden müssen.
  • Eine ermöglichende Technologie, die in den Herstellungsprozessen von Halbleitervorrichtungen verwendet wird, ist die Verwendung von photolithographischen Materialien. Solche Materialien werden auf eine Oberfläche einer zu strukturierenden Schicht aufgebracht und dann einer Energie ausgesetzt, die selbst strukturiert worden ist. Eine solche Belichtung modifiziert die chemischen und physischen Eigenschaften der belichteten Regionen des lichtempfindlichen Materials. Diese Modifikation kann zusammen mit der fehlenden Modifikation in Regionen des lichtempfindlichen Materials, die nicht belichtet wurden, genutzt werden, um eine Region zu entfernen, ohne die andere zu entfernen, oder umgekehrt.
  • Da jedoch die Größe einzelner Vorrichtungen verkleinert worden ist, sind die Prozessfenster für die photolithographische Verarbeitung immer enger geworden. Daher sind Fortschritte auf dem Gebiet der photolithographischen Verarbeitung notwendig, um die Fähigkeit aufrechtzuerhalten, die Vorrichtungen herunterzuskalieren, und es sind weitere Verbesserungen notwendig, um die gewünschten Designkriterien zu erfüllen, so dass der Weg zu immer kleineren Komponenten aufrechterhalten werden kann.
  • Da die Halbleiterindustrie auf der Suche nach einer höheren Vorrichtungsdichte, einer höheren Leistung und niedrigeren Kosten in Nanometertechnologie-Prozessknoten vorangeschritten ist, gab es Herausforderungen bei der Verringerung der Halbleitermerkmalsgröße.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Offenbarung wird am besten anhand der folgenden ausführlichen Beschreibung verstanden, wenn sie mit den beigefügten Figuren gelesen wird. Es wird betont, dass gemäß der Standardpraxis in der Industrie verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind und nur zu Veranschaulichungszwecken verwendet werden. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Erörterung beliebig vergrößert oder verkleinert werden.
    • 1 veranschaulicht Prozessabläufe der Herstellung einer Halbleitervorrichtung gemäß Ausführungsformen der Offenbarung.
    • 2 zeigt Prozessstufen einer sequentiellen Operation gemäß Ausführungsformen der Offenbarung.
    • 3A, 3B und 3C zeigen Prozessstufen einer sequentiellen Operation gemäß Ausführungsformen der Offenbarung.
    • 4 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 5A und 5B zeigen Prozessstufen einer sequentiellen Operation gemäß Ausführungsformen der Offenbarung.
    • 6 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 7 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 8 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 9 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 10 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 11A und 11B veranschaulichen Beispiele für organische Basen gemäß Ausführungsformen der Offenbarung.
    • 12 veranschaulicht Beispiele für Fotobasengeneratoren gemäß Ausführungsformen der Offenbarung.
    • 13 veranschaulicht Beispiele für thermische Basengeneratoren gemäß Ausführungsformen der Offenbarung.
    • 14A zeigt metallorganische Vorläufer gemäß Ausführungsformen der Offenbarung.
    • 14B zeigt eine Reaktion, die die metallorganischen Vorläufer durchlaufen, wenn sie mit aktinischer Strahlung belichtet werden. 14C zeigt Beispiele für metallorganische Vorläufer gemäß Ausführungsformen der Offenbarung.
    • 15 veranschaulicht eine Abscheidungsvorrichtung gemäß Ausführungsformen der Offenbarung.
    • 16A und 16B sind Diagramme einer Steuerung gemäß einigen Ausführungsformen der Offenbarung.
    • 17 zeigt Prozessstufen einer sequentiellen Operation gemäß Ausführungsformen der Offenbarung.
    • 18A, 18B und 18C zeigen Prozessstufen einer sequentiellen Operation gemäß Ausführungsformen der Offenbarung.
    • 19 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 20A und 20B zeigen Prozessstufen einer sequentiellen Operation gemäß Ausführungsformen der Offenbarung.
    • 21 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 22 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 23 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 24 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
    • 25 zeigt eine Prozessstufe einer sequentiellen Operation gemäß einer Ausführungsform der Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungsformen oder Beispiele zum Umsetzen verschiedener Merkmale der Offenbarung bereitstellt. Spezifische Ausführungsformen oder Beispiele für Komponenten und Anordnungen werden nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht einschränkend sein. Zum Beispiel sind Abmessungen von Elementen nicht auf den offenbarten Bereich oder die offenbarten Werte beschränkt, sondern können von Prozessbedingungen und/oder gewünschten Eigenschaften der Vorrichtung abhängen. Darüber hinaus kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen beinhalten, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen beinhalten, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen können. Verschiedene Merkmale können der Einfachheit und Klarheit halber beliebig in unterschiedlichen Maßstäben gezeichnet sein.
  • Ferner können räumlich relative Begriffe, wie etwa „unterhalb“, „unter“, „untere“, „über“, „obere“ und dergleichen, hier zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen zu beschreiben, wie in den Figuren veranschaulicht. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Ausrichtung unterschiedliche Ausrichtungen der verwendeten oder betriebenen Vorrichtung einschließen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hier verwendeten räumlich relativen Deskriptoren können ebenfalls entsprechend interpretiert werden. Zusätzlich kann der Begriff „hergestellt aus“ entweder „umfassend“ oder „bestehend aus“ bedeuten. In der vorliegenden Offenbarung bedeutet eine Phrase „eines von A, B und C“ „A, B und/oder C“ (A, B, C, A und B, A und C, B und C oder A, B und C) und bedeutet nicht ein Element von A, ein Element von B und ein Element von C, sofern nicht anderweitig beschrieben. In der vorliegenden Offenbarung werden eine Source und ein Drain austauschbar verwendet und können als Source/Drain bezeichnet werden. Source/Drain-Region(en) können sich je nach Kontext einzeln oder gemeinsam auf eine Source oder einen Drain beziehen.
  • Die Verbesserung der Linienbreitenrauheit (LWR) und die Verringerung der Belichtungsdosis (EOP) sind auf dem Gebiet der photolithographischen Verarbeitung wünschenswert, um die Vorrichtungen weiter herunterzuskalieren und die Ausbeute der Halbleitervorrichtung effizient zu erhöhen. Tiefultraviolett(DUV)-, Elektronenstrahl(e-beam)- und Extremultraviolett(EUV)-Lithographie wurden entwickelt, um die kritische Abmessung zu verringern und die Ausbeute der Vorrichtung zu erhöhen. EUV-Lithographie wurde zur Verwendung in Nanometertechnologie-Prozessknoten, wie z. B. unter 40 nm-Prozessknoten, entwickelt. Fotolacke auf Basis organischer Polymere werden in einigen Ausführungsformen in der Photolithographie verwendet. C-, N- und O-Atome in den Polymeren organischer Fotolacke sind jedoch in der EUV-Photonenabsorption schwach. Es wurde gefunden, dass bestimmte Metalle eine höhere EUV-Photonenabsorption aufweisen. Um die höhere EUV-Photonenabsorption von Metallen zu verwenden, wurde Metallresist entwickelt. Die lithographische Leistung wird weiter verbessert, indem die Fotolackschicht mit einer Basenzusammensetzung mit einem pH-Wert > 7 behandelt wird. Die Basenzusammensetzung kann zu verschiedenen Zeiten während des photolithographischen Strukturierungsprozesses auf die Fotolackschicht aufgebracht werden.
  • 1 veranschaulicht einen Prozessablauf 100 und Figen. 2-10 veranschaulichen verschiedene Stufen der Herstellung einer Halbleitervorrichtung gemäß Ausführungsformen der Offenbarung. In einigen Ausführungsformen wird eine Basenzusammensetzungs-Unterschicht 20 über dem Substrat 10 gebildet, wie in 2 gezeigt, in einem Basenzusammensetzungs-Unterschicht-Bildungsvorgang S 110, wie in 1 gezeigt. Dann wird in Vorgang S115 von 1 eine Fotolackschicht 15 über der Basenzusammensetzungs-Unterschicht 20 gebildet, wie in 3A gezeigt. In einigen Ausführungsformen wird ein Brennvorgang an der Unterschicht 20 durchgeführt, um Lösungsmittel in der Basenzusammensetzung abzutreiben, bevor eine Fotolackschicht über der Unterschicht 20 gebildet wird. In einigen Ausführungsformen wird die Fotolackschicht 15 über dem Substrat 10 in Vorgang S 115 gebildet und dann wird die Basenzusammensetzung 325 auf die Fotolackschicht 15 in einem BasenzusammensetzungsAufbringungsvorgang S130a aufgebracht, wie in 3B gezeigt. In anderen Ausführungsformen wird die Basenzusammensetzung mit Fotolackmaterial in Vorgang S120 gemischt, um eine Basenzusammensetzungs-/Fotolackmaterialmischung zu bilden, die über dem Substrat 10 in Vorgang S130b abgeschieden wird, um eine Fotolackschicht 15 zu bilden, die die Basenzusammensetzung enthält. Nach dem Bilden der Fotolackschicht 15 über der Basenzusammensetzungs-Unterschicht 20 wird die Basenzusammensetzung von der Fotolackschicht 15 absorbiert, wie in 3C gezeigt. In einigen Ausführungsformen werden die Basenzusammensetzungs-Unterschicht 20 und die Fotolackschicht 15 erwärmt, um die Absorption der Basenzusammensetzung durch die Fotolackschicht zu erleichtern. In einigen Ausführungsformen werden die Basenzusammensetzungs-Unterschicht 20 und die Fotolackschicht 15 auf eine Temperatur im Bereich von etwa 25 °C bis etwa 300 °C erwärmt, um die Diffusion der Basenzusammensetzung in die Fotolackschicht 15 zu verbessern. In einigen Ausführungsformen wird die Basenzusammensetzung in einer Kammer 335 aufgebracht, wie etwa einer Vakuumkammer, wie in 3C gezeigt. In einigen Ausführungsformen wird die Vakuumkammer 335 in einer chemischen Gasphasenabscheidung (CVD) oder einer Atomlagenabscheidung (ALD) verwendet. In einigen Ausführungsformen ist die Kammer 335 die gleiche Kammer, in der die Fotolackschicht 15 über dem Substrat 10 gebildet wird. In anderen Ausführungsformen ist die Kammer 335, in der die Basenzusammensetzung aufgebracht wird, eine andere Kammer, in der die Fotolackschicht gebildet wird.
  • In einigen Ausführungsformen wird ein Vorbelichtungseinbrennvorgang S140 durchgeführt, um Lösungsmittel in der Fotolackschicht 15 auszutreiben oder die Fotolackschicht 15 zu härten. In einigen Ausführungsformen wird der Brennvorgang in einer Kammer 335 durchgeführt, wie etwa einer Vakuumkammer. In einigen Ausführungsformen wird die Fotolackschicht 15 bei einer Temperatur im Bereich von etwa 40 °C bis etwa 300 °C für etwa 10 Sekunden bis etwa 10 Minuten erwärmt. Nach dem Nachbelichtungseinbrennvorgang S140 wird das mit der Fotolackschicht 15 beschichtete Substrat 10 in einem Kühlvorgang gekühlt. In einigen Ausführungsformen wird das Erwärmen und Kühlen unter Verwendung einer Heiz-/Kühlvorrichtung 330 durchgeführt, wie in 4 gezeigt. In einigen Ausführungsformen ist die Heiz-/Kühlvorrichtung 330 eine Heizplatte, die interne Kühlmittelströmungskanäle enthält. Das Erwärmen oder Kühlen wird in einigen Ausführungsformen durch eine Steuerung 260 (siehe 16A, 16B) gesteuert.
  • Wie in 4 gezeigt, wird in einigen Ausführungsformen die Basenzusammensetzung 325 während des Vorbelichtungseinbrennens oder Kühlens S140 in Vorgang S130c auf die Fotolackschicht aufgebracht. In einigen Ausführungsformen wird die Fotolackschicht während des Vorbelichtungseinbrenn- oder Kühlvorgangs S140 bei einer Temperatur im Bereich von etwa -30 °C bis etwa 300 °C erwärmt oder gekühlt. In einigen Ausführungsformen wird die Temperatur unter Verwendung der Heiz-/Kühlvorrichtung 330 und einer Steuerung 260 (siehe 16A, 16B) gesteuert. In einigen Ausführungsformen wird die Basenzusammensetzungsaufbringung S130c bei einer Heiztemperatur im Bereich von etwa 50 °C bis etwa 250 °C durchgeführt, und in anderen Ausführungsformen liegt die Heiztemperatur im Bereich von etwa 70 °C bis etwa 200 °C. In einigen Ausführungsformen wird die Basenzusammensetzungsaufbringung S130c bei einer Kühltemperatur im Bereich von etwa 0 °C bis etwa 25 °C durchgeführt. In einigen Ausführungsformen liegt die Dauer der Basenzusammensetzungsaufbringung im Bereich von etwa 1 s bis etwa 300 s. In anderen Ausführungsformen liegt die Dauer der Basenzusammensetzungsaufbringung im Bereich von etwa 10 s bis etwa 150 s. Bei Temperaturen und Zeitdauern unter den offenbarten Bereichen kann eine unzureichende Basenzusammensetzungsbehandlung der Fotolackschicht vorliegen. Bei Temperaturen und Zeitdauern über den offenbarten Bereichen kann eine Beschädigung der Fotolackschicht 15 oder anderer Komponenten der Halbleitervorrichtung vorliegen.
  • Die Basenzusammensetzung 325 wird in einigen Ausführungsformen nach den Vorbelichtungseinbrenn-/Kühlvorgängen S140 und vor einer selektiven Belichtung der Fotolackschicht 15 mit aktinischer Strahlung S150 in einem Basenzusammensetzungsaufbringungsvorgang S130d auf die Fotolackschicht 15 aufgebracht.
  • Nach den Vorbelichtungseinbrenn-/Kühlvorgängen S140 der Fotolackschicht 15 wird die Fotolackschicht 15 in Vorgang S150 selektiv mit aktinischer Strahlung 45/97 (siehe 5A und 5B) belichtet. In einigen Ausführungsformen wird die Fotolackschicht 15 selektiv mit ultravioletter Strahlung belichtet. In einigen Ausführungsformen ist die Strahlung elektromagnetische Strahlung, wie z. B. g-Linie (Wellenlänge von etwa 436 nm), i-Linie (Wellenlänge von etwa 365 nm), ultraviolette Strahlung, Tiefultraviolettstrahlung, Extremultraviolett, Elektronenstrahlen oder dergleichen. In einigen Ausführungsformen ist die Strahlungsquelle ausgewählt aus der Gruppe bestehend aus einer Quecksilberdampflampe, Xenonlampe, Kohlenstoffbogenlampe, einem KrF-Excimerlaserlicht (Wellenlänge von 248 nm), einem ArF-Excimerlaserlicht (Wellenlänge von 193 nm), einem F2-Excimerlaserlicht (Wellenlänge von 157 nm) oder einem CO2-laserangeregten Sn-Plasma (Extremultraviolett, Wellenlänge von 13,5 nm).
  • Wie in 5A gezeigt, durchläuft die Belichtungsstrahlung 45 in einigen Ausführungsformen eine Fotomaske 30, bevor die Fotolackschicht 15 bestrahlt wird. In einigen Ausführungsformen weist die Fotomaske eine Struktur auf, die in der dotierten Fotolackschicht 15a repliziert werden soll. Die Struktur wird in einigen Ausführungsformen durch eine opake Struktur 35 auf dem Fotomaskensubstrat 40 gebildet. Die opake Struktur 35 kann durch ein Material gebildet werden, das für ultraviolette Strahlung opak ist, wie z. B. Chrom, während das Fotomaskensubstrat 40 aus einem Material gebildet wird, das für ultraviolette Strahlung transparent ist, wie z. B. Quarzglas.
  • In einigen Ausführungsformen wird die selektive Belichtung der dotierten Fotolackschicht 15a, um belichtete Regionen 50 und nicht belichtete Regionen 52 zu bilden, unter Verwendung von Extremultraviolettlithographie durchgeführt. In einem Extremultraviolettlithographievorgang wird in einigen Ausführungsformen eine reflektierende Fotomaske 65 verwendet, um das strukturierte Belichtungslicht zu bilden, wie in 5B gezeigt. Die reflektierende Fotomaske 65 umfasst ein Glassubstrat 70 mit geringer thermischer Ausdehnung, auf dem eine reflektierende Mehrfachschicht 75 aus Si und Mo gebildet wird. Eine Deckschicht 80 und eine Absorberschicht 85 werden auf der reflektierenden Mehrfachschicht 75 gebildet. Eine rückseitige leitfähige Schicht 90 wird auf der Rückseite des Glassubstrats 70 mit geringer thermischer Ausdehnung gebildet. In der Extremultraviolettlithographie wird extremultraviolette Strahlung 95 in einem Einfallswinkel von etwa 6° auf die reflektierende Fotomaske 65 gerichtet. Ein Teil 97 der extremultravioletten Strahlung wird durch die Si/Mo-Mehrfachschicht 75 in Richtung des mit Fotolack beschichteten Substrats 10 reflektiert, während der Teil der extremultravioletten Strahlung, der auf die Absorberschicht 85 einfällt, durch die Fotomaske absorbiert wird. In einigen Ausführungsformen befinden sich zusätzliche Optiken, einschließlich Spiegel, zwischen der reflektierenden Fotomaske 65 und dem mit Fotolack beschichteten Substrat.
  • Die Region der Fotolackschicht, die mit Strahlung 50 belichtet wird, durchläuft eine chemische Reaktion, wodurch ihre Löslichkeit in einem nachfolgend aufgebrachten Entwickler relativ zu der Region der Fotolackschicht, die nicht mit Strahlung 52 belichtet wird, geändert wird. In einigen Ausführungsformen durchläuft der Teil der dotierten Fotolackschicht, der mit Strahlung 50 belichtet wird, eine Vernetzungsreaktion.
  • In einigen Ausführungsformen wird die Basenzusammensetzung 325 in einem Basenzusammensetzungsaufbringungsvorgang S130e nach der selektiven Belichtung mit aktinischer Strahlung S150 auf die Fotolackschicht 15 aufgebracht. In einigen Ausführungsformen wird die Basenzusammensetzung 325 während eines Nachbelichtungseinbrennens (PEB) oder Kühlens S160 in Vorgang S130f auf die Fotolackschicht 15 aufgebracht, wie in 6 gezeigt. In einigen Ausführungsformen wird die Fotolackschicht 15 während des Nachbelichtungseinbrenn- oder Kühlvorgangs S160 bei einer Temperatur im Bereich von etwa -30 °C bis etwa 300 °C erwärmt oder gekühlt. In einigen Ausführungsformen wird die Temperatur unter Verwendung der Heiz-/Kühlvorrichtung 330 und einer Steuerung 260 (siehe 16A, 16B) gesteuert. In einigen Ausführungsformen wird die Basenzusammensetzungsaufbringung S130f bei einer Heiztemperatur im Bereich von etwa 50 °C bis etwa 250 °C durchgeführt, und in anderen Ausführungsformen liegt die Heiztemperatur im Bereich von etwa 70 °C bis etwa 200 °C. In einigen Ausführungsformen wird die Basenzusammensetzungsaufbringung S130f bei einer Kühltemperatur im Bereich von etwa -15 °C bis etwa 25 °C durchgeführt, in anderen Ausführungsformen liegt die Kühltemperatur im Bereich von etwa 0 °C bis etwa 15 °C. In einigen Ausführungsformen liegt die Dauer der Basenzusammensetzungsaufbringung im Bereich von etwa 1 s bis etwa 300 s. In anderen Ausführungsformen liegt die Dauer der Basenzusammensetzungsaufbringung im Bereich von etwa 10 s bis etwa 150 s. Bei Temperaturen und Zeitdauern unter den offenbarten Bereichen kann eine unzureichende Basenzusammensetzungsbehandlung der Fotolackschicht vorliegen. Bei Temperaturen und Zeitdauern über den offenbarten Bereichen kann eine Beschädigung der Fotolackschicht 15 oder anderer Komponenten der Halbleitervorrichtung vorliegen. In einigen Ausführungsformen wird die Fotolackschicht 15 bei einer Temperatur im Bereich von etwa 50 °C bis etwa 160 °C für etwa 20 s bis etwa 120 s erwärmt. Das Nachbelichtungseinbrennen kann verwendet werden, um das Erzeugen, Dispergieren und Reagieren der Säure/Base/freien Radikale, die durch das Auftreffen der Strahlung 45/97 auf die dotierte Fotolackschicht 15a während der Belichtung erzeugt werden, zu unterstützen. Eine solche Unterstützung hilft, chemische Reaktionen zu erzeugen oder zu verbessern, die chemische Unterschiede zwischen der belichteten Region 50 und der nicht belichteten Region 52 innerhalb der Fotolackschicht erzeugen.
  • Die Basenzusammensetzung 325 wird in einigen Ausführungsformen nach den Nachbelichtungseinbrenn-/Kühlvorgängen S140 und vor dem Entwickeln S170 der belichteten Fotolackschicht 15 in einem Basenzusammensetzungsaufbringungsvorgang S 130g auf die Fotolackschicht 15 aufgebracht.
  • Die selektiv belichtete Fotolackschicht wird anschließend durch Aufbringen eines Entwicklers auf die selektiv belichtete Fotolackschicht in Vorgang S170 entwickelt. Wie in 7 gezeigt, wird der Fotolackschicht 15 ein Entwickler 57 von einem Spender 62 zugeführt. In einigen Ausführungsformen wird die nicht belichtete Region 52 der Fotolackschicht durch den Entwickler 57 entfernt, der eine Struktur von Öffnungen 55 in der Fotolackschicht 15 bildet, um das Substrat 10 zu belichten, wie in 8 gezeigt.
  • Die Basenzusammensetzung 325 wird in einigen Ausführungsformen nach dem Entwicklungsvorgang S170 der belichteten Fotolackschicht 15 in einem Basenzusammensetzungsaufbringungsvorgang S130h auf die entwickelte Fotolackschicht 15 aufgebracht, wie in 9 gezeigt. In anderen Ausführungsformen wird die Basenzusammensetzung 325 mit dem Entwickler 57 in Vorgang S180 gemischt, um eine Basenzusammensetzungs-/Entwicklermischung zu bilden, die anschließend in Vorgang S130i auf die selektiv belichtete Fotolackschicht aufgebracht wird.
  • In einigen Ausführungsformen ist die Basenzusammensetzung 325 gleichmäßig über die gesamte Fotolackschicht 15 oder die strukturierte Fotolackschicht 50 verteilt. In anderen Ausführungsformen besteht ein Gradient in der Basenzusammensetzungsverteilung in der Fotolackschicht 15, der von einer größeren Betrag in der Nähe der Oberfläche der Fotolackschicht 15 oder der strukturierten Fotolackschicht 50 bis zu einem geringeren Betrag in der Nähe eines zentralen Teils der Fotolackschicht 15 oder der strukturierten Fotolackmerkmale 50 reicht.
  • In einigen Ausführungsformen wird die Struktur von Öffnungen 55 in der strukturierten Fotolackschicht 15 in das Substrat 10 ausgedehnt, um eine Struktur von Öffnungen 55' in dem Substrat 10 zu erzeugen, wodurch die Struktur in der Fotolackschicht 15 in das Substrat 10 übertragen wird, wie in 10 gezeigt. Die Struktur wird durch Ätzen unter Verwendung eines oder mehrerer geeigneter Ätzmittel in das Substrat ausgedehnt. In einigen Ausführungsformen entfernt der Ätzvorgang Teile der Unterschicht 20, die noch verbleiben, wenn die Basenzusammensetzung nicht vollständig in die Fotolackschicht diffundiert ist. Die Fotolackschichtstruktur 50 wird in einigen Ausführungsformen zumindest teilweise während des Ätzvorgangs entfernt. In anderen Ausführungsformen werden die Fotolackschichtstruktur 50 und alle verbleibenden Teile der Unterschicht 20 unter der Fotolackschichtstruktur 50 nach dem Ätzen des Substrats 10 unter Verwendung eines geeigneten Fotolack-Ablöselösungsmittels oder durch einen Fotolack-Veraschungsvorgang entfernt.
  • Die Basenzusammensetzung 325 kann während eines der Basenzusammensetzungsaufbringungsvorgänge S130a, S130b, S130c, S130d, S130e, S130f, S130g, S130h oder S130i auf die Fotolackschicht 15 aufgebracht werden. In einigen Ausführungsformen wird die Basenzusammensetzung während einer beliebigen Kombination der Basenzusammensetzungsaufbringungsvorgänge S130a, S130b, S130c, S130d, S130e, S130f, S130g, S130h und S130i, einschließlich bei jedem der Basenzusammensetzungsaufbringungsvorgänge S130a - S130i, eine Mehrzahl von Malen auf die Fotolackschicht 15 aufgebracht. Jeder der Basenaufbringungsvorgänge oder eine beliebige Kombination der Basenaufbringungsvorgänge S130a, S130b, S130c, S130d, S130e, S130f, S130g, S130h und S130i kann in einer Kammer 335 durchgeführt werden, wie etwa einer Vakuumkammer. In einigen Ausführungsformen wird die Kammer 335 für einen anderen Halbleitervorrichtungsverarbeitungsvorgang verwendet, einschließlich: Bilden der Fotolackschicht S120, Vorbelichtungseinbrennen/Kühlen S140, Nachbelichtungseinbrennen/Kühlen S 160 oder Entwickeln S 170. In einigen Ausführungsformen liegt die Dauer der Basenzusammensetzungsaufbringung im Bereich von etwa 1 s bis etwa 300 s. In anderen Ausführungsformen liegt die Dauer der Basenzusammensetzungsaufbringung im Bereich von etwa 10 s bis etwa 150 s.
  • Die Basenzusammensetzung 325 ist eine Lösung mit einem ph-Wert > 7 und umfasst eine oder mehrere der folgenden Lösungen a-d in einigen Ausführungsformen: (a) eine nichtorganische Base, die in Wasser oder einem geeigneten Lösungsmittel gelöst ist, (b) eine organische Base, (c) einen thermischen Basengenerator (TBG) und einen Fotobasengenerator (PBG). In einigen Ausführungsformen sind die nichtorganische Base, die organische Base, der TBG oder der PBG in einem geeigneten Lösungsmittel in einer Menge von etwa 1 ppm bis etwa 90 Gew.-%, bezogen auf das Gesamtgewicht der Base oder des Basengenerators und des Lösungsmittels, gelöst. In einigen Ausführungsformen sind die nichtorganische Base, die organische Base, der TBG oder der PBG in dem geeigneten Lösungsmittel oder Wasser in einer Menge von etwa 100 ppm bis etwa 80 Gew.-%, bezogen auf das Gesamtgewicht der Base oder des Basengenerators und des Lösungsmittels, gelöst. In anderen Ausführungsformen beträgt die Menge der Base, des TBG oder des PBG etwa 1 Gew.-% bis etwa 70 Gew.-%, und in anderen Ausführungsformen beträgt die Menge der Base etwa 10 Gew.-% bis etwa 50 Gew.-%, bezogen auf das Gesamtgewicht der Base oder des Basengenerators und des Lösungsmittels.
  • In einigen Ausführungsformen wird die Basen-, TBG- oder PBG-Konzentration im Lösungsmittel basierend auf der lithographischen Leistung der Basenzusammensetzung/Fotolackzusammensetzung-Paarung optimiert. In einigen Ausführungsformen wird bei höheren Basen-, TBG- oder PBG-Konzentrationen eine größere Verbesserung der Linienbreitenrauheit (LWR) und Verringerung der Belichtungsdosis (EOP) (erhöhte Fotolackempfindlichkeit) erreicht. In einigen Ausführungsformen erhöhen jedoch erhöhte Basen-, TBG- oder PBG-Konzentrationen die Kosten des Halbleiterherstellungsprozesses.
  • In einigen Ausführungsformen wird die Basenzusammensetzung 325 in Form einer Flüssigkeit, wie etwa eines Spülvorgangs, auf die Fotolackschicht 15 aufgebracht. In anderen Ausführungsformen wird die Basenzusammensetzung 325 in Form eines Dampfs (z. B. zerstäubte Flüssigkeit in feine Tröpfchen) oder eines Gases auf die Fotolackschicht 15 aufgebracht. In einigen Ausführungsformen wird die Basenzusammensetzung 325 durch eine Rotationsbeschichtungstechnik auf die Fotolackschicht 15 aufgebracht. In anderen Ausführungsformen wird die Basenzusammensetzung 325 durch eine Sprühbeschichtungstechnik aufgebracht. In anderen Ausführungsformen wird die Fotolackschicht 15 in eine flüssige Lösung der Basenzusammensetzung 325 eingetaucht oder eingetaucht. In einigen Ausführungsformen wird die Basenzusammensetzung 325 durch eine Gasphasenabscheidungstechnik, einschließlich chemischer Gasphasenabscheidung (CVD), physikalischer Gasphasenabscheidung (PVD) und Atomlagenabscheidung (ALD), in einer Kammer 335 aufgebracht. In einigen Ausführungsformen wird eine Gasspülung der Kammer 335 durchgeführt, bevor die Basenzusammensetzung 325 auf die Fotolackschicht 15 aufgebracht wird. In einigen Ausführungsformen wird die Kammer mit einem oder mehreren von Kohlendioxid, Stickstoff, Helium, Neon, Argon oder trockener Luft gespült, wobei trockene Luft einen Taupunkt von etwa -40 °C oder niedriger aufweist. In anderen Ausführungsformen wird die Feuchtigkeit der Spülluft in Abhängigkeit von den Prozessanforderungen auf zwischen etwa 0 und etwa 100% relative Feuchtigkeit variiert.
  • In einigen Ausführungsformen umfasst die nichtorganische Base für die Basenzusammensetzung 325 eines oder mehrere, ausgewählt aus den Gruppen bestehend aus NaOH, KOH, LiOH, Ca(OH)2, Ba(OH)2, Na2CO3, NH4OH, Mg(OH)2, RbOH, CsOH, Sr(OH)2, NH3, Ammoniumhydroxid und Ammoniumsulfamat.
  • In einigen Ausführungsformen umfasst die organische Base für die Basenzusammensetzung 325 eines oder mehrere, ausgewählt aus der Gruppe bestehend aus Monoethanolamin, Monoisopropanolamin, 2-Amino-2-methyl-1-propanol, 1H-Benzotriazol, 1,2,4-Triazol, 1,8-Diazabicycloundec-7-en, Tetrabutylammoniumhydroxid, Tetramethylammoniumhydroxid, Ammoniumcarbamat, Tetraethylammoniumhydroxid, Tetrapropylammoniumhydroxid, (NH)R2, NH2R, NR3, C3H5O2NH2, CH3O2NH2, NH2CH2NH2, NH2C2H4NH2, (NH2)xRx, (NH2)xO2Rx und (NH2)xORx, wobei x = 1-8 und R ein Kohlenwasserstoff mit 1 bis 15 Kohlenstoffen ist. In einigen Ausführungsformen ist die organische Base eine oder mehrere der in 11A und 11B veranschaulichten Verbindungen.
  • In einigen Ausführungsformen ist die Base ein Fotobasengenerator (PBG). In einigen Ausführungsformen ist der PBG ein quaternäres Ammoniumdithiocarbamat, ein α-Aminoketon, ein oximurethanhaltiges Molekül wie Dibenzophenonoximhexamethylendiurethan, Ammoniumtetraorganylboratsalze oder N-(2-Nitrobenzyloxycarbonyl)cyclische Amine. In einigen Ausführungsformen ist der PBG eine oder mehrere der in 12 veranschaulichten Verbindungen. In einigen Ausführungsformen wird der PBG bei einer Belichtungsdosis von etwa 20 mJ/cm2 bis etwa 100 mJ/cm2 aktiviert.
  • In einigen Ausführungsformen ist der TBG eine oder mehrere der in 13 veranschaulichten Verbindungen. In einigen Ausführungsformen wird der TBG bei einer Temperatur von etwa 50 °C bis etwa 300 °C aktiviert. In einigen Ausführungsformen wird das Lösungsmittel basierend auf der Auflösungsrate der Base ausgewählt. Geeignete Lösungsmittel für die Basenzusammensetzung umfassen eines oder mehrere ausgewählt aus der Gruppe bestehend aus Acetonitril, Essigsäure, Propylenglycolmethyletheracetat (PGMEA), Propylenglycolmonomethylether PGME, 1-Ethoxy-2-propanol (PGEE), gamma-Butyrolacton (GBL), Cyclohexanon (CHN), Ethyllactat (EL), Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid (DMF), Isopropylalkohol (IPA), Tetrahydrofuran (THF), Methylisobutylkecarbinol (MIBC), n-Butylacetat (nBA), 2-Heptanon (MAK), 5-15-Kohlenstoffalkylketten-Lösungsmitteln, einschließlich n-Pentan, Cyclohexan, 2,2-Dimethylpentan, 2,4-Dimethylpentan und dergleichen.
  • Die Basenzusammensetzung 325 kann eine Lösung sein, die eine Mischung aus Wasser und einem Lösungsmittel ist, wobei die Menge an Wasser im Bereich von etwa 1 ppm bis etwa 99 Gew.-%, bezogen auf das Gesamtgewicht der Lösung, liegt. In anderen Ausführungsformen liegt die Menge an Wasser im Bereich von etwa 1 Gew.-% bis etwa 90 Gew.-%, und in anderen Ausführungsformen liegt die Menge an Wasser im Bereich von etwa 10 Gew.-% bis etwa 75 Gew.-%, bezogen auf das Gesamtgewicht der Lösung.
  • In 2-10 beinhaltet das Substrat 10 in einigen Ausführungsformen eine einkristalline Halbleiterschicht auf mindestens seinem Oberflächenteil. Das Substrat 10 kann ein einkristallines Halbleitermaterial beinhalten, wie etwa unter anderem Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb und InP. In einigen Ausführungsformen ist das Substrat 10 eine Siliziumschicht eines SOI(Silizium-auf-Isolator)-Substrats. In bestimmten Ausführungsformen ist das Substrat 10 aus kristallinem Si hergestellt.
  • Das Substrat 10 kann in seiner Oberflächenregion eine oder mehrere Pufferschichten (nicht gezeigt) beinhalten. Die Pufferschichten können dazu dienen, die Gitterkonstante allmählich von der des Substrats zu der von nachfolgend gebildeten Source/Drain-Regionen zu ändern. Die Pufferschichten können aus epitaktisch gewachsenen einkristallinen Halbleitermaterialien gebildet sein, wie etwa unter anderem Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP, und InP. In einer Ausführungsform wird die Silizium-Germanium(SiGe)-Pufferschicht epitaktisch auf dem Siliziumsubstrat 10 gewachsen. Die Germaniumkonzentration der SiGe-Pufferschichten kann sich von 30 Atom-% für die unterste Pufferschicht auf 70 Atom-% für die oberste Pufferschicht erhöhen.
  • In einigen Ausführungsformen beinhaltet das Substrat 10 eine oder mehrere Schichten aus mindestens einem Metall, einer Metalllegierung und einem Metallnitridsulfid/-oxid/-silizid mit der Formel MXa, wobei M ein Metall ist und X N, S, Se, O, Si ist und a von etwa 0.4 bis etwa 2.5 beträgt. In einigen Ausführungsformen beinhaltet das Substrat 10 Titan, Aluminium, Kobalt, Ruthenium, Titannitrid, Wolframnitrid, Tantalnitrid und Kombinationen davon.
  • In einigen Ausführungsformen beinhaltet das Substrat 10 ein Dielektrikum mit mindestens einem Silizium- oder Metalloxid oder -nitrid der Formel MXb, wobei M ein Metall oder Si ist, X N oder O ist und b von etwa 0.4 bis etwa 2.5 reicht. In einigen Ausführungsformen beinhaltet das Substrat 10 Siliziumdioxid, Siliziumnitrid, Aluminiumoxid, Hafniumoxid, Lanthanoxid und Kombinationen davon.
  • Die Fotolackschicht 15 ist eine lichtempfindliche Schicht, die durch Belichtung mit aktinischer Strahlung strukturiert wird. Typischerweise ändern sich die chemischen Eigenschaften der Fotolackregionen, die von einfallender Strahlung getroffen werden, in einer Weise, die von der Art des verwendeten Fotolacks abhängt. Die Fotolackschichten 15 können Positivtonresists oder Negativtonresists sein. Ein Positivtonresist bezieht sich auf ein Fotolackmaterial, das, wenn es mit aktinischer Strahlung (z. B. UV-Licht) belichtet wird, in einem Entwickler löslich wird, während die Region des Fotolacks, die nicht belichtet wird (oder weniger belichtet wird), in dem Entwickler unlöslich ist. Ein Negativtonresist bezieht sich andererseits auf ein Fotolackmaterial, das, wenn es mit aktinischer Strahlung belichtet wird, in dem Entwickler unlöslich wird, während die Region des Fotolacks, die nicht belichtet wird (oder weniger belichtet wird), in dem Entwickler löslich ist. Die Region eines Negativtonresists, die bei Belichtung mit Strahlung unlöslich wird, kann aufgrund einer Vernetzungsreaktion, die durch die Belichtung mit Strahlung verursacht wird, unlöslich werden. In einigen Ausführungsformen ist der Resist ein negativ entwickelter (NTD) Resist. In einem NTD-Resist vernetzen die Teile der Fotolackschicht, die mit aktinischer Strahlung belichtet werden, nicht, jedoch wird der Entwickler ausgewählt, um die nicht belichteten Teile der Fotolackschicht selektiv aufzulösen, so dass die belichteten Teile auf dem Substrat verbleiben.
  • In einigen Ausführungsformen der vorliegenden Offenbarung wird ein Negativtonresist mit aktinischer Strahlung belichtet. Die belichteten Teile des Negativtonresists durchlaufen aufgrund der Belichtung mit aktinischer Strahlung eine Vernetzung, und während der Entwicklung werden die nicht belichteten, nicht vernetzten Teile des Fotolacks durch den Entwickler entfernt, wobei die belichteten Regionen des Fotolacks auf dem Substrat verbleiben. In anderen Ausführungsformen wird ein NTD-Resist verwendet, wobei die belichteten Teile des Fotolacks eine chemische Reaktion durchlaufen, die die Löslichkeit der belichteten Teile in dem Entwickler verringert.
  • Fotolackzusammensetzungen, die in einigen Ausführungsformen gemäß der vorliegenden Offenbarung verwendet werden, umfassen ein Polymer zusammen mit einer oder mehreren photoaktiven Verbindungen (PACs) in einem Lösungsmittel. In einigen Ausführungsformen umfasst das Polymer eine Kohlenwasserstoffstruktur (wie etwa eine alicyclische Kohlenwasserstoffstruktur), die eine oder mehrere Gruppen enthält, die sich zersetzen (z. B. säurelabile Gruppen) oder auf andere Weise reagieren, wenn sie mit Säuren, Basen oder freien Radikalen gemischt werden, die durch die PACs erzeugt werden (wie weiter unten beschrieben). In einigen Ausführungsformen umfasst die Kohlenwasserstoffstruktur eine Wiederholungseinheit, die ein Skelettgerüst des Polymers bildet. Diese Wiederholungseinheit kann Acrylester, Methacrylester, Crotonsäureester, Vinylester, Maleinsäurediester, Fumarsäurediester, Itaconsäurediester, (Meth)acrylnitril, (Meth)acrylamide, Styrole, Vinylether, Kombinationen davon oder dergleichen beinhalten.
  • In einigen Ausführungsformen umfasst der Fotolack ein Polymer mit säurelabilen Gruppen, die aus den Folgenden ausgewählt sind:
    Figure DE102023104562A1_0001
  • Spezifische Strukturen, die für die Wiederholungseinheit der Kohlenwasserstoffstruktur in einigen Ausführungsformen verwendet werden, beinhalten eines oder mehrere von Methylacrylat, Ethylacrylat, n-Propylacrylat, Isopropylacrylat, n-Butylacrylat, Isobutylacrylat, tert-Butylacrylat, n-Hexylacrylat, 2-Ethylhexylacrylat, Acetoxyethylacrylat, Phenylacrylat, 2-Hydroxyethylacrylat, 2-Methoxyethylacrylat, 2-Ethoxyethylacrylat, 2-(2-Methoxyethoxy)ethylacrylat, Cyclohexylacrylat, Benzylacrylat, 2-Alkyl-2-adamantyl(meth)acrylat oder Dialkyl(1-adamantyl)methyl(meth)acrylat, Methylmethacrylat, Ethylmethacrylat, n-Propylmethacrylat, Isopropylmethacrylat, n-Butylmethacrylat, Isobutylmethacrylat, tert-Butylmethacrylat, n-Hexylmethacrylat, 2-Ethylhexylmethacrylat, Acetoxyethylmethacrylat, Phenylmethacrylat, 2-Hydroxyethylmethacrylat, 2-Methoxyethylmethacrylat, 2-Ethoxyethylmethacrylat, 2-(2-Methoxyethoxy)ethylmethacrylat, Cyclohexylmethacrylat, Benzylmethacrylat, 3-Chlor-2-hydroxypropylmethacrylat, 3-Acetoxy-2-hydroxypropylmethacrylat, 3-Chloracetoxy-2-hydroxypropylmethacrylat, Butylcrotonat, Hexylcrotonat oder dergleichen. Beispiele für die Vinylester beinhalten Vinylacetat, Vinylpropionat, Vinylbutylat, Vinylmethoxyacetat, Vinylbenzoat, Dimethylmaleat, Diethylmaleat, Dibutylmaleat, Dimethylfumarat, Diethylfumarat, Dibutylfumarat, Dimethylitaconat, Diethylitaconat, Dibutylitaconat, Acrylamid, Methylacrylamid, Ethylacrylamid, Propylacrylamid, n-Butylacrylamid, tert-Butylacrylamid, Cyclohexylacrylamid, 2-Methoxyethylacrylamid, Dimethylacrylamid, Diethylacrylamid, Phenylacrylamid, Benzylacrylamid, Methacrylamid, Methylmethacrylamid, Ethylmethacrylamid, Propylmethacrylamid, n-Butylmethacrylamid, tert-Butylmethacrylamid, Cyclohexylmethacrylamid, 2-Methoxyethylmethacrylamid, Dimethylmethacrylamid, Diethylmethacrylamid, Phenylmethacrylamid, Benzylmethacrylamid, Methylvinylether, Butylvinylether, Hexylvinylether, Methoxyethylvinylether, Dimethylaminoethylvinylether oder dergleichen. Beispiele für Styrole beinhalten Styrol, Methylstyrol, Dimethylstyrol, Trimethylstyrol, Ethylstyrol, Isopropylstyrol, Butylstyrol, Methoxystyrol, Butoxystyrol, Acetoxystyrol, Chlorstyrol, Dichlorstyrol, Bromstyrol, Vinylmethylbenzoat, α-Methylstyrol, Maleimid, Vinylpyridin, Vinylpyrrolidon, Vinylcarbazol, Kombinationen davon oder dergleichen.
  • In einigen Ausführungsformen weist die Wiederholungseinheit der Kohlenwasserstoffstruktur auch entweder eine monocyclische oder eine polycyclische Kohlenwasserstoffstruktur auf, die darin substituiert ist, oder die monocyclische oder polycyclische Kohlenwasserstoffstruktur ist die Wiederholungseinheit, um eine alicyclische Kohlenwasserstoffstruktur zu bilden. Spezifische Beispiele für monocyclische Strukturen in einigen Ausführungsformen beinhalten Bicycloalkan, Tricycloalkan, Tetracycloalkan, Cyclopentan, Cyclohexan oder dergleichen. Spezifische Beispiele für polycyclische Strukturen in einigen Ausführungsformen beinhalten Adamantan, Norbornan, Isobornan, Tricyclodecan, Tetracycloalkan oder dergleichen.
  • Die Gruppe, die sich zersetzt, ist an die Kohlenwasserstoffstruktur gebunden, so dass sie mit den Säuren/Basen/freien Radikalen reagiert, die durch die PACS während der Belichtung erzeugt werden. Gruppen, die mit Säuren reagieren, sind als säurelabile Gruppen bekannt. In einigen Ausführungsformen ist die Gruppe, die sich zersetzt, eine Carbonsäuregruppe, eine fluorierte Alkoholgruppe, eine phenolische Alkoholgruppe, eine Sulfonsäuregruppe, eine Sulfonamidgruppe, eine Sulfonylimidogruppe, eine (Alkylsulfonyl)(alkylcarbonyl)methylengruppe, eine (Alkylsulfonyl)(alkylcarbonyl)imidogruppe, eine Bis(alkylcarbonyl)methylengruppe, eine Bis(alkylcarbonyl)imidogruppe, eine Bis(alkylcarbonyl)methylengruppe, eine Bis(alkylsulfonyl)imidogruppe, eine Tris(alkylcarbonyl)methylengruppe, eine Tris(alkylsulfonyl)methylengruppe, Kombinationen davon oder dergleichen. Spezifische Gruppen, die für die fluorierte Alkoholgruppe verwendet werden, beinhalten fluorierte Hydroxyalkylgruppen, wie etwa eine Hexafluorisopropanolgruppe in einigen Ausführungsformen. Spezifische Gruppen, die für die Carbonsäuregruppe verwendet werden, beinhalten Acrylsäuregruppen, Methacrylsäuregruppen oder dergleichen.
  • In einigen Ausführungsformen zersetzt sich die säurelabile Gruppe (ALG) durch die Wirkung der Säure, die durch den Photosäuregenerator erzeugt wird, wobei eine Carbonsäuregruppe an die Polymerharzkette hängt, wie in der ALG-Entschützungsreaktion gezeigt:
    Figure DE102023104562A1_0002
  • In einigen Ausführungsformen umfasst das Polymer auch andere Gruppen, die an die Kohlenwasserstoffstruktur gebunden sind, die dazu beitragen, eine Vielfalt von Eigenschaften des polymerisierbaren Harzes zu verbessern. Zum Beispiel trägt der Einschluss einer Lactongruppe in die Kohlenwasserstoffstruktur dazu bei, die Menge der Linienrandrauheit zu verringern, nachdem der Fotolack entwickelt wurde, wodurch dazu beigetragen wird, die Anzahl der Defekte, die während der Entwicklung auftreten, zu verringern. In einigen Ausführungsformen beinhalten die Lactongruppen Ringe mit fünf bis sieben Gliedern, obwohl jede geeignete Lactonstruktur alternativ für die Lactongruppe verwendet werden kann.
  • In einigen Ausführungsformen wird ein Vernetzer zu dem Fotolack hinzugefügt. Der Vernetzer reagiert mit einer Gruppe aus einer der Kohlenwasserstoffstrukturen in dem Polymerharz und reagiert auch mit einer zweiten Gruppe aus einer separaten der Kohlenwasserstoffstrukturen, um die zwei Kohlenwasserstoffstrukturen zu vernetzen und miteinander zu verbinden. Diese Bindung und Vernetzung erhöht das Molekulargewicht der Polymerprodukte der Vernetzungsreaktion und erhöht die Gesamtverbindungsdichte des Fotolacks. Eine solche Erhöhung der Dichte und Verbindungsdichte trägt dazu bei, die Resiststruktur zu verbessern.
  • In einigen Ausführungsformen weist der Vernetzer die folgende Struktur auf:
    Figure DE102023104562A1_0003
  • In anderen Ausführungsformen weist der Vernetzer die folgende Struktur auf:
    Figure DE102023104562A1_0004
    • worin C Kohlenstoff ist, n im Bereich von 1 bis 15 liegt; A und B unabhängig voneinander ein Wasserstoffatom, eine Hydroxylgruppe, ein Halogenid, einen aromatischen Kohlenstoffring oder
    • eine geradkettige oder zyklische Alkyl-, Alkoxyl/Fluor-, Alkyl/Fluoralkoxyl-Kette mit einer Kohlenstoffzahl zwischen 1 und 12 enthält, und jeder Kohlenstoff C A und B enthält; ein erstes endständiges Kohlenstoffatom C an einem ersten Ende einer Kohlenstoffkette C X enthält und
    • ein zweites endständiges Kohlenstoffatom C an einem zweiten Ende der Kohlenstoffkette Y enthält, wobei X und Y unabhängig voneinander eine Amingruppe, eine Thiolgruppe, eine Hydroxylgruppe, eine Isopropylalkoholgruppe oder eine Isopropylamingruppe enthalten, es sei denn, n = 1, dann sind X und Y an das gleiche Kohlenstoffatom C gebunden. Zu den spezifischen Beispielen von Materialien, die als Vernetzer verwendet werden können, gehören die folgenden:
    Figure DE102023104562A1_0005
  • Alternativ wird in einigen Ausführungsformen anstelle oder zusätzlich zu dem Vernetzer, der zu der Fotolackzusammensetzung hinzugefügt wird, ein Kopplungsreagenz hinzugefügt, wobei das Kopplungsreagenz zusätzlich zu dem Vernetzer hinzugefügt wird. Das Kopplungsreagenz unterstützt die Vernetzungsreaktion durch Reagieren mit den Gruppen an der Kohlenwasserstoffstruktur in dem Polymerharz vor dem Vernetzerreagenz, was eine Verringerung der Reaktionsenergie der Vernetzungsreaktion und eine Erhöhung der Reaktionsrate ermöglicht. Das gebundene Kopplungsreagenz reagiert dann mit dem Vernetzer, wodurch der Vernetzer an das Polymerharz gekoppelt wird.
  • Alternativ wird in einigen Ausführungsformen, in denen das Kopplungsreagenz zu der Fotolackzusammensetzung ohne den Vernetzer hinzugefügt wird, das Kopplungsreagenz verwendet, um eine Gruppe aus einer der Kohlenwasserstoffstrukturen in dem Polymerharz an eine zweite Gruppe aus einer separaten der Kohlenwasserstoffstrukturen zu koppeln, um die zwei Polymere zu vernetzen und miteinander zu verbinden. In einer solchen Ausführungsform verbleibt das Kopplungsreagenz jedoch im Gegensatz zu dem Vernetzer nicht als Teil des Polymers und unterstützt nur die Bindung einer Kohlenwasserstoffstruktur direkt an eine andere Kohlenwasserstoffstruktur.
  • In einigen Ausführungsformen hat das Kupplungsreagenz die folgende Struktur:
    Figure DE102023104562A1_0006
    wobei R ein Kohlenstoffatom, ein Stickstoffatom, ein Schwefelatom oder ein Sauerstoffatom ist; Mein Chloratom, ein Bromatom, ein Jodatom, --NO2; --SO3-, --H--; --CN; --NCO, --OCN; --CO2-; --OH; --OR*, --OC(O)CR*; --SR, -SO2N(R*)2; --SO2R*; SOR; --OC(O)R*; --C(O)OR*; --C(O)R*; --Si(OR*)3; --Si(R*)3; Epoxidgruppen oder dergleichen; und R* ein substituiertes oder unsubstituiertes C1-C12-Alkyl, C1-C12-Aryl, C1-C12-Aralkyl oder dergleichen. Zu den spezifischen Beispielen für Materialien, die in einigen Ausführungsformen als Kopplungsreagenz verwendet werden, gehören die folgenden:
    Figure DE102023104562A1_0007
  • Die einzelnen Komponenten des Fotolacks werden in ein Lösungsmittel gegeben, um das Mischen und Abgeben des Fotolacks zu unterstützen. Um das Mischen und Abgeben des Fotolacks zu unterstützen, wird das Lösungsmittel zumindest teilweise auf Grundlage der für das Polymerharz sowie die PACs ausgewählten Materialien ausgewählt. In einigen Ausführungsformen wird das Lösungsmittel so ausgewählt, dass das Polymerharz und die PACs gleichmäßig in dem Lösungsmittel gelöst und auf die zu strukturierende Schicht abgegeben werden können.
  • In einigen Ausführungsformen umfasst das Polymer Gruppen, die dazu beitragen können, die Haftfähigkeit der Fotolackschicht 15 an darunterliegenden Strukturen (z. B. Substrat 10) zu erhöhen. Polare Gruppen können verwendet werden, um die Haftfähigkeit zu erhöhen. Geeignete polare Gruppen beinhalten Hydroxylgruppen, Cyanogruppen oder dergleichen, obwohl jede geeignete polare Gruppe alternativ verwendet werden kann.
  • Optional umfasst das Polymer eine oder mehrere alicyclische Kohlenwasserstoffstrukturen, die nicht auch eine Gruppe enthalten, die sich in einigen Ausführungsformen zersetzen wird. In einigen Ausführungsformen umfasst die Kohlenwasserstoffstruktur, die keine Gruppe enthält, die sich zersetzen wird, Strukturen wie 1-Adamantyl(meth)acrylat, Tricyclodecanyl(meth)acrylat, Cyclohexyl(methacrylat), Kombinationen davon oder dergleichen. In einigen Ausführungsformen umfasst die Fotolackzusammensetzung eine oder mehrere photoaktive Verbindungen (PAC).
  • In einigen Ausführungsformen umfassen die PACs Photosäure-Generatoren, Photobase-Generatoren, photozersetzbare Basen, Generatoren freier Radikale oder Ähnliches. In einigen Ausführungsformen, in denen die PAC Photosäuregeneratoren sind, umfassen die PAC halogenierte Triazine, Oniumsalze, Diazoniumsalze, aromatische Diazoniumsalze, Phosphoniumsalze, Sulfoniumsalze, Iodoniumsalze, Imidsulfonat, Oximsulfonat, Diazodisulfon, Disulfon, o-Nitrobenzylsulfonat, sulfonierte Ester, halogenierte Sulfonyloxydicarboximide, Diazodisulfone, α-Cyanooxyaminsulfonate, Imidsulfonate, Ketodiazosulfone, Sulfonyldiazoester, 1,2-Di(arylsulfonyl)hydrazine, Nitrobenzylester und die s-Triazinderivate, Kombinationen davon oder dergleichen.
  • Spezifische Beispiele für Fotosäuregeneratoren beinhalten α-(Trifluormethylsulfonyloxy)-bicyclo[2.2.1]hept-5-en-2,3-dicarb-o-ximid (MDT), N-Hydroxynaphthalimid (DDSN), Benzointosylat, t-Butylphenyl-α-(p-toluolsulfonyloxy)-acetat und t-Butyl-α-(p-toluolsulfonyloxy)-acetat, Triarylsulfonium- und Diaryliodoniumhexafluorantimonate, Hexafluorarsenate, Trifluormethansulfonate, Iodoniumperfluoroctansulfonat, N-Camphersulfonyloxynaphthalimid, N-Pentafluorphenylsulfonyloxynaphthalimid, ionische Iodoniumsulfonate wie Diaryliodonium(alkyl oder aryl)sulfonat und Bis-(di-t-butylphenyl)iodoniumcampheranylsulfonat, Perfluoralkansulfonate wie Perfluorpentansulfonat, Perfluoroctansulfonat, Perfluormethansulfonat, Aryl(z. B. Phenyl oder Benzyl)triflate wie Triphenylsulfoniumtriflat oder Bis-(t-butylphenyl)iodoniumtriflat; Pyrogallolderivate (z. B. Trimesylat von Pyrogallol), Trifluormethansulfonatester von Hydroxyimiden, α,α'-Bis-sulfonyldiazomethanen, Sulfonatester von nitrosubstituierten Benzylalkoholen, Naphthochinon-4-diaziden, Alkyldisulfonen oder dergleichen.
  • In einigen Ausführungsformen, in denen die PACs freie Radikale generieren, umfassen die PACs n-Phenylglycin; aromatische Ketone, einschließlich Benzophenon, N,N'-Tetramethyl-4,4'-diaminobenzophenon, N,N'-Tetraethyl-4,4'-diaminobenzophenon, 4-Methoxy-4'-dimethylaminobenzophenon, 3,3'-Dimethyl-4-methoxybenzophenon, p,p'-Bis(dimethylamino)benzophenon, p,p'-Bis(diethylamino)-benzophenon; Anthrachinon, 2-Ethylanthrachinon, Naphthachinon und Phenanthrachinon; Benzoine einschließlich Benzoin, Benzoinmethylether, Benzoinisopropylether, Benzoin-n-butylether, Benzoinphenylether, Methylbenzoin und Ethylbenzoin; Benzylderivate, einschließlich Dibenzyl, Benzyldiphenyldisulfid und Benzyldimethylketal; Acridinderivate, einschließlich 9-Phenylacridin und 1,7-Bis(9-acridinyl)heptan; Thioxanthone, einschließlich 2-Chlorthioxanthon, 2-Methylthioxanthon, 2,4-Diethylthioxanthon, 2,4-Dimethylthioxanthon und 2-Isopropylthioxanthon; Acetophenone, einschließlich 1,1-Dichloracetophenon, p-t-Butyldichloracetophenon, 2,2-Diethoxyacetophenon, 2,2-Dimethoxy-2-phenylacetophenon und 2,2-Dichlor-4-phenoxyacetophenon; 2,4,5-Triarylimidazol-Dimere, einschließlich 2-(o-Chlorphenyl)-4,5-diphenylimidazol-Dimer, 2-(o-Chlorphenyl)-4,5-di-(m-methoxyphenylimidazol-Dimer, 2-(o-Fluorphenyl)-4,5-diphenylimidazol-Dimer, 2-(o-Methoxyphenyl)-4, 5-Diphenylimidazol-Dimer, 2-(p-Methoxyphenyl)-4,5-Diphenylimidazol-Dimer, 2,4-Di(p-methoxyphenyl)-5-Phenylimidazol-Dimer, 2-(2,4-Dimethoxyphenyl)-4,5-Diphenylimidazol-Dimer und 2-(p-Methylmercaptophenyl)-4,5-Diphenylimidazol-Dimer; Kombinationen dieser Stoffe oder dergleichen.
  • In einigen Ausführungsformen ist das Lösungsmittel ein organisches Lösungsmittel und umfasst ein oder mehrere geeignete Lösungsmittel wie Ketone, Alkohole, Polyalkohole, Ether, Glykolether, cyclische Ether, aromatische Kohlenwasserstoffe, Ester, Propionate, Lactate, Milchsäureester, Alkylenglykolmonoalkylether, Alkyllactate, Alkylalkoxypropionate, cyclische Lactone, Monoketonverbindungen, die einen Ring enthalten, Alkylencarbonate, Alkylalkoxyacetat, Alkylpyruvate, Lactatester, Ethylenglykolalkyletheracetate, Diethylenglykole, Propylenglykolalkyletheracetate, Alkylenglykolalkyletherester, Alkylenglykolmonoalkylester oder dergleichen.
  • Die Fotolackzusammensetzungen können auch eine Reihe von anderen Additiven beinhalten, die den Fotolack dabei unterstützen, eine hohe Auflösung zu erhalten. Zum Beispiel beinhalten einige Ausführungsformen des Fotolacks auch Tenside, um dabei zu helfen, die Fähigkeit des Fotolacks zu verbessern, die Oberfläche zu beschichten, auf die er aufgebracht wird. Andere Additive, die zu einigen Ausführungsformen des Fotolacks hinzugefügt werden, sind ein Stabilisator, der dazu beiträgt, eine unerwünschte Diffusion der Säuren, die während der Belichtung des Fotolacks erzeugt werden, zu verhindern; ein Auflösungsinhibitor, um dabei zu helfen, die Auflösung des Fotolacks während der Entwicklung zu steuern; ein Weichmacher, um die Delaminierung und Rissbildung zwischen dem Fotolack und darunterliegenden Schichten (z. B. der zu strukturierenden Schicht) zu verringern; und ein Haftvermittler.
  • In einigen Ausführungsformen ist die Fotolackschicht 15 ein metallischer Negativtonresist, der bei Belichtung mit Strahlung eine Vernetzungsreaktion durchläuft.
  • In einigen Ausführungsformen ist die Fotolackschicht 15 aus einer metallischen Fotolackzusammensetzung hergestellt, die eine erste Verbindung oder einen ersten Vorläufer und eine zweite Verbindung oder einen zweiten Vorläufer, die in einem Dampfzustand kombiniert sind, beinhaltet. Der erste Vorläufer oder die erste Verbindung ist ein Organometall mit einer Formel: MaRbXc, wie in 14A gezeigt, wobei M mindestens eines von Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu ist; und Reine substituierte oder unsubstituierte Alkyl-, Alkenyl- oder Carboxylatgruppe ist. In einigen Ausführungsformen ist M ausgewählt aus der Gruppe bestehend aus Sn, Bi, Sb, In, Te und Kombinationen davon. In einigen Ausführungsformen ist R ein C3-C6-Alkyl, -Alkenyl oder - Carboxylat. In einigen Ausführungsformen ist R ausgewählt aus der Gruppe bestehend aus Propyl, Isopropyl, Butyl, Isobutyl, sec-Butyl, tert-Butyl, Pentyl, Isopentyl, sec-Pentyl, tert-Pentyl, Hexyl, Isohexyl, sec-Hexyl, tert-Hexyl und Kombinationen davon. X ist ein Ligand, ein Ion oder eine andere Einheit, die mit der zweiten Verbindung oder dem zweiten Vorläufer reaktiv ist; und 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 und b + c ≤ 5 in einigen Ausführungsformen. In einigen Ausführungsformen ist die Alkyl-, Alkenyl- oder Carboxylatgruppe mit einer oder mehreren Fluorgruppen substituiert. In einigen Ausführungsformen ist der metallorganische Vorläufer ein Dimer, wie in 14A gezeigt, wobei jede Monomereinheit durch eine Amingruppe verbunden ist. Jedes Monomer weist eine Formel auf: MaRbXc, wie oben definiert.
  • In einigen Ausführungsformen ist R Alkyl, wie etwa CnH2n+1, wobei n ≥ 3. In einigen Ausführungsformen ist R fluoriert, z. B. mit der Formel CnFxH((2n+1)-x). In einigen Ausführungsformen weist R mindestens einen beta-Wasserstoff oder beta-Fluor auf. In einigen Ausführungsformen ist R ausgewählt aus der Gruppe bestehend aus i-Propyl, n-Propyl, t-Butyl, i-Butyl, n-Butyl, sec-Butyl, n-Pentyl, i-Pentyl, t-Pentyl und sec-Pentyl und Kombinationen davon.
  • In einigen Ausführungsformen ist X eine beliebige Einheit, die leicht durch die zweite Verbindung oder den zweiten Vorläufer verdrängt wird, um eine M-OH-Einheit zu erzeugen, wie etwa eine Einheit, die ausgewählt ist aus der Gruppe bestehend aus Aminen, einschließlich Dialkylamino und Monoalkylamino; Alkoxy; Carboxylaten, Halogenen und Sulfonaten. In einigen Ausführungsformen ist die Sulfonatgruppe mit einer oder mehreren Amingruppen substituiert. In einigen Ausführungsformen ist das Halogenid eines oder mehrere, ausgewählt aus der Gruppe bestehend aus F, Cl, Br und I. In einigen Ausführungsformen beinhaltet die Sulfonatgruppe eine substituierte oder unsubstituierte C1-C3-Gruppe.
  • In einigen Ausführungsformen beinhaltet die erste metallorganische Verbindung oder der erste metallorganische Vorläufer einen metallischen Kern M+ mit Liganden L, die an den metallischen Kern M+ gebunden sind, wie in 14B gezeigt. In einigen Ausführungsformen ist der metallische Kern M+ ein Metalloxid. Die Liganden L beinhalten in einigen Ausführungsformen aliphatische oder aromatische C3-C12-Gruppen. Die aliphatischen oder aromatischen Gruppen können unverzweigt oder verzweigt sein mit cyclischen oder nichtcyclischen gesättigten anhängigen Gruppen, die 1-9 Kohlenstoffe enthalten, einschließlich Alkylgruppen, Alkenylgruppen und Phenylgruppen. Die verzweigten Gruppen können ferner mit Sauerstoff oder Halogen substituiert sein. In einigen Ausführungsformen beinhalten die aliphatischen oder aromatischen C3-C12-Gruppen heterocyclische Gruppen. In einigen Ausführungsformen sind die aliphatischen oder aromatischen C3-C12-Gruppen durch eine Ether- oder Esterbindung an das Metall gebunden. In einigen Ausführungsformen beinhalten die aliphatischen oder aromatischen C3-C12-Gruppen Nitrit- und Sulfonatsubstituenten.
  • In einigen Ausführungsformen beinhalten der Organometallvorläufer oder die Organometallverbindung ein sec-Hexyltris(dimethylamino)zinn, t-Hexyltris(dimethylamino)zinn, i-Hexyltris(dimethylamino)zinn, n-Hexyltris(dimethylamino)zinn, sec-Pentyltris(dimethylamino)zinn, t-Pentyltris(dimethylamino)zinn, i-Pentyltris(dimethylamino)zinn, n-Pentyltris(dimethylamino)zinn, sec-Butyltris(dimethylamino)zinn, t-Butyltris(dimethylamino)zinn, i-Butyltris(dimethylamino)zinn, n-Butyltris(dimethylamino)zinn, sec-Butyltris(dimethylamino)zinn, i-Propyl(tris)dimethylamino zinn, n-Propyltris(diethylamino)zinn und analoge Alkyl(tris)(t-butoxy)zinn-Verbindungen, einschließlich sec-Hexyltris(t-butoxy)zinn, t-Hexyltris(t-butoxy)zinn, i-Hexyltris(t-butoxy)zinn, n-Hexyltris(t-butoxy)zinn, sec-Pentyltris(t-butoxy)zinn, t-Pentyltris(t-butoxy)zinn, i-Pentyltris(t-butoxy)zinn, n-Pentyltris(t-butoxy)zinn, t-Butyltris(t-butoxy)zinn, i-Butyltris(butoxy)zinn, n-Butyltris(butoxy)zinn, sec-Butyltris(butoxy)zinn, i-Propyl(tris)dimethylamino zinn oder n-Propyltris(butoxy)zinn. In einigen Ausführungsformen sind die metallorganischen Vorläufer oder metallorganischen Verbindungen fluoriert. In einigen Ausführungsformen weisen die metallorganischen Vorläufer oder Verbindungen einen Siedepunkt von weniger als etwa 200 °C auf.
  • In einigen Ausführungsformen beinhaltet die erste Verbindung oder der erste Vorläufer eine oder mehrere ungesättigte Bindungen, die mit einer funktionellen Gruppe, wie etwa einer Hydroxylgruppe, auf der Oberfläche des Substrats oder einer dazwischenliegenden Unterschicht koordiniert werden können, um die Haftung der Fotolackschicht an dem Substrat oder der Unterschicht zu verbessern.
  • In einigen Ausführungsformen ist der zweite Vorläufer oder die zweite Verbindung mindestens eines von einem Amin, einem Boran, einem Phosphin oder Wasser. In einigen Ausführungsformen weist das Amin eine Formel NpHnXm auf, wobei 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3, wenn p 1 ist, und n + m = 4, wenn p 2 ist, und jedes X unabhängig ein Halogen ist, ausgewählt aus der Gruppe bestehend aus F, Cl, Br und I. In einigen Ausführungsformen weist das Boran eine Formel BpHnXm auf, wobei 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3, wenn p 1 ist, und n + m = 4, wenn p 2 ist, und jedes X unabhängig ein Halogen ist, ausgewählt aus der Gruppe bestehend aus F, Cl, Br und I. In einigen Ausführungsformen weist das Phosphin eine Formel PpHnXm auf, wobei 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3, wenn p 1 ist, oder n + m = 4, wenn p 2 ist, und jedes X unabhängig ein Halogen ist, ausgewählt aus der Gruppe bestehend aus F, Cl, Br und I.
  • In einigen Ausführungsformen wird ein PBG nicht auf metallische Fotolackzusammensetzungen aufgebracht.
  • 14B zeigt metallische Vorläufer, die in einigen Ausführungsformen als Ergebnis der Belichtung mit aktinischer Strahlung eine Reaktion durchlaufen. Als Ergebnis der Belichtung mit aktinischer Strahlung werden Ligandengruppen L vom metallischen Kern M+ der metallischen Vorläufer abgespalten, und zwei oder mehr metallische Vorläuferkerne binden miteinander.
  • 14C zeigt Beispiele für metallorganische Vorläufer gemäß Ausführungsformen der Offenbarung. In 14C ist Bz eine Benzolgruppe.
  • In einigen Ausführungsformen wird der Vorgang S115 des Bildens einer Fotolackschicht durch einen Gasphasenabscheidungsvorgang durchgeführt. In einigen Ausführungsformen umfasst der Gasphasenabscheidungsvorgang Atomlagenabscheidung (ALD) und chemische Gasphasenabscheidung (CVD). In einigen Ausführungsformen umfasst die ALD plasmaunterstützte Atomlagenabscheidung (PE-ALD); die CVD umfasst plasmaunterstützte chemische Gasphasenabscheidung (PE-CVD), metallorganische chemische Gasphasenabscheidung (MO-CVD), chemische Gasphasenabscheidung bei Atmosphärendruck (AP-CVD) und chemische Gasphasenabscheidung bei Niederdruck (LP-CVD).
  • Eine Resistschicht-Abscheidungsvorrichtung 200 gemäß einigen Ausführungsformen der Offenbarung ist in 15 gezeigt. In einigen Ausführungsformen ist die Abscheidungsvorrichtung 200 eine ALD- oder CVD-Vorrichtung. Die Abscheidungsvorrichtung 200 umfasst eine Vakuumkammer 205. Eine Substratträgerstufe 210 in der Vakuumkammer 205 trägt ein Substrat 10, wie etwa einen Siliziumwafer. In einigen Ausführungsformen umfasst die Substratträgerstufe 210 eine Heiz- oder Kühlvorrichtung. Eine erste Vorläufer- oder Verbindungsgaszufuhr 220 und eine Träger-/Spülgaszufuhr 225 sind über eine Gasleitung 235 mit einem Einlass 230 in der Kammer verbunden, und eine zweite Vorläufer- oder Verbindungsgaszufuhr 240 und eine Träger-/Spülgaszufuhr 225 sind in einigen Ausführungsformen über eine weitere Gasleitung 235' mit einem weiteren Einlass 230' in der Kammer verbunden. Die Kammer wird evakuiert, und überschüssige Reaktanten und Reaktionsnebenprodukte werden durch eine Vakuumpumpe 245 über einen Auslass 250 und eine Abgasleitung 255 entfernt. In einigen Ausführungsformen werden die Strömungsrate oder Pulse von Vorläufergasen und Träger-/Spülgasen, die Evakuierung von überschüssigen Reaktanten und Reaktionsnebenprodukten, der Druck innerhalb der Vakuumkammer 205 und die Temperatur der Vakuumkammer 205 oder der Waferträgerstufe 210 durch eine Steuerung 260 gesteuert, die konfiguriert ist, um jeden dieser Parameter zu steuern.
  • Das Abscheiden einer Fotolackschicht beinhaltet in einigen Ausführungsformen das Kombinieren der ersten Verbindung oder des ersten Vorläufers und der zweiten Verbindung oder des zweiten Vorläufers in einem Dampfzustand, um die Fotolackzusammensetzung zu bilden. In einigen Ausführungsformen werden die erste Verbindung oder der erste Vorläufer und die zweite Verbindung oder der zweite Vorläufer der Fotolackzusammensetzung etwa zur gleichen Zeit über die Einlässe 230, 230' in die Abscheidungskammer 205 (CVD-Kammer) eingeführt. In einigen Ausführungsformen werden die erste Verbindung oder der erste Vorläufer und die zweite Verbindung oder der zweite Vorläufer über die Einlässe 230, 230' abwechselnd in die Abscheidungskammer 205 (ALD-Kammer) eingeführt, d. h. zuerst eine Verbindung oder ein erster Vorläufer, dann eine zweite Verbindung oder ein zweiter Vorläufer, und anschließend abwechselnd die Einführung der einen Verbindung oder des einen Vorläufers, gefolgt von der zweiten Verbindung oder dem zweiten Vorläufer, wiederholt.
  • In einigen Ausführungsformen liegt die Temperatur der Abscheidungskammer 205 während des Abscheidungsvorgangs im Bereich von etwa 30 °C bis etwa 400 °C und in anderen Ausführungsformen zwischen etwa 50 °C bis etwa 250 °C. In einigen Ausführungsformen liegt der Druck in der Abscheidungskammer 205 während des Abscheidungsvorgangs im Bereich von etwa 5 mTorr bis etwa 100 Torr und in anderen Ausführungsformen zwischen etwa 100 mTorr bis etwa 10 Torr. In einigen Ausführungsformen beträgt die Plasmaleistung weniger als etwa 1000 W. In einigen Ausführungsformen liegt die Plasmaleistung im Bereich von etwa 100 W bis etwa 900 W. In einigen Ausführungsformen liegt die Strömungsrate der ersten Verbindung oder des ersten Vorläufers und der zweiten Verbindung oder des zweiten Vorläufers im Bereich von etwa 100 sccm bis etwa 1000 sccm. In einigen Ausführungsformen liegt das Verhältnis der Strömung des metallorganischen Verbindungsvorläufers zu der zweiten Verbindung oder dem zweiten Vorläufer im Bereich von etwa 1:1 bis etwa 1:5. Bei Betriebsparametern außerhalb der oben genannten Bereiche führen in einigen Ausführungsformen unbefriedigende Fotolackschichten. In einigen Ausführungsformen erfolgt die Bildung der Fotolackschicht in einer einzigen Kammer (eine Eintopfschichtbildung).
  • In einem CVD-Verfahren gemäß einigen Ausführungsformen der Offenbarung werden zwei oder mehr Gasströme, in separaten Einlasswegen 230, 235 und 230', 235', eines metallorganischen Vorläufers und eines zweiten Vorläufers in die Abscheidungskammer 205 einer CVD-Vorrichtung eingeführt, wo sie sich mischen und in der Gasphase reagieren, um ein Reaktionsprodukt zu bilden. Die Ströme werden in einigen Ausführungsformen unter Verwendung von separaten Injektionseinlässen 230, 230' oder einem Doppelplenum-Duschkopf eingeführt. Die Abscheidungsvorrichtung ist so konfiguriert, dass die Ströme des metallorganischen Vorläufers und des zweiten Vorläufers in der Kammer gemischt werden, so dass der metallorganische Vorläufer und der zweite Vorläufer reagieren können, um ein Reaktionsprodukt zu bilden. Ohne den Mechanismus, die Funktion oder den Nutzen der Offenbarung einzuschränken, wird angenommen, dass das Produkt aus der Gasphasenreaktion im Molekulargewicht schwerer wird und dann kondensiert oder anderweitig auf dem Substrat 10 abgeschieden wird.
  • In einigen Ausführungsformen wird ein ALD-Prozess verwendet, um die Fotolackschicht abzuscheiden. Während der ALD wird eine Schicht auf einem Substrat 10 gewachsen, indem die Oberfläche des Substrats abwechselnden gasförmigen Verbindungen (oder Vorläufern) ausgesetzt wird. Im Gegensatz zur CVD werden die Vorläufer als eine Reihe von sequentiellen, nicht überlappenden Pulsen eingeführt. In jedem dieser Pulse reagieren die Vorläufermoleküle mit der Oberfläche in einer selbsteinschränkenden Weise, so dass die Reaktion endet, sobald alle reaktiven Stellen auf der Oberfläche verbraucht sind. Folglich wird die maximale Menge an Material, die auf der Oberfläche nach einer einzigen Belichtung gegenüber allen Vorläufern abgeschieden wird (ein sogenannter ALD-Zyklus), durch die Art der Vorläufer-Oberflächen-Interaktion bestimmt.
  • In einer Ausführungsform eines ALD-Prozesses wird ein metallorganischer Vorläufer gepulst, um den metallhaltigen Vorläufer an die Oberfläche des Substrats 10 in einer ersten Halbreaktion abzugeben. In einigen Ausführungsformen reagiert der metallorganische Vorläufer mit einer geeigneten darunterliegenden Spezies (zum Beispiel OH- oder NH-Funktionalität auf der Oberfläche des Substrats), um eine neue selbstsättigende Oberfläche zu bilden. Überschüssige nicht verwendete Reaktanten und die Reaktionsnebenprodukte werden in einigen Ausführungsformen durch eine Evakuierungspumpe unter Verwendung einer Vakuumpumpe 245 und/oder durch ein strömendes inertes Spülgas entfernt. Dann wird in einigen Ausführungsformen ein zweiter Vorläufer, wie etwa Ammoniak (NH3), in die Abscheidungskammer gepulst. Das NH3 reagiert mit dem metallorganischen Vorläufer auf dem Substrat, um einen Reaktionsprodukt-Fotolack auf der Substratoberfläche zu erhalten. Der zweite Vorläufer bildet auch selbstsättigende Bindungen mit der darunterliegenden reaktiven Spezies, um eine weitere selbsteinschränkende und sättigende zweite Halbreaktion bereitzustellen. Eine zweite Spülung wird in einigen Ausführungsformen durchgeführt, um nicht verwendete Reaktanten und die Reaktionsnebenprodukte zu entfernen. Pulse des ersten Vorläufers und des zweiten Vorläufers werden mit dazwischenliegenden Spülvorgängen abgewechselt, bis eine gewünschte Dicke der Fotolackschicht erreicht ist.
  • In einigen Ausführungsformen werden die ersten und zweiten Verbindungen oder Vorläufer mit einem Trägergas in die Abscheidungskammer 205 zugeführt. Das Trägergas, ein Spülgas, ein Abscheidungsgas oder ein anderes Prozessgas kann Stickstoff, Wasserstoff, Argon, Neon, Helium oder Kombinationen davon enthalten.
  • In einigen Ausführungsformen wird die Fotolackschicht 15 in einer Dicke von etwa 5 nm bis etwa 50 nm und in anderen Ausführungsformen in einer Dicke von etwa 10 nm bis etwa 30 nm gebildet. Ein Durchschnittsfachmann wird erkennen, dass zusätzliche Dickenbereiche innerhalb der vorstehenden expliziten Bereiche in Betracht gezogen werden und innerhalb der vorliegenden Offenbarung liegen. Die Dicke kann unter Verwendung von kontaktlosen Verfahren der Röntgenreflektivität und/oder Ellipsometrie basierend auf den optischen Eigenschaften der Fotolackschichten bewertet werden. In einigen Ausführungsformen ist jede Fotolackschichtdicke relativ gleichmäßig, um die Verarbeitung zu erleichtern. In einigen Ausführungsformen variiert die Variation der Dicke der abgeschiedenen Fotolackschicht um nicht mehr als ± 25% von der durchschnittlichen Dicke, in anderen Ausführungsformen variiert jede Fotolackschichtdicke um nicht mehr als ± 10% von der durchschnittlichen Fotolackschichtdicke. In einigen Ausführungsformen, wie etwa Abscheidungen mit hoher Gleichmäßigkeit auf größeren Substraten, kann die Bewertung der Gleichmäßigkeit der Fotolackschicht mit einem Randausschluss von 1 Zentimeter bewertet werden, d. h. die Schichtgleichmäßigkeit wird nicht für Teile der Beschichtung innerhalb von 1 Zentimeter des Rands bewertet. Ein Durchschnittsfachmann wird erkennen, dass zusätzliche Bereiche innerhalb der vorstehenden expliziten Bereiche in Betracht gezogen werden und innerhalb der vorliegenden Offenbarung liegen.
  • In einigen Ausführungsformen beinhaltet die Organometallverbindung Zinn (Sn), Antimon (Sb), Wismut (Bi), Indium (In) und/oder Tellur (Te) als Metallkomponente, jedoch ist die Offenbarung nicht auf diese Metalle beschränkt. In anderen Ausführungsformen beinhalten zusätzliche geeignete Metalle Titan (Ti), Zirkonium (Zr), Hafnium (Hf), Vanadium (V), Kobalt (Co), Molybdän (Mo), Wolfram (W), Aluminium (Al), Gallium (Ga), Silizium (Si), Germanium (Ge), Phosphor (P), Arsen (As), Yttrium (Y), Lanthan (La), Cer (Ce), Lutetium (Lu) oder Kombinationen davon. Die zusätzlichen Metalle können als Alternativen zu oder zusätzlich zu Sn, Sb, Bi, In und/oder Te vorliegen.
  • Das bestimmte verwendete Metall kann die Absorption von Strahlung signifikant beeinflussen. Daher kann die Metallkomponente basierend auf der gewünschten Strahlung und dem gewünschten Absorptionsquerschnitt ausgewählt werden. Zinn, Antimon, Wismut, Tellur und Indium stellen eine starke Absorption von extremultraviolettem Licht bei 13,5 nm bereit. Hafnium stellt eine gute Absorption von Elektronenstrahl und extremer UV-Strahlung bereit. Metallzusammensetzungen, die Titan, Vanadium, Molybdän oder Wolfram beinhalten, weisen eine starke Absorption bei längeren Wellenlängen auf, um zum Beispiel eine Empfindlichkeit für ultraviolettes Licht mit einer Wellenlänge von 248 nm bereitzustellen.
  • In einigen Ausführungsformen wird die Fotolackschicht 15 durch Mischen der metallorganischen Verbindung in einem Lösungsmittel, um eine Fotolackzusammensetzung zu bilden, und Abgeben der Fotolackzusammensetzung auf das Substrat 10 gebildet. Um das Mischen und Abgeben des Fotolacks zu unterstützen, wird das Lösungsmittel zumindest teilweise auf Grundlage der für den Metallresist ausgewählten Materialien ausgewählt. In einigen Ausführungsformen wird das Lösungsmittel so ausgewählt, dass das Organometall gleichmäßig in dem Lösungsmittel gelöst und auf die zu strukturierende Schicht abgegeben wird.
  • In einigen Ausführungsformen ist das Fotolacklösungsmittel ein organisches Lösungsmittel und umfasst ein beliebiges geeignetes Lösungsmittel wie Propylenglycolmethyletheracetat (PGMEA), Propylenglycolmonomethylether (PGME), 1-Ethoxy-2-propanol (PGEE), γ-Butyrolacton (GBL), Cyclohexanon (CHN), Ethyllactat (EL), Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid (DMF), Isopropanol (IPA), Tetrahydrofuran (THF), Methylisobutylkecarbinol (MIBC), n-Butylacetat (nBa), 2-Heptanon (MAK), Ameisensäure, Essigsäure, Propansäure, Butansäure oder dergleichen.
  • Wie ein Durchschnittsfachmann erkennen wird, sind die vorstehend aufgeführten und beschriebenen Materialien als Beispiele für Materialien, die für die Lösungsmittelkomponente des Fotolacks verwendet werden können, lediglich veranschaulichend und sollen die Ausführungsformen nicht einschränken. Vielmehr kann jedes geeignete Material, das das metallische Fotolackmaterial löst, verwendet werden, um das Mischen und Aufbringen des Fotolacks zu unterstützen. Alle solchen Materialien sollen vollständig in den Schutzumfang der Ausführungsformen eingeschlossen sein.
  • Die in 15 veranschaulichte Fotolackschicht-Abscheidungsvorrichtung 200 wird in einigen Ausführungsformen auch verwendet, um die Basenzusammensetzung 325 auf die Fotolackschicht 15 aufzubringen. Eine Basenzusammensetzungszufuhr 260 und eine Trägergaszufuhr 265 sind über eine Zufuhrleitung 270 mit einem Einlass 275 in der Kammer verbunden. In einigen Ausführungsformen ist der Einlass 275 konfiguriert, um die Basenzusammensetzung 325 als ein Flüssigkeitsspray oder als ein zerstäubter Dampf abzugeben. In einigen Ausführungsformen ist die Basenzusammensetzung 325 ein Gas. In einigen Ausführungsformen ist eine Spülgaszufuhr 280 über eine Gaszufuhrleitung 285 mit einem Spülgaseinlass 290 verbunden. In einigen Ausführungsformen wird die Kammer 205 mit dem Spülgas gespült, bevor die Basenzusammensetzung 325 in die Kammer 205 eingeführt wird. In einigen Ausführungsformen wird die Basenzusammensetzung 325 in die Kammer 205 eingeführt, nachdem die Fotolackschicht 15 gebildet ist. In anderen Ausführungsformen wird die Basenzusammensetzung 325 im Wesentlichen gleichzeitig mit der Einführung der Fotolackkomponenten in die Kammer 205 eingeführt, und die Basenzusammensetzung wird direkt auf die Fotolackschicht 15 aufgebracht, während die Fotolackschicht 15 gebildet wird. In einigen Ausführungsformen werden die Strömungsrate der Basenzusammensetzung, des Trägergases oder des Spülgases auch durch die Steuerung 260 gesteuert, die konfiguriert ist, um jeden dieser Parameter zusammen mit der Strömungsrate der Vorläufergase und Träger-/Spülgase, der Evakuierung von überschüssigen Reaktanten und Reaktionsnebenprodukten, dem Druck innerhalb der Vakuumkammer 205 und der Temperatur der Vakuumkammer 205 oder der Waferträgerstufe 210 zu steuern.
  • Die Basenzusammensetzung 325 und die Fotolackzusammensetzung werden auf das Substrat 10 aufgebracht, um die mit der Basenzusammensetzung behandelte Fotolackschicht 15 zu bilden, wie in 2, 3A, 3B, 3C und 4 in einigen Ausführungsformen gezeigt. In einigen Ausführungsformen werden die Basenzusammensetzung 325 und die Fotolackzusammensetzung unter Verwendung eines Prozesses wie etwa eines Rotationsbeschichtungsprozesses, eines Tauchbeschichtungsverfahrens, eines Luftrakelbeschichtungsverfahrens, eines Vorhangbeschichtungsverfahrens, eines Drahtstangenbeschichtungsverfahrens, eines Tiefdruckbeschichtungsverfahrens, eines Laminierungsverfahrens, eines Extrusionsbeschichtungsverfahrens, CVD, ALD, PVD, Kombinationen davon oder dergleichen aufgebracht. In einigen Ausführungsformen liegt die Dicke der Fotolackschicht 15 im Bereich von etwa 10 nm bis etwa 300 nm.
  • Nachdem die Fotolackschicht 15 auf dem Substrat 10 gebildet wurde, werden Vorbelichtungseinbrenn- und Kühlvorgänge S140 nach Bedarf durchgeführt, wie hier erörtert (siehe 1 und 4), und die Fotolackschicht 15 wird selektiv belichtet, um eine belichtete Region 50 und eine nicht belichtete Region 52 zu bilden, wie hier erörtert und in 1, 5A und 5B gezeigt. In einigen Ausführungsformen wird die Belichtung mit Strahlung durch Platzieren des mit Fotolack beschichteten Substrats in einem Photolithographiewerkzeug durchgeführt. Das Photolithographiewerkzeug umfasst eine Fotomaske 30, 65 Optiken, eine Belichtungsstrahlungsquelle, um die Strahlung 45, 97 zur Belichtung bereitzustellen, und eine bewegliche Stufe zum Tragen und Bewegen des Substrats unter der Belichtungsstrahlung.
  • Die selektiv belichtete dotierte Fotolackschicht 15a wird anschließend nach der Belichtung eingebrannt/gekühlt und dann entwickelt, wie in 6 und 7 gezeigt. In einigen Ausführungsformen der Offenbarung umfasst die Entwicklerzusammensetzung: ein erstes Lösungsmittel mit Hansen-Löslichkeitsparametern von 18 > δd > 3, 7 > δp > 1, und 7 > δh, > 1; eine organische Säure mit einer Säuredissoziationskonstante, pKa, von -11 pKa 4; und eine Lewis-Säure, wobei die organische Säure und die Lewis-Säure verschieden sind. In einigen Ausführungsformen umfasst der Entwickler eine Base mit einem pKa von 40 > pKa > 9,5.
  • Die Einheiten der Hansen-Löslichkeitsparameter sind (Joules/cm3)½ oder äquivalent MPa½ und basieren auf der Idee, dass ein Molekül als wie ein anderes definiert ist, wenn es sich auf ähnliche Weise an sich selbst bindet. δd ist die Energie aus Dispersionskräften zwischen Molekülen. δp ist die Energie aus der dipolaren intermolekularen Kraft zwischen den Molekülen. δh ist die Energie aus Wasserstoffbindungen zwischen Molekülen. Die drei Parameter δd, δp und δh können als Koordinaten für einen Punkt in drei Dimensionen betrachtet werden, der als Hansen-Raum bekannt ist. Je näher zwei Moleküle im Hansen-Raum sind, desto wahrscheinlicher ist es, dass sie sich ineinander lösen.
  • In einigen Ausführungsformen liegt die Konzentration des ersten Lösungsmittels im Bereich von etwa 60 Gew.-% bis etwa 99 Gew.-%, bezogen auf ein Gesamtgewicht der Entwicklerzusammensetzung. In einigen Ausführungsformen ist die Konzentration des ersten Lösungsmittels größer als 60 Gew.-%. In anderen Ausführungsformen liegt die Konzentration der Konzentration des ersten Lösungsmittels im Bereich von etwa 70 Gew.-% bis etwa 90 Gew.%, bezogen auf ein Gesamtgewicht der Entwicklerzusammensetzung. In einigen Ausführungsformen ist das erste Lösungsmittel eines oder mehrere von n-Butylacetat, Methyl-n-amylketon, Hexan, Heptan und Amylacetat.
  • In einigen Ausführungsformen ist die organische Säure eine oder mehrere von Ethandisäure, Methansäure, 2-Hydroxypropansäure, 2-Hydroxybutansäure, Zitronensäure, Harnsäure, Trifluormethansulfonsäure, Benzolsulfonsäure, Ethansulfonsäure, Methansulfonsäure und Maleinsäure. In einigen Ausführungsformen beträgt die Konzentration der organischen Säure etwa 0.001 Gew.-% bis etwa 30 Gew.-%, bezogen auf ein Gesamtgewicht der Entwicklerzusammensetzung.
  • In einigen Ausführungsformen beinhalten geeignete Basen für die Fotolackentwicklerzusammensetzung 57 ein Alkanolamin, ein Triazol oder eine Ammoniumverbindung. In einigen Ausführungsformen beinhalten geeignete Basen eine organische Base, ausgewählt aus der Gruppe bestehend aus Monoethanolamin, Monoisopropanolamin, 2-Amino-2-methyl-1-propanol, 1H-Benzotriazol, 1,2,4-Triazol, 1,8-Diazabicycloundec-7-en, Tetramethylammoniumhydroxid, Tetraethylammoniumhydroxid, Tetrapropylammoniumhydroxid und Tetrabutylammoniumhydroxid und Kombinationen davon; oder anorganische Basen, ausgewählt aus der Gruppe bestehend aus Ammoniumhydroxid, Ammoniumsulfamat, Ammoniumcarbamat, NaOH, KOH, LiOH, Ca(OH)2, Ba(OH)2, Na2CO3, NH4OH, Mg(OH)2, RbOH, CsOH, Sr(OH)2 und Kombinationen davon oder anorganische Basen, ausgewählt aus der Gruppe bestehend aus Ammoniak, Ammoniumhydroxid, Ammoniumsulfamat, Ammoniumcarbamat und Kombinationen davon. In einigen Ausführungsformen beträgt die Konzentration der Base etwa 1 ppm bis etwa 30 Gew.-%, bezogen auf ein Gesamtgewicht der Entwicklerzusammensetzung.
  • In einigen Ausführungsformen beträgt die Konzentration der Lewis-Säure etwa 0.1 Gew.-% bis etwa 15 Gew.-%, bezogen auf ein Gesamtgewicht der Entwicklerzusammensetzung, und in anderen Ausführungsformen beträgt die Konzentration der Lewis-Säure etwa 1 Gew.-% bis etwa 5 Gew.-%, bezogen auf ein Gesamtgewicht der Entwicklerzusammensetzung.
  • In einigen Ausführungsformen umfasst die Entwicklerzusammensetzung ein zweites Lösungsmittel mit Hansen-Löslichkeitsparametern von 25 > δd > 13, 25 > δp > 3 und 30 > δh > 4, und das erste Lösungsmittel und das zweite Lösungsmittel sind verschiedene Lösungsmittel. In einigen Ausführungsformen liegt die Konzentration des zweiten Lösungsmittels im Bereich von etwa 0.1 Gew.-% bis weniger als etwa 40 Gew.-%, bezogen auf ein Gesamtgewicht der Entwicklerzusammensetzung. In einigen Ausführungsformen ist das zweite Lösungsmittel eines oder mehrere von Propylenglycolmethylether, Propylenglycolethylether, γ-Butyrolacton, Cyclohexanon, Ethyllactat, Methanol, Ethanol, Propanol, n-Butanol, Aceton, Dimethylformamid, Acetonitril, Isopropanol, Tetrahydrofuran oder Essigsäure.
  • In einigen Ausführungsformen umfasst die Entwicklerzusammensetzung etwa 0.001 Gew.-% bis etwa 30 Gew.-% eines Chelats, bezogen auf das Gesamtgewicht der Entwicklerzusammensetzung. In anderen Ausführungsformen umfasst die Entwicklerzusammensetzung etwa 0.1 Gew.-% bis etwa 20 Gew.-% des Chelats, bezogen auf das Gesamtgewicht der Entwicklerzusammensetzung. In einigen Ausführungsformen ist das Chelat eines oder mehrere von Ethylendiamintetraessigsäure (EDTA), Ethylendiamin-N,N'dibernsteinsäure (EDDS), Diethylentriaminpentaessigsäure (DTPA), Polyasparaginsäure, trans-1,2-Cyclohexandiamin-N,N,N',N'-tetraessigsäuremonohydrat, Ethylendiamin oder dergleichen.
  • In einigen Ausführungsformen umfasst die Entwicklerzusammensetzung Wasser oder Ethylenglykol in einer Konzentration von etwa 0.001 Gew.-% bis etwa 30 Gew.-%, bezogen auf ein Gesamtgewicht der Entwicklerzusammensetzung.
  • In einigen Ausführungsformen umfasst die Fotolackentwicklerzusammensetzung ein Tensid in einem Konzentrationsbereich von etwa 0.001 Gew.-% bis etwa weniger als 5 Gew.-%, bezogen auf ein Gesamtgewicht der Entwicklerzusammensetzung, um die Löslichkeit zu erhöhen und die Oberflächenspannung auf dem Substrat zu verringern. In anderen Ausführungsformen liegt die Konzentration des Tensids im Bereich von etwa 0.01 Gew.-% bis etwa 1 Gew.-%, bezogen auf das Gesamtgewicht der Entwicklerzusammensetzung.
  • Bei Konzentrationen der Entwicklerzusammensetzungskomponenten außerhalb der offenbarten Bereiche können die Entwicklerzusammensetzungsleistung und die Entwicklungseffizienz verringert werden, was zu einem erhöhten Fotolackrückstand und einer erhöhten Rissbildung in der Fotolackstruktur und einer erhöhten Linienbreitenrauheit und Linienrandrauheit führt.
  • In einigen Ausführungsformen wird der Entwickler 57 unter Verwendung eines Rotationsprozesses auf die Fotolackschicht 15 aufgebracht. In dem Rotationsprozess wird der Entwickler 57 von oberhalb der Fotolackschicht 15 auf die Fotolackschicht 15 aufgebracht, während das mit Fotolack beschichtete Substrat gedreht wird, wie in 7 gezeigt. In einigen Ausführungsformen wird der Entwickler 57 mit einer Rate zwischen etwa 5 ml/min und etwa 800 ml/min zugeführt, während das mit Fotolack beschichtete Substrat 10 mit einer Geschwindigkeit zwischen etwa 100 rpm und etwa 2000 rpm gedreht wird. In einigen Ausführungsformen befindet sich der Entwickler während des Entwicklungsvorgangs bei einer Temperatur zwischen etwa 20 °C und etwa 75 °C. Der Entwicklungsvorgang wird in einigen Ausführungsformen für etwa 10 Sekunden bis etwa 10 Minuten fortgesetzt.
  • Während der Rotationsvorgang ein geeignetes Verfahren zum Entwickeln der Fotolackschicht 15 nach der Belichtung ist, soll dies veranschaulichend sein und die Ausführungsform nicht einschränken. Vielmehr können alternativ beliebige geeignete Entwicklungsvorgänge, einschließlich Eintauchprozesse, Puddle-Prozesse und Aufsprühverfahren, verwendet werden. Alle solchen Entwicklungsvorgänge sind in den Schutzumfang der Ausführungsformen eingeschlossen.
  • Während des Entwicklungsprozesses löst die Entwicklerzusammensetzung 57 die Fotolackregionen 52 auf, die nicht mit Strahlung belichtet werden, wobei die Oberfläche des Substrats 10 belichtet wird, wie in 8 gezeigt, und gut definierte belichtete Fotolackregionen 50 zurückbleiben, die eine verbesserte Definition aufweisen als durch herkömmliche Negativtonresist-Fotolithographie bereitgestellt.
  • Nach dem Entwicklungsvorgang S170 wird der verbleibende Entwickler von dem strukturierten mit Fotolack bedeckten Substrat entfernt. Der verbleibende Entwickler wird in einigen Ausführungsformen unter Verwendung eines Rotationstrocknungsprozesses entfernt, obwohl eine beliebige geeignete Entfernungstechnik verwendet werden kann. Nachdem die Fotolackschicht 15 entwickelt ist und der verbleibende Entwickler entfernt ist, wird eine zusätzliche Verarbeitung durchgeführt, während die strukturierte Fotolackschicht 50 an Ort und Stelle ist. Zum Beispiel wird in einigen Ausführungsformen ein Ätzvorgang unter Verwendung von Trocken- oder Nassätzen durchgeführt, um die Struktur der Fotolackschicht 50 auf das darunterliegende Substrat 10 zu übertragen, wobei Aussparungen 55' gebildet werden, wie in 9 gezeigt. Das Substrat 10 weist eine andere Ätzbeständigkeit als die Fotolackschicht 15 auf. In einigen Ausführungsformen ist das Ätzmittel für das Substrat 10 selektiver als die Fotolackschicht 15.
  • In einigen Ausführungsformen ist die Steuerung 260 ein Computersystem. 16A und 16B veranschaulichen ein Computersystem 260 zum Steuern einer Abscheidungsvorrichtung 200 und ihrer Komponenten gemäß verschiedenen Ausführungsformen der Offenbarung. 16A ist eine schematische Ansicht des Computersystems 260, das die Abscheidungsvorrichtung 200 und ihre Komponenten steuert. In einigen Ausführungsformen ist das Computersystem 260 programmiert, um die Strömungsrate der Vorläufergase und Träger-/Spülgase, die Evakuierung von überschüssigen Reaktanten und Reaktionsnebenprodukten, den Druck innerhalb der Vakuumkammer 205, die Temperatur der Vakuumkammer 205 oder der Waferträgerstufe 210 und die Strömungsrate der Basenzusammensetzung zu überwachen und zu steuern.
  • Wie in 16A gezeigt, ist das Computersystem 260 in einigen Ausführungsformen mit einem Computer 1001 versehen, der ein Laufwerk 1005 einer optischen Platte mit Nur-Lese-Speicher (z. B. CD-ROM oder DVD-ROM) und ein Magnetplattenlaufwerk 1006, eine Tastatur 1002, eine Maus 1003 (oder eine andere ähnliche Eingabevorrichtung) und einen Monitor 1004 beinhaltet.
  • 16B ist ein Diagramm, das eine interne Konfiguration des Computersystems 260 zeigt. In 16B ist der Computer 1001 zusätzlich zu dem optischen Plattenlaufwerk 1005 und dem Magnetplattenlaufwerk 1006 mit einem oder mehreren Prozessoren 1011, wie etwa einer Mikroprozessoreinheit (MP) oder einer Zentraleinheit (CPU), versehen; einem Nur-Lese-Speicher (ROM) 1012, in dem ein Programm, wie etwa ein Hochfahrprogramm, gespeichert ist; einem Direktzugriffsspeicher (RAM) 1013, der mit den Prozessoren 1011 verbunden ist und in dem ein Befehl eines Anwendungsprogramms vorübergehend gespeichert ist und ein vorübergehender elektronischer Speicherbereich bereitgestellt ist; einer Festplatte 1014, in der ein Anwendungsprogramm, ein Betriebssystemprogramm und Daten gespeichert sind; und einem Datenkommunikationsbus 1015, der die Prozessoren 1011, den ROM 1012 und dergleichen verbindet. Es ist anzumerken, dass der Computer 1001 eine Netzwerkkarte (nicht gezeigt) zum Bereitstellen einer Verbindung mit einem Computernetzwerk, wie etwa einem lokalen Netzwerk (LAN), einem Weitverkehrsnetz (WAN) oder einem beliebigen anderen nützlichen Computernetzwerk zum Kommunizieren von Daten, die durch das Computersystem 260 und die Abscheidungsvorrichtung 200 verwendet werden, umfassen kann. In verschiedenen Ausführungsformen kommuniziert die Steuerung 260 über eine drahtlose oder festverdrahtete Verbindung mit der Abscheidungsvorrichtung 200 und ihren Komponenten.
  • Die Programme zum Bewirken, dass das Computersystem 260 das Verfahren zum Steuern der Abscheidungsvorrichtung 200 und ihrer Komponenten ausführt, werden in einer optischen Platte 1021 oder einer Magnetplatte 1022 gespeichert, die in das optische Plattenlaufwerk 1005 oder das Magnetplattenlaufwerk 1006 eingesetzt und an die Festplatte 1014 übertragen wird. Alternativ werden die Programme über ein Netzwerk (nicht gezeigt) an das Computersystem 500 übertragen und in der Festplatte 1014 gespeichert. Zum Zeitpunkt der Ausführung werden die Programme in den RAM 1013 geladen. Die Programme werden in verschiedenen Ausführungsformen von der optischen Platte 1021 oder der Magnetplatte 1022 oder direkt von einem Netzwerk geladen.
  • Die gespeicherten Programme müssen nicht notwendigerweise zum Beispiel ein Betriebssystem (OS) oder ein Drittprogramm beinhalten, um zu bewirken, dass der Computer 1001 die hier offenbarten Verfahren ausführt. Das Programm kann nur einen Befehlsteil beinhalten, um eine geeignete Funktion (Modul) in einem gesteuerten Modus aufzurufen und in einigen Ausführungsformen gewünschte Ergebnisse zu erhalten. In verschiedenen hier beschriebenen Ausführungsformen steht die Steuerung 260 in Kommunikation mit der Abscheidungsvorrichtung 200, um verschiedene Funktionen davon zu steuern.
  • Die Steuerung 260 ist in verschiedenen Ausführungsformen an die Abscheidungsvorrichtung 200 einschließlich des Druckkompensators 20 gekoppelt. Die Steuerung 260 ist konfiguriert, um Steuerdaten an diese Systemkomponenten bereitzustellen und Prozess- und/oder Statusdaten von diesen Systemkomponenten zu empfangen. Zum Beispiel umfasst die Steuerung 260 in einigen Ausführungsformen einen Mikroprozessor, einen Speicher (z. B. flüchtigen oder nichtflüchtigen Speicher) und einen digitalen E/A-Port, der in der Lage ist, Steuerspannungen zu erzeugen, die ausreichen, um Eingaben an das Verarbeitungssystem zu kommunizieren und zu aktivieren sowie Ausgaben von der Abscheidungsvorrichtung 200 zu überwachen. Zusätzlich wird ein in dem Speicher gespeichertes Programm verwendet, um die vorgenannten Komponenten der Abscheidungsvorrichtung 200 gemäß einem Prozessrezept zu steuern. Ferner ist die Steuerung 260 konfiguriert, um die Prozess- und/oder Statusdaten zu analysieren, die Prozess- und/oder Statusdaten mit Ziel-Prozess- und/oder Statusdaten zu vergleichen und den Vergleich zu verwenden, um einen Prozess zu ändern und/oder eine Systemkomponente zu steuern. Zusätzlich ist die Steuerung 260 konfiguriert, um die Prozess- und/oder Statusdaten zu analysieren, die Prozess- und/oder Statusdaten mit historischen Prozess- und/oder Statusdaten zu vergleichen und den Vergleich zu verwenden, um einen Fehler oder Alarm vorherzusagen, zu verhindern und/oder zu erklären.
  • Wie oben dargelegt, bewirkt das ausgeführte Programm, dass der Prozessor oder Computer 260 den Druck in der Kühlmittel- oder Kühlfluidleitung oder -leitung misst, eine Druckdifferenz zwischen der Kühlmittel- oder Kühlfluidzuflussleitung und der Abflussleitung bestimmt, bestimmt, ob die Druckdifferenz größer als ein Schwellenwert ist, und ein Ventil einstellt, um die Kühlmittel- oder Kühlfluidflussrate zu ändern, um die Druckdifferenz zu verringern, wenn die Druckdifferenz größer als der gespeicherte Schwellenwert ist. In einigen Ausführungsformen bewirkt das ausgeführte Programm, dass der Prozessor oder Computer 500 den Druck in der Kühlmittel- oder Kühlfluidleitung oder -leitung periodisch misst, zum Beispiel jede Sekunde, 10 Sekunden, 20 Sekunden oder 30 Sekunden.
  • In einigen Ausführungsformen wird eine zu strukturierende Schicht (Zielschicht) 60 über dem Substrat angeordnet, bevor die Fotolackschicht 15 gebildet oder die Basenzusammensetzung 325 aufgebracht wird, wie in 17, 18A, 18B und 18C gezeigt. Vorbelichtungseinbrenn-/Kühlvorgänge S140 werden durchgeführt, wie erforderlich, um die Fotolackschicht 15 zu trocknen und zu härten, wie in 19 gezeigt und hier unter Bezugnahme auf 1 und 4 erörtert. In einigen Ausführungsformen ist die Zielschicht 60 eine Metallisierungsschicht oder eine Dielektrikumschicht, wie etwa eine Passivierungsschicht, die über einer Metallisierungsschicht angeordnet ist. In Ausführungsformen, in denen die Zielschicht 60 eine Metallisierungsschicht ist, wird die Zielschicht 60 unter Verwendung von Metallisierungsprozessen und Metallabscheidungstechniken, einschließlich chemischer Gasphasenabscheidung, Atomlagenabscheidung und physikalischer Gasphasenabscheidung (Sputtern), aus einem leitfähigen Material gebildet. Gleichermaßen wird, wenn die Zielschicht 60 eine Dielektrikumschicht ist, die Zielschicht 60 durch Dielektrikumschichtbildungstechniken, einschließlich thermischer Oxidation, CVD, ALD und PVD, gebildet.
  • Das Vorbelichtungseinbrennen S140 könnte auch durchgeführt werden, um die Basenzusammensetzung in die Fotolackschicht 15 aufzubringen, wie in 18A, 18C und 19 gezeigt und hier unter Bezugnahme auf 3A, 3C und 4 erörtert.
  • Die Fotolackschicht 15 wird anschließend in Vorgang S150 selektiv mit aktinischer Strahlung 45, 97 belichtet, um belichtete Regionen 50 und nicht belichtete Regionen 52 in der Fotolackschicht zu bilden, wie in 20A und 20B gezeigt und hier in Bezug auf 5A und 5B beschrieben. Wie hier erläutert, wenn der Fotolack ein Negativfotolack ist, erfolgt in einigen Ausführungsformen eine Vernetzung in den belichteten Regionen 50.
  • Wie in 21 gezeigt, werden anschließend Nachbelichtungseinbrenn-/Kühlvorgänge S160 durchgeführt und die Basenzusammensetzung 325 wird in einigen Ausführungsformen aufgebracht, wie hier in Bezug auf 6 beschrieben.
  • Wie in 22 gezeigt, wird die selektiv belichtete Fotolackschicht 50, 52 anschließend durch Abgeben von Entwickler 57 von einem Spender 62 in Vorgang S 170 entwickelt, um eine Struktur von Fotolacköffnungen 55 zu bilden, wie in 23 gezeigt. Der Entwicklungsvorgang ist ähnlich dem, der hier unter Bezugnahme auf die 7 und 8 erläutert wird. In einigen Ausführungsformen wird die Basenzusammensetzung 325 auf die entwickelte Fotolackstruktur 50 aufgebracht, wie in 24 gezeigt und hier in Bezug auf 9 erörtert.
  • Dann wird, wie in 25 gezeigt, die Struktur 55 in der Fotolackschicht 15 unter Verwendung eines Ätzvorgangs auf die Zielschicht 60 übertragen und die Fotolackschicht wird entfernt, wie unter Bezugnahme auf 10 erläutert, um die Struktur 55" in der Zielschicht 60 zu bilden.
  • Andere Ausführungsformen umfassen andere Vorgänge vor, während oder nach den oben beschriebenen Vorgängen. In einigen Ausführungsformen umfassen die offenbarten Verfahren das Bilden von Finnen-Feldeffekttransistor-Strukturen (FinFET-Strukturen). In einigen Ausführungsformen wird eine Mehrzahl von aktiven Finnen auf dem Halbleitersubstrat gebildet. Solche Ausführungsformen umfassen ferner das Ätzen des Substrats durch die Öffnungen einer strukturierten Hartmaske, um Gräben in dem Substrat zu bilden; das Füllen der Gräben mit einem dielektrischen Material; das Durchführen eines chemisch-mechanischen Polier-Prozesses (CMP-Prozesses), um flache Grabenisolations-Merkmale (STI-Merkmale) zu bilden; und das epitaktische Wachsen oder Aussparen der STI-Merkmale, um finnenartige aktive Regionen zu bilden. In einigen Ausführungsformen werden eine oder mehrere Gate-Elektroden auf dem Substrat gebildet. Einige Ausführungsformen umfassen das Bilden von Gate-Abstandshaltern, dotierten Source/Drain-Regionen, Kontakten für Gate/Source/Drain-Merkmale usw. In anderen Ausführungsformen wird eine Zielstruktur als Metallleitungen in einer Mehrfachschichtverbindungsstruktur gebildet. Zum Beispiel können die Metallleitungen in einer Zwischenschichtdielektrikum-Schicht (ILD-Schicht) des Substrats gebildet werden, die geätzt wurde, um eine Mehrzahl von Gräben zu bilden. Die Gräben können mit einem leitfähigen Material, wie etwa einem Metall, gefüllt werden; und das leitfähige Material kann unter Verwendung eines Prozesses, wie etwa chemisch-mechanischer Planarisierung (CMP), poliert werden, um die strukturierte ILD-Schicht freizulegen, wodurch die Metallleitungen in der ILD-Schicht gebildet werden. Die obigen sind nicht einschränkende Beispiele für Vorrichtungen/Strukturen, die unter Verwendung des hier beschriebenen Verfahrens hergestellt und/oder verbessert werden können.
  • In einigen Ausführungsformen werden aktive Komponenten, wie etwa Dioden, Feldeffekttransistoren (FETs), Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFET), komplementäre Metall-Oxid-Halbleiter-Transistoren (CMOS-Transistoren), Bipolartransistoren, Hochspannungstransistoren, Hochfrequenztransistoren, FinFETs, Gate-all-around-FETS (GAA-FETs), andere dreidimensionale (3D) FETs, andere Speicherzellen und Kombinationen davon gemäß Ausführungsformen der Offenbarung gebildet.
  • Die neuartigen Basenanwendungstechniken und Halbleiterherstellungsverfahren gemäß der vorliegenden Offenbarung stellen eine höhere Halbleitervorrichtungsmerkmalsdichte mit verringerten Defekten in einem Prozess mit höherer Effizienz als herkömmliche Verfahren bereit. Die neuartigen Techniken und Verfahren verbessern die Empfindlichkeit des Fotolacks um mehr als etwa 5% in einigen Ausführungsformen. In einigen Ausführungsformen beträgt die Empfindlichkeitsverbesserung etwa 10% bis etwa 40%. Somit kann eine entsprechende Verringerung (d. h. etwa 5% bis etwa 40%) der Belichtungsdosis erreicht werden. In einigen Ausführungsformen ist die LWR-Verbesserung größer als etwa 5%. In einigen Ausführungsformen beträgt die LWR-Verbesserung etwa 5% bis etwa 40%. In einigen Ausführungsformen wird die Basenbehandlung bei mehr als einem oder bei allen der hier offenbarten Vorgänge aufgebracht. In einigen Ausführungsformen verbessert das Aufbringen der Basenbehandlung bei mehr als einem Vorgang die Empfindlichkeit oder LWR als eine einzige Anwendung der Basenbehandlung. In einigen Ausführungsformen stellen die hier offenbarten Techniken und Verfahren eine verbesserte Gleichförmigkeit der kritischen Abmessung (CDU) als herkömmliche Herstellungsverfahren bereit.
  • Eine Ausführungsform der Offenbarung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend das Bilden einer Fotolackschicht über einem Substrat und das Aufbringen einer Basenzusammensetzung auf die Fotolackschicht, wobei die Basenzusammensetzung eine nichtorganische Base, eine organische Base, einen thermischen Basengenerator oder einen Fotobasengenerator umfasst. Die Fotolackschicht wird selektiv mit aktinischer Strahlung belichtet, um eine latente Struktur zu bilden. Die latente Struktur wird durch Aufbringen einer Entwicklerzusammensetzung auf die selektiv belichtete Fotolackschicht entwickelt, um eine Struktur in der Fotolackschicht zu bilden. Die Basenzusammensetzung wird auf die Fotolackschicht während eines oder mehrerer Vorgänge aufgebracht, die aus der Gruppe ausgewählt sind, die aus dem Aufbringen der Basenzusammensetzung auf das Substrat als eine Unterschicht, bevor die Fotolackschicht gebildet wird und die Basenzusammensetzung anschließend von der Fotolackschicht absorbiert wird, einem Vorbelichtungseinbrennvorgang, nachdem die Fotolackschicht selektiv belichtet wird und vor dem Entwickeln der latenten Struktur, und nach dem Entwickeln der latenten Struktur besteht. In einer Ausführungsform wird die Fotolackschicht in einer Vakuumkammer gebildet und die Basenzusammensetzung wird auf die Fotolackschicht in der Vakuumkammer aufgebracht. In einer Ausführungsform umfasst die Basenzusammensetzung einen Fotobasengenerator. In einer Ausführungsform umfasst die Basenzusammensetzung einen thermischen Basengenerator. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht während eines Nachbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Nachbelichtungseinbrennvorgang aufgebracht. In einer Ausführungsform wird die Photolackschicht aus einem Metallresist, einem negativen Reist oder einem negativ entwickelten Resist gebildet. In einer Ausführungsform ist die Basenzusammensetzung ein Dampf oder ein Gas, wenn sie auf die Fotolackschicht aufgebracht wird.
  • Eine weitere Ausführungsform der Offenbarung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend Bilden einer Fotolackschicht über einem Substrat und Aufbringen einer Basenzusammensetzung auf die Fotolackschicht. Die Fotolackschicht wird selektiv mit aktinischer Strahlung belichtet, um eine latente Struktur zu bilden. Die latente Struktur wird durch Aufbringen einer Entwicklerzusammensetzung auf die selektiv belichtete Fotolackschicht entwickelt, um eine Struktur in der Fotolackschicht zu bilden. Die Basenzusammensetzung wird nach dem Bilden der Fotolackschicht und vor dem Entwickeln der latenten Struktur als eine Flüssigkeit oder ein Dampf aufgebracht oder nach dem Entwickeln der latenten Struktur als die Flüssigkeit oder der Dampf aufgebracht. In einer Ausführungsform umfasst die Basenzusammensetzung einen Fotobasengenerator. In einer Ausführungsform umfasst die Basenzusammensetzung einen thermischen Basengenerator. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht während eines Nachbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Nachbelichtungseinbrennvorgang aufgebracht. In einer Ausführungsform wird die Fotolackschicht aus einem Metallresist, einem Negativresist oder einem negativ entwickelten Resist gebildet.
  • Eine weitere Ausführungsform der Offenbarung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend Bilden einer Fotolackschicht über einem Substrat und Aufbringen einer Basenzusammensetzung auf die Fotolackschicht. Die Fotolackschicht wird selektiv mit aktinischer Strahlung belichtet, um eine latente Struktur zu bilden. Die latente Struktur wird durch Aufbringen einer Entwicklerzusammensetzung auf die selektiv belichtete Fotolackschicht entwickelt, um eine Struktur in der Fotolackschicht zu bilden. Die Basenzusammensetzung wird in einer Gasphase nach dem Bilden der Fotolackschicht und vor dem Entwickeln der latenten Struktur aufgebracht oder in der Gasphase nach dem Entwickeln der latenten Struktur aufgebracht. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht während eines Vorbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Vorbelichtungseinbrennvorgang aufgebracht. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht nach dem Belichten der Fotolackschicht mit aktinischer Strahlung aufgebracht. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht während eines Nachbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Nachbelichtungseinbrennvorgang aufgebracht. In einer Ausführungsform wird die Fotolackschicht aus einem Metallresist, einem Negativresist oder einem negativ entwickelten Resist gebildet. In einer Ausführungsform wird die Basenzusammensetzung in einer Vakuumkammer aufgebracht. In einer Ausführungsform wird die Fotolackschicht in einer Vakuumkammer gebildet. In einer Ausführungsform wird die Basenzusammensetzung in der Vakuumkammer aufgebracht.
  • Eine weitere Ausführungsform der Offenbarung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung, das das Bilden einer Fotolackschicht über einem Substrat umfasst. Eine Basenzusammensetzung wird auf die Fotolackschicht in einer Vakuumkammer aufgebracht. Die Fotolackschicht wird selektiv mit aktinischer Strahlung belichtet, um eine latente Struktur zu bilden. Die latente Struktur wird durch Aufbringen einer Entwicklerzusammensetzung auf die selektiv belichtete Fotolackschicht entwickelt, um eine Struktur in der Fotolackschicht zu bilden. In einer Ausführungsform wird die Basenzusammensetzung mit einem Fotolackmaterial während des Bildens der Fotolackschicht gemischt. In einer Ausführungsform wird die Basenzusammensetzung als Unterschicht über dem Substrat vor dem Bilden der Fotolackschicht gebildet. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht während eines Vorbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Vorbelichtungseinbrennvorgang aufgebracht. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht nach dem Belichten der Fotolackschicht mit aktinischer Strahlung aufgebracht. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht während eines Nachbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Nachbelichtungseinbrennvorgang aufgebracht. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht nach dem Entwickeln der latenten Struktur aufgebracht. In einer Ausführungsform wird die Fotolackschicht in der Vakuumkammer gebildet. In einer Ausführungsform wird die Fotolackschicht aus einem Metallresist, einem Negativresist oder einem negativ entwickelten Resist gebildet.
  • Eine weitere Ausführungsform der Offenbarung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung, das das Bilden einer Fotolackschicht über einem Substrat umfasst. Eine Basenzusammensetzung wird auf die Fotolackschicht aufgebracht, wobei die Basenzusammensetzung eines oder mehrere umfasst, ausgewählt aus der Gruppe bestehend aus LiOH, Ca(OH)2, Ba(OH)2, Na2CO3, Mg(OH)2, RbOH, CsOH, Sr(OH)2, C3H5O2NH2, CH3O2NH2, NH2-CH2-NH2, NH2-C2H4-NH2, (NH2)xRx, (NH2)xO2Rx, (NH2)xORx, NH2CH2OCH2NH2,
    Figure DE102023104562A1_0008
    Figure DE102023104562A1_0009
    Figure DE102023104562A1_0010
    Figure DE102023104562A1_0011
    Figure DE102023104562A1_0012
    Figure DE102023104562A1_0013
    Figure DE102023104562A1_0014
    und
    Figure DE102023104562A1_0015
    wobei x = 1-8 und R eine C1- bis C8-Kohlenwasserstoffgruppe ist. Die Fotolackschicht wird selektiv mit aktinischer Strahlung belichtet, um eine latente Struktur zu bilden. Die latente Struktur wird durch Aufbringen einer Entwicklerzusammensetzung auf die selektiv belichtete Fotolackschicht entwickelt, um eine Struktur in der Fotolackschicht zu bilden. In einer Ausführungsform wird die Basenzusammensetzung mit einem Fotolackmaterial vor dem Bilden der Fotolackschicht gemischt. In einer Ausführungsform wird die Basenzusammensetzung als eine Unterschicht über dem Substrat gebildet, bevor die Fotolackschicht gebildet wird, und die Basenzusammensetzung wird anschließend von der Fotolackschicht absorbiert, nachdem die Fotolackschicht gebildet wurde. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht während eines Vorbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Vorbelichtungseinbrennvorgang aufgebracht. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht während eines Nachbelichtungseinbrennvorgangs oder eines Kühlvorgangs oder nach dem Nachbelichtungseinbrennvorgang aufgebracht. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht nach dem Belichten der Fotolackschicht mit aktinischer Strahlung aufgebracht. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht nach dem Entwickeln der latenten Struktur aufgebracht. In einer Ausführungsform wird die Basenzusammensetzung auf die Fotolackschicht bei zwei oder mehr von Mischen mit einem Fotolackmaterial vor dem Bilden der Fotolackschicht, während eines Vorbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Vorbelichtungseinbrennvorgang, als eine Unterschicht über dem Substrat vor dem Bilden der Fotolackschicht, während eines Nachbelichtungseinbrennvorgangs oder eines Kühlvorgangs, nach dem Nachbelichtungseinbrennvorgang, nach dem Belichten der Fotolackschicht mit aktinischer Strahlung und nach dem Entwickeln der latenten Struktur aufgebracht.
  • Eine weitere Ausführungsform der Offenbarung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung, das das Bilden einer Fotolackschicht über einem Substrat und das selektive Belichten der Fotolackschicht mit aktinischer Strahlung, um eine latente Struktur zu bilden, umfasst. Die latente Struktur wird durch Aufbringen einer Entwicklerzusammensetzung auf die selektiv belichtete Fotolackschicht entwickelt, um eine Struktur in der Fotolackschicht zu bilden. Die Entwicklerzusammensetzung umfasst eine oder mehrere Basen, ausgewählt aus der Gruppe bestehend aus LiOH, Ca(OH)2, Ba(OH)2, Na2CO3, Mg(OH)2, RbOH, CsOH, Sr(OH)2, primären Alkylaminen, sekundären Alkylaminen, tertiären Alkylaminen, Alkyldiaminen, Cycloalkylaminen, Anilinen, Pyridinen, Pyrrolidinen, C3H5O2NH2, CH302NH2, NH2-CH2-NH2, NH2-C2H4-NH2, (NH2)xRx, (NH2)xO2Rx, (NH2)xORx, NH2CH2OCH2NH2,
    Figure DE102023104562A1_0016
    Figure DE102023104562A1_0017
    Figure DE102023104562A1_0018
    Fotobasengeneratoren und thermischen Basengeneratoren,
    wobei x = 1-8 und R eine C1- bis C8-Kohlenwasserstoffgruppe ist. In einer Ausführungsform umfasst das Verfahren das Hinzufügen einer zweiten Basenzusammensetzung zu einem Fotolackmaterial, das zum Bilden der Fotolackschicht verwendet wird. In einer Ausführungsform umfasst das Verfahren das Aufbringen einer zweiten Basenzusammensetzung auf die Fotolackschicht vor dem Entwickeln der latenten Struktur.
  • Das Vorstehende umreißt Merkmale verschiedener Ausführungsformen oder Beispiele, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte erkennen, dass er die vorliegende Offenbarung ohne Weiteres als eine Basis zum Designen oder Modifizieren anderer Prozesse und Strukturen zum Durchführen der gleichen Zwecke und/oder zum Erreichen der gleichen Vorteile der hier eingeführten Ausführungsformen oder Beispiele verwenden kann. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Substitutionen und Abwandlungen hier vornehmen kann, ohne vom Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63331389 [0001]

Claims (20)

  1. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend: Bilden einer Fotolackschicht über einem Substrat; Aufbringen einer Basenzusammensetzung auf die Fotolackschicht, wobei die Basenzusammensetzung eine nichtorganische Base, eine organische Base, einen thermischen Basengenerator oder einen Fotobasengenerator umfasst; selektives Belichten der Fotolackschicht mit aktinischer Strahlung, um eine latente Struktur zu bilden; und Entwickeln der latenten Struktur durch Aufbringen einer Entwicklerzusammensetzung auf die selektiv belichtete Fotolackschicht, um eine Struktur in der Fotolackschicht zu bilden, wobei die Basenzusammensetzung auf die Fotolackschicht während eines oder mehrerer Vorgänge aufgebracht wird, die aus der Gruppe ausgewählt sind, die besteht aus: Aufbringen der Basenzusammensetzung auf das Substrat als eine Unterschicht, bevor die Fotolackschicht gebildet wird und die Basenzusammensetzung anschließend von der Fotolackschicht absorbiert wird, einem Vorbelichtungseinbrennvorgang, nachdem die Fotolackschicht selektiv mit aktinischer Strahlung belichtet wird und vor dem Entwickeln der latenten Struktur, und nach dem Entwickeln der latenten Struktur.
  2. Verfahren nach Anspruch 1, wobei die Fotolackschicht in einer Vakuumkammer gebildet wird und die Basenzusammensetzung auf die Fotolackschicht in der Vakuumkammer aufgebracht wird.
  3. Verfahren nach Anspruch 1 oder 2, wobei die Basenzusammensetzung einen Fotobasengenerator umfasst.
  4. Verfahren nach Anspruch 1 oder 2, wobei die Basenzusammensetzung einen thermischen Basengenerator umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Basenzusammensetzung auf die Fotolackschicht während eines Nachbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Nachbelichtungseinbrennvorgang aufgebracht wird.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Fotolackschicht aus einem Metallresist, einem Negativresist oder einem negativ entwickelten Resist gebildet wird.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Basenzusammensetzung ein Dampf oder ein Gas ist, wenn sie auf die Fotolackschicht aufgebracht wird.
  8. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend: Bilden einer Fotolackschicht über einem Substrat; Aufbringen einer Basenzusammensetzung auf die Fotolackschicht, selektives Belichten der Fotolackschicht mit aktinischer Strahlung, um eine latente Struktur zu bilden; und Entwickeln der latenten Struktur durch Aufbringen einer Entwicklerzusammensetzung auf die selektiv belichtete Fotolackschicht, um eine Struktur in der Fotolackschicht zu bilden, wobei die Basenzusammensetzung während eines Vorbelichtungseinbrennvorgangs als eine Flüssigkeit oder ein Dampf aufgebracht wird, nach dem selektiven Belichten der Fotolackschicht mit aktinischer Strahlung und vor dem Entwickeln der latenten Struktur, oder nach dem Entwickeln der latenten Struktur als die Flüssigkeit oder Dampf aufgebracht wird.
  9. Verfahren nach Anspruch 8, wobei die Basenzusammensetzung einen Fotobasengenerator umfasst.
  10. Verfahren nach Anspruch 8, wobei die Basenzusammensetzung einen thermischen Basengenerator umfasst.
  11. Verfahren nach einem der Ansprüche 8 bis 10, wobei die Basenzusammensetzung auf die Fotolackschicht während eines Nachbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Nachbelichtungseinbrennvorgang aufgebracht wird.
  12. Verfahren nach einem der Ansprüche 8 bis 11, wobei die Fotolackschicht aus einem Metallresist, einem Negativresist oder einem negativ entwickelten Resist gebildet wird.
  13. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend: Bilden einer Fotolackschicht über einem Substrat; Aufbringen einer Basenzusammensetzung auf die Fotolackschicht, selektives Belichten der Fotolackschicht mit aktinischer Strahlung, um eine latente Struktur zu bilden; und Entwickeln der latenten Struktur durch Aufbringen einer Entwicklerzusammensetzung auf die selektiv belichtete Fotolackschicht, um eine Struktur in der Fotolackschicht zu bilden, wobei die Basenzusammensetzung in einer Gasphase nach dem Bilden der Fotolackschicht und vor dem Entwickeln der latenten Struktur aufgebracht wird oder in der Gasphase nach dem Entwickeln der latenten Struktur aufgebracht wird.
  14. Verfahren nach Anspruch 13, wobei die Basenzusammensetzung auf die Fotolackschicht während eines Vorbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Vorbelichtungseinbrennvorgang aufgebracht wird.
  15. Verfahren nach Anspruch 13, wobei die Basenzusammensetzung auf die Fotolackschicht nach dem Belichten der Fotolackschicht mit aktinischer Strahlung aufgebracht wird.
  16. Verfahren nach Anspruch 13, wobei die Basenzusammensetzung auf die Fotolackschicht während eines Nachbelichtungseinbrennvorgangs oder eines Kühlvorgangs nach dem Nachbelichtungseinbrennvorgang aufgebracht wird.
  17. Verfahren nach einem der Ansprüche 13 bis 16, wobei die Fotolackschicht aus einem Metallresist, einem Negativresist oder einem negativ entwickelten Resist gebildet wird.
  18. Verfahren nach einem der Ansprüche 13 bis 17, wobei die Basenzusammensetzung in einer Vakuumkammer aufgebracht wird.
  19. Verfahren nach einem der Ansprüche 13 bis 18, wobei die Fotolackschicht in einer Vakuumkammer gebildet wird.
  20. Verfahren nach Anspruch 19, wobei die Basenzusammensetzung in der Vakuumkammer aufgebracht wird.
DE102023104562.8A 2022-04-15 2023-02-24 Verfahren zur herstellung einer halbleitervorrichtung Pending DE102023104562A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263331389P 2022-04-15 2022-04-15
US63/331,389 2022-04-15
US17/837,827 2022-06-10
US17/837,827 US20230333477A1 (en) 2022-04-15 2022-06-10 Method of manufacturing a semiconductor device

Publications (1)

Publication Number Publication Date
DE102023104562A1 true DE102023104562A1 (de) 2023-10-19

Family

ID=88192036

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102023104562.8A Pending DE102023104562A1 (de) 2022-04-15 2023-02-24 Verfahren zur herstellung einer halbleitervorrichtung

Country Status (4)

Country Link
US (1) US20230333477A1 (de)
KR (1) KR20230148072A (de)
DE (1) DE102023104562A1 (de)
TW (1) TW202347633A (de)

Also Published As

Publication number Publication date
KR20230148072A (ko) 2023-10-24
TW202347633A (zh) 2023-12-01
US20230333477A1 (en) 2023-10-19

Similar Documents

Publication Publication Date Title
DE102019126271B4 (de) Fotolackzusammensetzung und Verfahren zum Bilden einer Fotolackstruktur
US8778598B2 (en) Method of forming fine patterns of semiconductor device by using double patterning process which uses acid diffusion
TW202001420A (zh) 光阻化合物
DE102019128448A1 (de) Schutzzusammensetzung und Verfahren zum Bilden einer Photoresiststruktur
DE102014119645B4 (de) Fotolack und Verfahren
DE102021113271A1 (de) Verfahren zur Herstellung eines Halbleiterbauelement und Musterbildungsverfahren
CN109782540A (zh) 光刻胶图案的形成方法
DE102020131427B4 (de) Photoresistzusammensetzung und Herstellungsverfahren von Photoresiststruktur
TWI763098B (zh) 在光阻中形成圖案的方法、顯影的方法與光阻顯影劑組成物
DE102023104562A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102020124247A1 (de) Fotolackentwickler und verfahren zum entwickeln von fotolack
TW202216906A (zh) 半導體裝置的製造方法
DE102021101198A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102020130523B4 (de) Verfahren zur bildung einer fotolackstruktur
DE102023107991A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
US20230004087A1 (en) Method of manufacturing a semiconductor device
DE102021100839A1 (de) Fotoresist-zusammensetzung und verfahren zum herstellen einer fotoresiststruktur
DE102020129681B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
TWI772001B (zh) 樹脂、光阻組成物和半導體裝置的製造方法
US20220351964A1 (en) Method of manufacturing a semiconductor device
TWI818706B (zh) 製造半導體裝置的方法
US20240118618A1 (en) Method of manufacturing a semiconductor device
US20210200091A1 (en) Underlayer composition and method of manufacturing a semiconductor device
TW202244619A (zh) 製造半導體裝置之方法
DE102021101893A1 (de) Verhindern eines ausgasens einer fotolackschicht

Legal Events

Date Code Title Description
R012 Request for examination validly filed