CN115206780A - 制造半导体装置的方法 - Google Patents

制造半导体装置的方法 Download PDF

Info

Publication number
CN115206780A
CN115206780A CN202210113800.9A CN202210113800A CN115206780A CN 115206780 A CN115206780 A CN 115206780A CN 202210113800 A CN202210113800 A CN 202210113800A CN 115206780 A CN115206780 A CN 115206780A
Authority
CN
China
Prior art keywords
photoresist layer
layer
resist
resist layer
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210113800.9A
Other languages
English (en)
Inventor
赖昱泽
陈铭锋
张雅惠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN115206780A publication Critical patent/CN115206780A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Optics & Photonics (AREA)
  • Metallurgy (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一种制造半导体装置的方法包括在基板上方形成第一阻剂层,及在第一阻剂层上方形成第二阻剂层。第二阻剂层经图案化以曝光第一阻剂层的一部分以形成第二阻剂层图案。第一阻剂层曝光于由第二阻剂层图案绕射的极紫外(XUV)辐射。移除曝光于由第二阻剂层绕射的XUV辐射的第一阻剂层的部分。

Description

制造半导体装置的方法
技术领域
本揭露关于一种制造半导体装置的方法。
背景技术
随着消费类装置回应于消费者的需求而变得越来越小,这些装置的个别组件的尺寸亦必然减小。构成诸如移动电话、计算机平板、及类似者的装置的主要组件的半导体装置一直受到压力,要求变得越来越小,相应地,半导体装置内的个别装置(例如,晶体管、电阻器、电容器等)亦受到压力,要求尺寸减小。
半导体装置制造制程期间使用的一致能技术是光学微影术材料的使用。这样的材料施加于待经图案化的层的表面,接着曝光于自身已经图案化的能量中。这种曝光会修改光敏感材料曝光区域的化学及物理性质。这种修改,加上光敏感材料的未曝光区域中缺少修改,可用于移除一区域而不移除另一区域,反之亦然。
然而,随着个别装置的尺寸减小,用于光学微影术处理的制程视窗变得越来越紧。因此,光学微影术处理领域的进步对于保持缩小装置的能力是必要的,且需要进一步的改善以满足所需设计标准,从而可保持朝着越来越小的组件的方向发展。
随着半导体行业在追求更高的装置密度、更高的性能、及更低的成本方面向纳米技术制程节点发展,在减小半导体特征尺寸方面亦受到挑战。
发明内容
在本揭露的一些实施例中,一种制造半导体装置的方法包含以下步骤:在一基板上方形成一第一阻剂层;在该第一阻剂层上方形成一第二阻剂层;图案化该第二阻剂层以曝光该第一阻剂层的一部分以形成一第二阻剂层图案;将该第一阻剂层曝光于由该第二阻剂层图案绕射的极紫外辐射;及移除曝光于由该第二阻剂层绕射的该极紫外辐射的该第一阻剂层的多个部分。
在本揭露的一些实施例中,一种制造半导体装置的方法包含以下步骤:在一基板上方形成一第一光阻剂层;在该第一光阻剂层上方形成一第二光阻剂层,其中该第二光阻剂层不同于该第一光阻剂层;将该第二光阻剂层选择性曝光于光化辐射以在该第二光阻剂层中形成一潜影;显影该第二光阻剂层以在该第二光阻剂层中形成曝光该第一光阻剂层的一部分的一图案;将该第二光阻剂层及该第一光阻剂层的多个剩余部分浸没式曝光于具有范围为0.1nm至100nm的一波长的一曝光辐射,其中该第二光阻剂层的该些剩余部分绕射该曝光辐射;及显影该第一光阻剂层以曝光该基板的多个部分。
在本揭露的一些实施例中,一种制造半导体装置的方法包含以下步骤:在一基板上方形成一靶层;在该靶层上方形成一化学放大光阻剂层;在该化学放大光阻剂层上方形成一金属光阻剂层;将该金属光阻剂层选择性曝光于光化辐射;移除该金属光阻剂层的未曝光于该光化辐射的多个部分以形成一经图案化金属光阻剂层;将该经图案化金属光阻剂层及该化学放大光阻剂层浸没式曝光于极紫外辐射;及移除未由该经图案化金属光阻剂层覆盖的该化学放大光阻剂层的多个部分及该经图案化金属光阻剂层,以形成一经图案化化学放大光阻剂层,曝光该靶层的多个部分。
附图说明
本揭露的态样在与随附附图一起研读时自以下详细描述内容来最佳地理解。应注意,根据行业中的标准规范,各种特征未按比例绘制。实际上,各种特征的尺寸可为了论述清楚经任意地增大或减小。
图1图示根据本揭露实施例的制造半导体装置的制程流程;
图2显示根据本揭露实施例的顺序操作的制程阶段;
图3A及图3B显示根据本揭露实施例的顺序操作的制程阶段;
图4显示根据本揭露实施例的顺序操作的制程阶段;
图5A、图5B、及图5C显示根据本揭露实施例的顺序制程阶段;
图6显示根据本揭露实施例的曝光剂量-线宽粗糙度的曲线图;
图7A显示根据本揭露实施例的顺序操作的制程阶段;图7B显示根据本揭露实施例的极紫外(extreme ultraviolet,XUV)浸没式曝光的绕射强度图;
图8A、图8B、图8C、图8D、图8E、图8F、及图8G显示根据本揭露实施例的顺序制程阶段;
图9A显示根据本揭露实施例的顺序操作的制程阶段;图9B显示根据本揭露实施例的XUV浸没式曝光的绕射强度图;图9C显示根据本揭露另一实施例的顺序操作的制程阶段;图9D显示根据本揭露另一实施例的XUV浸没式曝光的绕射强度图;
图10A显示根据本揭露实施例的顺序操作的制程阶段;图10B、图10C、及图10D显示根据本揭露实施例的XUV浸没式曝光的绕射强度图;
图11A及图11B分别显示根据本揭露实施例的第二及第一光阻剂层的光学微影术反应;
图12A、图12B、图12C、及图12D显示根据本揭露实施例的顺序制程阶段;
图13A显示根据本揭露实施例的有机金属前驱物;图13B显示有机金属前驱物曝光于光化辐射时经历的反应;图13C显示根据本揭露实施例的有机金属前驱物的实例;
图14显示根据本揭露实施例的阻剂沉积设备;
图15显示根据本揭露一实施例的光阻剂组成物成分由于曝光于光化辐射及加热而经历的反应。
【符号说明】
10:基板
15:双层阻剂
15a:阻剂层
15a':区域/部分
15a”:部分
15b:第二阻剂层
20:靶层
30:光罩
35:不透明图案
40:光罩基板
45:光化辐射
50:曝光区域/经图案化第二光阻剂层/第二阻剂图案特征
50':底部浮渣缺陷
52:未曝光区域
55:凹槽图案
55’:图案
55”:图案
57:显影剂
60:XUV辐射
62:分配器
65:反射光罩
70:低热膨胀玻璃基板
75:反射多层
80:覆盖层
85:吸收层
90:后导电层
95:极紫外辐射
97:极紫外辐射的一部分
100:制程流程
200:阻剂层沉积设备
205:真空室
210:支撑台
220:第一前驱物或化合物气体供应
225:载体/净化气体供应
230:入口
230':入口
235:气体管线
235':气体管线
240:第二前驱物或化合物气体供应
245:真空泵
250:出口
255:排气管线
260:控制器
1110:金属氧化物纳米颗粒
1120:配体
1130:遮罩
1140:光化辐射
1145:光化辐射
1150:第二阻剂层的可溶部分
1160:第二阻剂层的不溶部分
1170:光酸产生器
1180:猝火剂
1190:酸
S105:操作
S110:操作
S115:操作
S120:操作
S125:操作
S130:操作
S135:操作
S140:操作
S145:操作
S150:操作
S155:操作
具体实施方式
应理解,以下揭示内容提供了许多不同的实施例或实例,用于实施本揭露的不同特征。下文描述组件及配置的特定实例以简化本揭露。当然,这些仅为实例且非意欲为限制性的。举例而言,元件的尺寸不限于所揭示的范围或值,而取决于制程条件及/或装置的所需性质。此外,文描述组件及配置的特定实例以简化本揭露。当然,这些仅为实例且非意欲为限制性的。举例而言,在以下描述中第一特征于第二特征上方或上的形成可包括第一特征与第二特征直接接触地形成的实施例,且亦可包括额外特征可形成为插入第一特征与第二特征之间,使得第一特征与第二特征可不直接接触的实施例。为了简单及清晰,可以不同的比例任意绘制各种特征。
此外,为了便于描述,在本文中可使用空间相对术语,诸如“在……下面”、“在……之下”、“下部”、“在……之上”、“上部”及类似者,来描述诸图中图示的一个元件或特征与另一(多个)元件或特征的关系。空间相对术语意欲涵盖除了诸图中所描绘的定向以外的装置在使用或操作时的不同定向。装置可另外定向(旋转90度或处于其他定向),且本文中所使用的空间相对描述符可类似地加以相应解释。此外,术语“由……制成”可意谓“包含”或“由……组成”。
显影之后留在光阻剂层的经图案区域中的阻剂浮渣及残留物会导致线宽粗糙度及线边缘粗糙度增大。浮渣及残留物会导致光阻剂图案出现缺陷,且导致半导体装置良率下降。本揭露的实施例解决这些问题,且减少浮渣及残留物量或在显影之后大体消除浮渣及残留物。
图1图示根据本揭露实施例的制造半导体装置的制程流程100。在一些实施例中,如图2中所示,在基板10上方形成待图案化层(或靶层)20。在一些实施例中,在基板10与靶层20之间形成一或多个层。在第一阻剂涂布操作S105中,第一阻剂层15a形成于待图案化层(或靶层)20的表面上方,或者,当未形成靶层20时,第一阻剂层15a形成于基板10的表面上。在一些实施例中,在第一预曝光烘烤操作S110中,第一阻剂层15a经历第一烘烤(或预曝光烘烤)操作以蒸发阻剂组成物中的溶剂。接着,如图2中所示,在第二阻剂涂布操作S115中,在第一阻剂层15a上方形成第二阻剂层15b,以形成双层阻剂15。在一些实施例中,第一阻剂层15a包括化学放大阻剂(chemically-amplified resist,CAR)组成物。在一些实施例中,第一阻剂层15a包括聚甲基丙烯酸甲酯(PMMA)或聚羟基苯乙烯(PHS)。在一些实施例中,第一阻剂层15a通过旋涂式涂布方法形成。在一些实施例中,第二阻剂层15b包括通过化学气相沉积(chemical vapor deposition,CVD)或原子层沉积(atomic layer deposition,ALD)形成的含金属光阻剂。在一些实施例中,通过旋涂式涂布方法形成含金属光阻剂层。
光阻剂层15a、15b是通过曝光于光化辐射而经图案化的光敏感层。通常,受入射辐射影响的光阻剂区域的化学性质会发生改变,其改变方式取决于所用光阻剂的类型。光阻剂为正型阻剂或负型阻剂。正型阻剂是指光阻剂材料,其在曝光于辐射(例如-紫外线)时可溶解于显影剂中,而未曝光(或曝光较少)的光阻剂区域不溶于显影剂中。另一方面,负型阻剂是指当曝光于辐射时变得不溶于显影剂中的光阻剂材料,而未曝光(或曝光较少)的光阻剂区域溶于显影剂中。负型阻剂在曝光于辐射之后变得不可溶的区域可由于曝光于辐射引起的交联反应而变得不可溶。在一些实施例中,阻剂是负型显影(negative tonedeveloped,NTD)阻剂。在NTD阻剂中,代替曝光于光化辐射交联的阻剂部分,选择优先溶解阻剂的未曝光部分以形成经图案化阻剂的显影剂溶剂。
接着,在一些实施例中,阻剂层15a、15b经历第二烘烤(或预曝光烘烤)操作S120以蒸发阻剂组成物中的溶剂。在足以固化及干燥阻剂层的温度及时间下烘烤阻剂层。在一些实施例中,阻剂层在约40℃及120℃的温度下加热约10秒至约10分钟。在一些实施例中,在各个阻剂层形成之后,每一阻剂层经历预曝光烘烤。在其他实施例中,形成第一阻剂层15a及第二阻剂层15b,接着这两个层经受预曝光烘烤操作S120。
在辐射曝光操作S125中,第二阻剂层15b选择性曝光于光化辐射45(见图3A及图3B)。在一些实施例中,第二阻剂层15b选择性曝光于紫外线辐射。在一些实施例中,紫外线辐射是深紫外线(deep ultraviolet,DUV)辐射。在一些实施例中,紫外线辐射是极紫外线(extreme ultraviolet,EUV/XUV)辐射。EUV及XUV在本揭露中互换使用。在一些实施例中,辐射是电子束。
如图3A中所示,在一些实施例中,在辐照阻剂层15b之前,曝光辐射45通过光罩30。在一些实施例中,光罩具有待在阻剂层15b中复制的图案。在一些实施例中,该图案由光罩基板40上的不透明图案35形成。不透明图案35可由对紫外辐射不透明的材料(诸如铬)形成,而光罩基板40由对紫外辐射透明的材料(诸如熔融石英)形成。
在一些实施例中,使用极紫外微影术对光阻剂层15a执行选择性曝光以形成曝光区域50及未曝光区域52。在一些实施例中,反射光罩65用于在一些实施例中的极紫外微影术中形成经图案化曝光光,如图3B中所示。反射光罩65包括低热膨胀玻璃基板70,在其上形成Si及Mo的反射多层75。覆盖层80及吸收层85形成于反射多层75上。在低热膨胀玻璃基板70的背面上形成后导电层90。极紫外辐射95以约6°的入射角指向反射光罩65。极紫外辐射的一部分97由Si/Mo多层75朝向光阻剂涂布基板10反射,而入射至吸收层85上的极紫外辐射的部分由光罩吸收。在一些实施例中,包括反射镜的额外光学元件在反射光罩65与光阻剂涂布基板之间。
在一些实施例中,阻剂层15b为光阻剂层。曝光于辐射的光阻剂层15b的区域50经历化学反应,从而相对于未曝光于辐射的光阻剂层的区域52改变其在随后施加的显影剂中的溶解度。在一些实施例中,光阻剂层曝光于辐射的区域50经历交联反应。
光阻剂层15b曝光于的电磁辐射量可通过通量或剂量来表征,该通量或剂量通过在曝光时间内的积分辐射通量获得。在一些实施例中,适合的辐射通量范围为约1mJ/cm2至约150mJ/cm2,在其他实施例中为约2mJ/cm2至约100mJ/cm2,在其他实施例中为约3mJ/cm2至约50mJ/cm2。一般技艺人士将认识到,上述明确范围内的辐射通量的额外范围是预期的,且在本揭露范畴内。
在一些实施例中,通过扫描电子束执行选择性或图形化曝光。在电子束微影术中,电子束诱发二次电子,从而修改经辐照材料。使用电子束微影术及本文揭示的含金属阻剂可达成高解析度。在一些实施例中,电子束可通过束能量来表征,且适合的能量范围为约5V至约200kV(千伏),在其他实施例中,适合的能量范围为约7.5V至约100kV。在一些实施例中,30kV下的近接性校正束剂量范围为约0.1μC/cm2至约5μC/cm2,在其他实施例中为约0.5μC/cm2至约1μC/cm2,在其他实施例中为约1μC/cm2至约100μC/cm2。一般技艺人士可基于本文的教导计算其他束能量下的相应剂量,且将认识到在上述明确范围内的电子束特性的额外范围是预期的,且在本揭露范畴内。
接下来,在第一曝光后烘烤操作S130中,阻剂层15a、15b经历第一曝光后烘烤(post exposure bake,PEB)。在一些实施例中,阻剂层15a、15b在约50℃至约250℃的温度下加热约20秒至约300秒。在一些实施例中,在约100℃至约230℃的温度范围内执行曝光后烘烤,而在其他实施例中在约150℃至约200℃的温度范围内执行。在一些实施例中,曝光后烘烤导致阻剂层15b中曝光于光化能量以进一步交联的第一化合物或第一前驱物与第二化合物或第二前驱物的反应产物。
在第一显影操作S135期间,随后通过施加显影剂至选择性曝光的阻剂层来显影选择性曝光的阻剂层15b。如图4中所示,显影剂57自分配器62供应至阻剂层15b。在一些实施例中,显影剂57移除阻剂层的未曝光部分52,在阻剂层15b中形成凹槽图案55,以曝光第一阻剂层15a(见图5A)。在一些实施例中,干式显影或阻剂蚀刻亦用于图案化。
在一些实施例中,如图5A中所示,在第一显影操作S135之后,底部浮渣缺陷50'保留在经图案化第二光阻剂层50中。在一些实施例中,随后在浸没式曝光操作S140中执行浸没式曝光。第一光阻剂层15a的曝光区域15a'如图5B中所示。在一些实施例中,使用XUV辐射执行浸没式曝光。浸没式曝光及浸没曝光意谓XUV辐射在冲击经图案化第二光阻剂层50之前未经图案化或选择性扫描。在一些实施例中,XUV辐射在冲击经图案化第二光阻剂层50之前不通过光罩或自光罩反射。在一些实施例中,在浸没式曝光期间,经图案化第二光阻剂层50及未由经图案化第二光阻剂层覆盖的第一光阻剂层15a的部分两者均对XUV辐射无遮蔽。然而,在一些实施例中,经图案化第二光阻剂层50在浸没式曝光操作S140期间遮蔽下伏第一光阻剂层15a。在一些实施例中,XUV辐射具有约0.1nm至约100nm的波长范围。在其他实施例中,XUV辐射具有约10nm至约30nm的波长范围。在一些实施例中,EUV/XUV辐射源为激光激发的锡电浆。在一些实施例中,过滤来自激光激发的锡电浆的辐射以提供所需曝光波长(例如,13.5nm或50nm)。
在一些实施例中,在浸没式曝光之后,在第二曝光后烘烤操作S145中,第一阻剂层15a、15b经历第二曝光后烘烤。第二曝光后烘烤的时间及温度可在与本文揭示的用于第一曝光后烘烤操作S130的时间及温度相同的范围内。
在一些实施例中,当第二阻剂层15b为含金属或金属氧化物的阻剂且阻剂图案具有周期性结构(例如,线及空间图案)时,由金属或金属氧化物阻剂形成的阻剂图案50用作绕射光栅,且绕射XUV辐射。绕射XUV辐射受到构造性干扰,且所得构造性干扰XUV曝光底部浮渣50'下面的阻剂层15a的部分,如图5B中所示。在第一阻剂层15a的后续第二显影操作S150期间,将底部浮渣与第一阻剂层15a的曝光区域15a'一起移除。因此,本揭露的实施例减少底部浮渣缺陷,诸如桥接及短路。
在一些实施例中,第二光阻剂图案55的节距与浸没式曝光辐射的波长具有相同的尺寸等级,以引起浸没式曝光辐射的绕射。在一些实施例中,第二光阻剂图案55的节距在浸没式曝光辐射波长的约±40nm内。
接着,在一些实施例中,随后在蚀刻操作S155中蚀刻靶层20,曝光基板10,如图5C中所示。在一些实施例中,在蚀刻操作S155期间移除经图案化第二光阻剂层50。在其他实施例中,在蚀刻操作S155之后,使用适合的阻剂剥离溶剂移除经图案化第二光阻剂层50。
在一些实施例中,如图6中所示,与使用对光阻层的单次曝光而非浸没式曝光的光学微影术操作相比,线宽粗糙度(line width roughness,LWR)经改善且曝光剂量(exposure dose,EOP)降低,如指向较低LWR及EOP数据点的虚线所示。在一些实施例中,化学放大阻剂(chemically-amplified resist,CAR)第二阻剂层中的化学扩散进一步改善LWR。在一些实施例中,浸没式曝光操作S140之后的曝光后烘烤(post exposure bake,PEB)操作S145有助于在第一阻剂层15a中产生的光酸的扩散。
拟值谱时域(pseudospectral time-domain,PSTD)模拟用于验证所揭示方法及结构的结果。在一些实施例中,在PSTD模拟中,第二阻剂层15b(图案50)是基于氧化锡的光阻剂,而第一阻剂层是基于聚甲基丙烯酸甲酯(PMMA)的阻剂,结构如图7A中所示。如图7B中所示,在使用20nm浸没式曝光波长的PTSD模拟中,绕射波在基于氧化锡的光阻剂图案特征之下具有高强度。因此,在一些实施例中,可通过选择曝光波长、以及金属氧化物图案节距及深宽比来调谐待图案化第一阻剂层的区域。
另一实施例如图8A至图8E中所示。如图8A中所示,靶层20是形成于基板10上方的硬遮罩层。在一些实施例中,硬遮罩层20由氧化物或氮化物制成,诸如氧化硅或氮化硅。在其他实施例中,硬遮罩层20是金属或半导体层。在硬遮罩层20上方形成第一光阻剂层15a,且在第一光阻剂层15a上方形成第二光阻剂层15b。在一些实施例中,第一光阻剂层15a由CAR制成,而第二光阻剂层15b由金属氧化物阻剂材料制成。
第二光阻剂层15b随后选择性地曝光于光化辐射45,诸如图8B中所示,以产生第二光阻剂层的曝光区域50及未曝光区域52。接着,显影第二光阻剂层,以形成图案55,如图8C中所示。接着将经图案化第二光阻剂层50及第一光阻剂层15a浸没式曝光于XUV辐射60,如图8D中所示。
在一些实施例中,第二光阻剂层的图案特征在浸没式曝光期间用作绕射光栅,从而产生XUV辐射60的构造性及破坏性干涉。在一些实施例中,相邻经图案化第二光阻剂层特征50之间的构造性干涉增加冲击辐射的强度,使得第一光阻剂层的部分15a'充分曝光于XUV辐射,以便在后续显影操作期间可移除。另一方面,第一光阻剂层的边缘部分15a”未曝光于构造性干涉XUV辐射,未充分曝光,且在后续显影操作期间未移除。
在一些实施例中,存在第一光阻剂层15a的图案化型反向。举例而言,在一些实施例中,第二光阻剂层15b用作负型阻剂,而第一光阻剂层15a用作正型阻剂。
在一些实施例中,在浸没式曝光操作S140期间使用定向曝光技术。在定向曝光中,入射辐射以相对于z方向上法线的锐角α定向,z方向与沿第一光阻剂层的x方向的表面相交,如图8E中所示。在一些实施例中,锐角范围自大于0°至约80°。在一些实施例中,定向曝光有助于将第一光阻剂层15a的部分曝光于底部浮渣缺陷下面。
在一些实施例中,如图8F中所示,在XUV浸没式曝光期间产生的光酸或光碱扩散穿过第一光阻剂层的曝光部分15a'。在一些实施例中,PEB用于促进化学扩散。接着显影第一光阻剂层15a,且蚀刻硬遮罩层20,从而将第二光阻剂层图案55延伸至硬遮罩层20中,以产生曝光基板10的硬遮罩图案55',如图8G中所示。在一些实施例中,在硬遮罩蚀刻操作S155期间移除经图案化金属氧化物光阻剂层50,在其他实施例中,通过单独的蚀刻或剥离操作移除经图案化金属氧化物光阻剂层50。在一些实施例中,随后通过适合的蚀刻或剥离操作移除经图案化第一光阻剂层15a。
根据本揭露实施例的XUV绕射的PSTD模拟如图9A、图9B、图9C及图9D、以及图10A及图10B中所示。图9A及图10A图示根据一些实施例的薄膜堆叠。在一些实施例中,薄膜堆叠包括设置于基板10上方的含PMMA第一光阻剂层15a、及设置于第一光阻剂层15a上方的基于经图案化金属氧化物50的第二光阻剂层。在一些实施例中,图案节距在浸没式曝光辐射的波长周围以引起绕射。在图9A的实施例中,经图案化光阻剂层50具有比图10A更大的特征节距。在图9A中,光阻剂图案50具有约为36nm的节距,而在图10A中,光阻剂图案具有约为30nm的节距。图9B及图10B显示20nm波长浸没式曝光的PTSD。如图9B及图10B中所示,在一些实施例中,由于光阻剂图案特征引起的构造性干扰,基于金属氧化物的光阻剂图案特征下面的第一光阻剂层的部分比其他部分更多地曝光于XUV辐射。因此,在第二阻剂层15b的图案50之下选择性曝光第一阻剂层15a的部分是可能的。若第一阻剂层15a为负型阻剂,则当曝光剂量在低限剂量以上时,曝光部分变得不溶于显影剂,而若第一阻剂层15a为正型,则当曝光剂量高于低限剂量时,曝光部分变得可溶。
图10A、图10B、图10C、及图10D图示不同厚度下底部浮渣缺陷影响的PTSD模拟。图10A图示在第二阻剂图案特征50之间具有底部浮渣缺陷50'的薄膜堆叠。如图10B、图10C、及图10D中所示,PTSD模拟显示,厚度高达5nm的底部浮渣缺陷不会显著影响第一阻剂层中的绕射强度分布。在一些实施例中,高达10nm厚的底部浮渣缺陷不会对第一阻剂层的后续图案化产生负面影响。
对于一些实施例,基于金属氧化物的第二阻剂层15b的曝光的反应机构如图11A中所示。基于金属氧化物的阻剂包括由配体1120错合的金属氧化物纳米颗粒1110。如示意图中所示,第二阻剂层的一部分由遮罩1130遮蔽。第二阻剂层的未遮蔽部分曝光于光化辐射1140,诸如EUV辐射。EUV辐射的吸收导致配体1120与金属氧化物纳米颗粒1110拆离。接着,在曝光后烘烤(post exposure bake,PEB)期间,发生氧网络凝结,交联金属氧化物纳米颗粒,产生第二阻剂层的可溶部分1150及第二阻剂层的不溶部分1160。
对于一些实施例,化学放大阻剂(chemically-amplified resist,CAR)第一阻剂层15a的曝光反应机构如图11B中所示。CAR阻剂包括聚合物,诸如PMMA(未显示);光酸产生器(photoacid generator,PAG)1170;及在一些实施例中的猝火剂1180。如示意图中所示,第一阻剂层的一部分由遮罩1130遮蔽。第二阻剂层的未遮蔽部分曝光于光化辐射1145,诸如EUV辐射。EUV辐射的吸收导致光酸产生器1170产生酸1190。接着,在曝光后烘烤(postexposure bake,PEB)期间,发生酸扩散及中和解块,产生第一阻剂层的不溶部分1160及第一阻剂层的可溶部分1150。
在一些实施例中,金属氧化物阻剂层15b具有约为CAR阻剂层15a的三倍的EUV吸收。
本揭露的实施例提供光阻剂的型反向,如图12A至图12D中所示。图12A对应于图5A及图8C的结构。根据本文揭示的技术图案化第二光阻剂层15b。经图案化第二光阻剂层15b在XUV浸没式曝光60期间用作第一光阻剂层15a的绕射图案遮罩,如图12B中所示。由于由第二光阻剂层的相邻图案特征50引起的绕射,发生构造性及破坏性干涉,导致图案特征50下方的高强度区域。第一光阻剂层的曝光部分15a'在浸没式曝光操作S140期间未接收到足够的XUV辐射以变为可溶于显影剂中。另一方面,在浸没式曝光操作S140期间,第一光阻剂层的图案特征50下方的第一光阻剂层的部分15a”接收足够的XUV辐射,以变为可溶于显影剂中。在一些实施例中,在浸没式曝光操作期间使用定向曝光技术。在一些实施例中,如图12C中所示,相对于与第一光阻剂层表面相交的法线,以锐角α定向XUV辐射。在一些实施例中,锐角范围自大于0°至约80°。在一些实施例中,定向曝光有助于曝光底部浮渣缺陷下面的第一光阻剂层15a的部分。
剥离剩余的第一光阻剂层50且显影第一光阻剂层15a之后,发生型反向。如图12D中所示,在第一光阻剂层中的所得图案55”为形成于第二光阻剂层中的图案55的反向。
在一些实施例中,第一阻剂层15a的厚度范围为约10nm至约50nm。在其他实施例中,第一阻剂层15a的厚度范围为约15nm至约35nm。在一些实施例中,第二阻剂层15b的厚度范围为约10nm至约50nm。在其他实施例中,第二阻剂层15b的厚度范围为约20nm至约40nm。在一些实施例中,第二阻剂层图案55的节距范围为约10nm至约50nm。在其他实施例中,第二阻剂层图案55的节距范围为约14nm至约48nm。在其他实施例中,第二阻剂层图案55的节距范围为约24nm至约40nm。在一些实施例中,浸没式曝光的波长约为第二阻剂层图案55节距的0.5倍至2倍。
可根据光阻剂层的光学性质,使用x射线反射率及/或椭偏仪的非接触方法评估光阻剂层厚度。在一些实施例中,各个光阻剂层厚度相对均匀以便于处理。在一些实施例中,经沉积光阻剂层的厚度变化不超过平均厚度的±25%,在其他实施例中,各个光阻剂层厚度变化不超过平均光阻剂层厚度的±10%。在一些实施例中,诸如在较大基板上的高均匀性沉积,光阻剂层均匀性的评估可使用1厘米边缘排除法来评估,即,对边缘1厘米内涂布部分的层均匀性不作评估。一般技艺人士将认识到,上述明确范围内的额外范围是预期的,且在本揭露范畴内。
在一些实施例中,基板10至少在其表面部分上包括单晶半导体层。基板10可包括单晶半导体材料,诸如但不限于Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在一些实施例中,基板10是SOI(绝缘体上硅)基板的硅层。在某些实施例中,基板10由晶体硅制成。
基板10的表面区域可包括一或多个缓冲层(未显示)。缓冲层可用于将晶格常数自基板的晶格常数逐渐改变为随后形成的源极/漏极区的晶格常数。缓冲层可由磊晶生长的单晶半导体材料形成,诸如但不限于Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSsb、GaN、GaP、及InP。在一实施例中,硅锗(SiGe)缓冲层在硅基板10上磊晶生长。SiGe缓冲层的锗浓度可自最底层缓冲层的30原子%增加至最顶缓冲层的70原子%。
在一些实施例中,基板10包括至少一金属、金属合金、及具有化学式MXa的金属氮化物/硫化物/氧化物/硅化物,其中M为金属,而X为N、S、Se、O、Si,且a为约0.4至约2.5。在一些实施例中,基板10包括钛、铝、钴、钌、氮化钛、氮化钨、氮化钽、及其组合物。
在一些实施例中,基板10包括至少具有化学式MXb的硅、金属氧化物、及金属氮化物的介电质,其中M为金属或Si,而X为N或O,且b的范围为约0.4至约2.5。在一些实施例中,基板10包括二氧化硅、氮化硅、氧化铝、氧化铪、氧化镧、及其组合物。
在一些实施例中,第一光阻剂层15a由光阻剂组成物制成,包括第一化合物或第一前驱物及以蒸气状态组合的第二化合物或第二前驱物。第一前驱物或第一化合物为具有以下化学式的有机金属:MaRbXc,如图13A中所示,其中M为Sn、Bi、Sb、in、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、as、Y、La、Ce、或Lu中的至少一者;而R为经取代或未取代的烷基、烯基、或羧酸基。在一些实施例中,M选自由Sn、Bi、Sb、In、Te、及其组合物组成的群组。在一些实施例中,R为C3-C6烷基、烯基、或羧酸盐。在一些实施例中,R选自由丙基、异丙基、丁基、异丁基、二级-丁基、三级-丁基、戊基、异戊基、二级-戊基、三级-戊基、己基、异己基、二级-己基、三级-己基、及其组合物组成的群组。X为与第二化合物或第二前驱物反应的配体、离子、或其他部分;及在一些实施例中1≤a≤2、b≥1,c≥1、且b+c≤5。在一些实施例中,烷基、烯基、或羧酸基由一或多个氟基取代。在一些实施例中,有机金属前驱物为二聚体,如图13A中所示,其中各个单体单元由胺基联接。各个单体具有一化学式:MaRbXc,如上所述。
在一些实施例中,R为烷基,诸如CnH2n+1,其中n≥3.在一些实施例中,R是氟化的,例如,具有化学式CnFxH((2n+1)-x)。在一些实施例中,R具有至少一β氢或β氟。在一些实施例中,R选自由i-丙基、n-丙基、第三丁基、i-丁基、n-丁基、二级-丁基、n-戊基、i-戊基、t-戊基、及二级-戊基、及其组合物组成的群组。
在一些实施例中,X是容易由第二化合物或第二前驱物置换以产生M-OH部分的任何部分,诸如选自由胺组成的群组中的部分,包括二烷基胺基及单烷基胺基;烷氧基;羧酸盐、卤素、及磺酸盐。在一些实施例中,磺酸盐基由一或多个胺基取代。在一些实施例中,卤化物是选自F、Cl、Br、及I组成的群组中的一或多者。在一些实施例中,磺酸盐基包括经取代或未取代的C1-C3基。
在一些实施例中,第一有机金属化合物或第一有机金属前驱物包括金属核心M+,配体L连接至金属核心M+,如图13B中所示。在一些实施例中,金属核心M+为金属氧化物。在一些实施例中,配体L包括C3-C12脂肪族或芳香族基。脂肪族或芳香族可是不分支的,或可是含有1~9个碳的环状、或非环状饱和悬垂基的分支,包括烷基、烯基、及苯基。分支基可进一步由氧或卤素取代。在一些实施例中,C3-C12脂肪族或芳香族基包括杂环基。在一些实施例中,C3-C12脂肪族或芳香族通过醚或酯联接与金属附接。在一些实施例中,C3-C12脂肪族或芳香族基包括亚硝酸盐及磺酸盐取代基。
在一些实施例中,有机金属前驱物或有机金属化合物包括二级-己基三(二甲胺)锡、t-己基三(二甲胺)锡、i-己基三(二甲胺)锡、n-己基三(二甲胺)锡、二级-戊基三(二甲胺)锡、t-戊基三(二甲胺)锡、i-戊基三(二甲胺)锡、n-戊基三(二甲胺)锡、二级-戊基三(二甲胺)锡、第三丁基三(二甲胺)锡、i-丁基三(二甲胺)锡、n-丁基三(二甲胺)锡、二级-丁基三(二甲胺)锡、i-丙基(三)二甲胺锡、n-丙基三(二乙氨)锡、及类比烷基三(t-丁氧基)锡化合物,包括二级-己基三(t-丁氧基)锡、t-己基三(t-丁氧基)锡、i-己基三(t-丁氧基)锡、n-己基三(t-丁氧基)锡、二级-戊基(t-丁氧基)锡、t-戊基(t-丁氧基)锡、i-戊基(t-丁氧基)锡、n-戊基(t-丁氧基)锡、第三丁基三(t-丁氧基)锡、i-丁基三(t-丁氧基)锡、n-丁基三(t-丁氧基)锡、二级-丁基三(t-丁氧基)锡、i-丙氧基(三)二甲氨基锡、或n-丙基三(丁氧基)锡。在一些实施例中,有机金属前驱物或有机金属化合物经氟化。在一些实施例中,有机金属前驱物或化合物具有小于约200℃的沸点。
在一些实施例中,第一化合物或第一前驱物包括一或多个不饱和键,其可与基板或中介下伏层的表面上的官能基(诸如羟基)配位,以改善光阻剂层与基板或下伏层的附着性。
在一些实施例中,第二前驱物或第二化合物为胺、硼烷、磷化氢、或水中的至少一者。在一些实施例中,胺具有化学式NpHnXm,其中0≤n≤3、0≤m≤3,当p为1时,n+m=3,而当p为2时,n+m=4,且各个X独立地是选自F、Cl、Br、及I组成的群组的卤素。在一些实施例中,硼烷具有化学式BpHnXm,其中0≤n≤3、0≤m≤3,当p为1时,n+m=3,而当p为2时,n+m=4,且各个X独立地是选自F、Cl、Br、及I组成的群组的卤素。在一些实施例中,磷化氢具有化学式PpHnXm,其中0≤n≤3、0≤m≤3,当p为1时,n+m=3,或当p为2时,n+m=4,且各个X独立地是选自F、Cl、Br、及I组成的群组的卤素。
图13B显示在一些实施例中由于曝光于光化辐射而发生反应的金属前驱物。由于曝光于光化辐射,配体基L自金属前驱物的金属核心M+上分离出来,且两个或两个以上金属前驱物核心彼此键合。
图13C显示根据本揭露实施例的有机金属前驱物的实例。在图13C中,Bz是苯基。
在一些实施例中,通过气相沉积操作执行光阻剂组成物的沉积。在一些实施例中,气相沉积操作包括原子层沉积(atomic layer deposition,ALD)或化学气相沉积(chemical vapor deposition,CVD)。在一些实施例中,ALD包括电浆增强原子层沉积(plasma-enhanced atomic layer deposition,PE-ALD),而CVD包括电浆增强化学气相沉积(plasma-enhanced chemical vapor deposition,PE-CVD)、金属有机化学气相沉积(metal-organic chemical vapor deposition,MO-CVD);大气压化学气相沉积(atmospheric pressure chemical vapor deposition,AP-CVD)、及低压化学气相沉积(low pressure chemical vapor deposition(LP-CVD)。
根据本揭露的一些实施例的阻剂层沉积设备200如图14中所示。在一些实施例中,沉积设备200是ALD或CVD装置。沉积设备200包括真空室205。真空室205中的基板支撑台210支撑基板10,诸如硅晶圆。在一些实施例中,基板支撑台210包括加热器。在一些实施例中,第一前驱物或化合物气体供应220及载体/净化气体供应225透过气体管线235连接至腔室中的入口230,第二前驱物或化合物气体供应240及载体/净化气体供应225透过另一气体管线235'连接至腔室中的另一入口230'。腔室经排空,多余的反应物及反应副产物由真空泵245透过出口250及排气管线255移除。在一些实施例中,前驱物气体及载气/净化气体的流动速率或脉冲、多余反应物及反应副产物的排出、真空室205内的压力、及真空室205或晶圆支撑台210的温度由用以控制这些参数中的各者的控制器260控制。
沉积光阻剂层包括将第一化合物或第一前驱物与处于蒸气状态的第二化合物或第二前驱物组合以形成光阻剂组成物。在一些实施例中,光阻剂组成物的第一化合物或第一前驱物及第二化合物或第二前驱物大约同时透过入口230、230'引入沉积腔室205(CVD腔室)。在一些实施例中,第一化合物或第一前驱物及第二化合物或第二前驱物透过入口230、230'以交替方式引入沉积腔室205(ALD腔室),即,首先是一化合物或前驱物,接着是第二化合物或前驱物,接着随后交替地重复引入该化合物或前驱物,接着引入该第二化合物或前驱物。
在一些实施例中,在沉积操作期间,沉积腔室温度范围为约30℃至约400℃,在其他实施例中,沉积腔室温度范围为约50℃至约250℃。在一些实施例中,在沉积操作期间,沉积腔室中的压力范围为约5毫托至约100托,在其他实施例中,压力范围为约100毫托至约10托。在一些实施例中,电浆功率小于约1000W。在一些实施例中,电浆功率范围为约100W至约900W。在一些实施例中,第一化合物或前驱物及第二化合物或前驱物的流动速率范围为约100sccm至约1000sccm。在一些实施例中,有机金属化合物前驱物与第二化合物或前驱物的流动比率范围为约1:1至约1:5。在上述范围之外的操作参数下,在一些实施例中导致不令人满意的光阻剂层。在一些实施例中,光阻剂层形成发生在单个腔室中(一锅层形成)。
在根据本揭露的一些实施例的CVD制程中,将有机金属前驱物及第二前驱物的分开入口路径230、235及230'、235'中的两个或两个以上气流引入CVD装置的沉积腔室205,其中这些气流在气相中混合及反应,以形成反应产物。在一些实施例中,使用分开的喷射入口230、230'或双腔喷头引入气流。沉积设备用以使得有机金属前驱物及第二前驱物的流在腔室中混合,从而允许有机金属前驱物及第二前驱物反应以形成反应产物。在不限制本揭露的机构、功能、或用途的情况下,相信来自气相反应的产物在分子量上变得更重、然后冷凝或沉积至基板10上。
在一些实施例中,ALD制程用于沉积光阻剂层。在ALD期间,通过将基板表面曝光于交替气体化合物(或前驱物)在基板10上生长层。与CVD不同,前驱体是作为一系列连续的、不重叠的脉冲引入的。在这些脉冲中的各者中,前驱物分子以自限方式与表面反应,因此一旦表面上的所有反应位点经消耗,则反应终止。因此,单次曝光于所有前驱物(所谓ALD循环)之后沉积于表面上的最大材料量由前驱物-表面相互作用的性质判定。
在ALD制程的一实施例中,有机金属前驱物经脉冲化,以在第一半反应中将含金属前驱物输送至基板10表面。在一些实施例中,有机金属前驱物与适合的下伏物种(例如基板表面上的OH或NH官能基)反应以形成新的自饱和表面。在一些实施例中,通过使用真空泵245进行抽空及/或通过流动惰性净化气体移除多余的未使用反应物及反应副产物。接着,在一些实施例中,将诸如氨(NH3)的第二前驱物脉冲化至沉积腔室。NH3与基板上的有机金属前驱物反应,以在基板表面上获得反应产物光阻剂。第二前驱物亦与下伏反应物种形成自饱和键,以提供另一自限性及饱和的第二半反应。在一些实施例中,执行第二净化以移除未使用的反应物及反应副产物。第一前驱物及第二前驱物的脉冲与中介净化操作交替,直到达到所需的光阻剂层厚度。
在一些实施例中,用载气将第一及第二化合物或前驱物输送至沉积腔室205中。载气、净化气体、沉积气体、或其他制程气体可含有氮、氢、氩、氖、氦、或其组合物。
在一些实施例中,有机金属化合物包括锡(Sn)、锑(Sb)、铋(Bi)、铟(In)、及/或碲(Te)作为金属成分,然而,本揭露不限于这些金属。在其他实施例中,其他适合金属包括钛(Ti)、锆(Zr)、铪(Hf)、钒(V)、钴(Co)、钼(Mo)、钨(W)、铝(Al)、镓(Ga)、硅(Si)、锗(Ge)、磷(P)、砷(As)、钇(Y)、镧(La)、铈(Ce)、镥(Lu)、或其组合物。额外金属可作为Sn、Sb、Bi、In、及/或Te的替代物或补充物。
使用的特定金属可显著影响辐射吸收。因此,可基于所需辐射及吸收截面来选择金属成分。锡、锑、铋、碲、及铟可对13.5nm的极紫外光有很强的吸收。铪对电子束及极UV辐射有良好的吸收。包括钛、钒、钼、或钨的金属组成物在更长的波长上有很强的吸收,以提供例如对248nm波长紫外光的灵敏度。
图15显示根据本揭露一实施例的光阻剂组成物成分由于曝光于光化辐射及加热而经历的反应。图15示出根据本揭露实施例的光阻剂图案化方法的各个阶段的光阻剂层的例示性化学结构。如图15中所示,光阻剂组成物包括有机金属化合物,例如SnX2R2、及第二化合物,例如氨(NH3)。当有机金属化合物与氨结合时,有机金属化合物在气相中与一些氨反应,形成与附着于有机金属化合物的金属(Sn)上的胺基反应产物。经沉积光阻剂层中的胺基具有氢键,该氢键可显著提高经沉积光阻剂层的沸点且有助于防止含金属光阻剂材料除气。此外,胺基的氢键有助于控制水分对光阻剂层品质的影响。
当随后曝光于极紫外辐射时,有机金属化合物吸收极紫外辐射,且一或多个有机R基自有机金属化合物中裂解,以在辐射曝光区域中形成氨基金属化合物。接着,当执行曝光后烘烤(post exposure bake,PEB)时,在一些实施例中,氨基金属化合物经由胺基交联,如图15中所示。在一些实施例中,氨基金属化合物的部分交联由于曝光于极紫外辐射而发生。
在一些实施例中,根据本揭露的第一光阻剂层15a中使用的光阻剂包括聚合物及溶剂中的一或多种光活性化合物(photoactive compound,PAC)。在一些实施例中,聚合物包括烃结构(诸如脂环烃结构),其含有一或多个当与PAC产生的酸、碱、或自由基混合时将分解(例如,酸性不稳定基)或反应的基(如下进一步描述)。在一些实施例中,烃结构包括形成聚合物骨架主链的重复单元。这个重复单元可包括丙烯酸酯、甲基丙烯酸酯、巴豆酸酯、乙烯酯、马来酸二酯、富马酸二酯、衣康酸二酯、(甲基)丙烯腈、(甲基)丙烯酰胺、苯乙烯、乙烯基醚、其组合物、或类似物。
在一些实施例中,光阻剂包括具有选自以下的酸性不稳定基聚合物:
Figure BDA0003495634630000191
在一些实施例中,用于烃结构的重复单元的特定结构包括丙烯酸甲酯、丙烯酸乙酯、丙烯酸三丙酯、丙烯酸异丙酯、丙烯酸三丁酯、丙烯酸异丁酯、丙烯酸三级-丁酯、丙烯酸三己酯、丙烯酸2-乙基己酯、丙烯酸乙酰氧基乙酯、丙烯酸苯酯、丙烯酸2-羟乙酯、丙烯酸2-甲氧基乙酯、丙烯酸2-乙氧基乙酯、丙烯酸2-(2-甲氧基乙氧基)乙酯、丙烯酸环己酯、丙烯酸苄酯、丙烯酸2-烷基-2-金刚烷基(甲基)酯或丙烯酸二烷基(1-金刚烷基)甲基(甲基)酯、甲基丙烯酸甲酯、甲基丙烯酸乙酯、甲基丙烯酸三丙酯、甲基丙烯酸异丙酯、甲基丙烯酸三丁酯、甲基丙烯酸异丁酯、甲基丙烯酸三级-丁酯、甲基丙烯酸三己酯、甲基丙烯酸2-乙基己酯、甲基丙烯酸乙氧基乙酯、甲基丙烯酸苯基酯、甲基丙烯酸2-羟乙基酯、甲基丙烯酸2-甲氧基乙酯、甲基丙烯酸2-乙氧基乙酯、甲基丙烯酸2-(2-甲氧基乙氧基)乙酯、甲基丙烯酸环己酯、甲基丙烯酸苄酯、甲基丙烯酸3-氯-2-羟丙基酯、甲基丙烯酸3-乙酰氧基-2-羟丙基酯、甲基丙烯酸3-氯乙酰氧基-2-羟丙基酯、巴豆酸丁酯、巴豆酸己酯、或类似物中的一或多者。乙烯酯的实例包括乙酸乙烯酯、丙酸乙烯酯、丁酸乙烯酯、甲氧基乙酸乙烯酯、苯甲酸乙烯酯、马来酸二甲酯、马来酸二乙酯、马来酸二丁酯、富马酸二甲酯、富马酸二乙酯、富马酸二丁酯、衣康酸二甲酯、衣康酸二乙酯、丙烯酰胺、甲基丙烯酰胺、乙基丙烯酰胺、丙基丙烯酰胺、三丁基丙烯酰胺、三级-丁基丙烯酰胺、环己基丙烯酰胺、2-甲氧基乙基丙烯酰胺、二甲基丙烯酰胺、二乙基丙烯酰胺、苯基丙烯酰胺、苄基丙烯酰胺、甲基丙烯酰胺、甲基丙烯酰胺、甲基丙烯酰胺乙基、甲基丙烯酰胺丙基、甲基丙烯酰胺三丁基、甲基丙烯酰胺三级丁基、环己基甲基丙烯酰胺、2-甲氧基乙基甲基丙烯酰胺、二甲基甲基丙烯酰胺、二乙基甲基丙烯酰胺、苯基甲基丙烯酰胺、苄基甲基丙烯酰胺、甲基乙烯基醚、丁基乙烯基醚、己基乙烯基醚、甲氧基乙基乙烯基醚、二甲氨基乙基乙烯基醚、或类似物。苯乙烯的实例包括苯乙烯、甲基苯乙烯、二甲基苯乙烯、三甲基苯乙烯、乙基苯乙烯、异丙基苯乙烯、丁基苯乙烯、甲氧基苯乙烯、丁氧基苯乙烯、乙酰氧基苯乙烯、氯代苯乙烯、二氯苯乙烯、溴代苯乙烯、苯甲酸甲酯乙烯酯、α-甲基苯乙烯、马来酰亚胺、乙烯基吡啶、乙烯基吡咯烷酮、乙烯基咔唑、这些的组合物、或类似物。
在一些实施例中,烃结构的重复单元亦具有取代至其中的单环或多环烃结构,或者单环或多环烃结构是重复单元,以形成脂环烃结构。在一些实施例中,单环结构的特定实例包括双环烷烃、三环烷烃、四环烷烃、环戊烷、环己烷、或类似物。在一些实施例中,多环结构的具体实例包括金刚烷、降莰烷、异莰烷、三环癸烷、四环十二烷、或类似物。
附接于烃结构上基将分解,以便在曝光期间与PAC产生的酸/碱/自由基反应。与酸反应的基称为酸性不稳定基。在一些实施例中,将分解的基为羧酸基、氟化醇基、酚醇基、磺酸基、磺酰胺基、磺酰亚胺基、(烷基磺酰基)(烷基羰基)亚甲基、(烷基磺酰基)(烷基羰基)亚胺基、双(烷基羰基)亚甲基、双(烷基羰基)(烷基羰基)亚胺基、双(烷基磺酰基)亚甲基、双(烷基磺酰基)亚胺基、三(烷基羰基亚甲基)、三(烷基磺酰基)亚甲基、这些的组合物、或类似物。用于氟化醇基的特定基包括氟化羟烷基,诸如在一些实施例中的六氟异丙醇基。用于羧酸基的特定基包括丙烯酸基、甲基丙烯酸基、或类似物。
在一些实施例中,酸性不稳定基(acid labile group,ALG)通过光酸产生器产生的酸的作用分解,留下悬垂于聚合物树脂链上的羧酸基,如ALG脱保护反应所示:
Figure BDA0003495634630000211
ALG脱保护反应
在一些实施例中,聚合物亦包括附接至烃结构的其他基,这些基有助于改善可聚合树脂的多种性质。举例而言,在烃结构中包含内酯基有助于降低光阻剂显影之后的线边缘粗糙度,从而有助于减少显影期间出现的缺陷数目。在一些实施例中,内酯基包括具有五至七个成员的环,尽管任何适合的内酯结构可替代地用于内酯基。
在一些实施例中,聚合物包括可帮助增加光阻剂层15对下伏结构(例如,基板10)的附着性的基。极性基可用于帮助增加附着性。适合的极性基包括羟基、氰基、或类似物,尽管亦可使用任何适合的极性基。
可选地,聚合物包括一或多个脂环烃结构,该脂环烃结构亦不含有在一些实施例中会分解的基。在一些实施例中,不含有将分解的基的烃结构包括诸如1-金刚烷基(甲基)丙烯酸酯、三环癸基(甲基)丙烯酸酯、环己基(甲基丙烯酸酯)、这些的组合物、或类似物的结构。在一些实施例中,光阻剂组成物包括一或多个光活性化合物(photoactivecompound,PAC)。
在一些实施例中,PAC包括光酸产生器、光碱产生器、光分解碱、自由基产生器、或类似者。在PAC为光酸产生器的一些实施例中,PAC包括卤化三嗪、碘盐、重氮盐、芳香重氮盐、膦盐、硫盐、碘盐、酰亚胺磺酸盐、肟磺酸盐、重氮二砜、二砜、邻硝基苯磺酸盐、磺酸盐、卤代磺酰氧基二甲酰亚胺、重氮二砜、α-氰基胺磺酸盐、酰亚胺磺酸盐、酮重氮磺酸盐、磺酰重氮酯、1,2-二(芳基磺酰基)肼、硝基苯酯、及s-三嗪衍生物、其组合、或类似物。
光酸产生器的具体实例包括α-(三氟甲基磺酰氧基)-双环[2.2.1]庚-5-烯-2,3-二羰基-o-肟(MDT)、N-羟基萘酰亚胺(DDSN)、苯偶姻甲苯磺酸酯、第三丁基苯基-α-(对甲苯磺酰氧基)-乙酸酯及第三丁基-α-(对甲苯磺酰氧基)-乙酸酯、三芳基磺酸及二芳基碘六氟锑酸酯、六氟砷酸盐、三氟甲基磺酸盐、全氟辛烷磺酸碘铵、N-樟脑磺酰氧基萘酰亚胺、N-五氟苯基磺酰氧基萘酰亚胺、离子型碘酰磺酸盐,诸如二芳基碘酰(烷基或芳基)磺酸盐及双-(二-第三丁基苯基)碘酰氧基樟脑磺酸盐,全氟烷磺酸酯,诸如全氟戊烷磺酸酯、全氟辛烷磺酸酯、全氟甲基磺酸酯,芳基(例如,苯基或苄基)三氟磺酸酯,诸如三苯基三氟磺酸钠或双-(第三丁基苯基)三氟磺酸钠;邻苯三酚衍生物(例如,邻苯三酚的苯甲磺酸酯)、羟基酰亚胺的三氟甲磺酸酯、α,α'-双磺酰重氮甲烷、硝基取代苯甲醇的磺酸酯、萘醌-4-二嗪、烷基二砜、或类似物。
在PAC为自由基产生器的一些实施例中,PAC包括n-苯基甘氨酸;芳香酮,包括二苯甲酮、N,N'-四甲基-4,4'-二氨基苯甲酮、N,N'-四乙基-4,4'-二氨基苯甲酮、4-甲氧基-4'-二甲氨基苯甲酮、3,3'-二甲基-4-甲氧基二苯甲酮、p,p'-二(二甲氨基)二苯甲酮、p,p'-二(二乙氨基)-二苯甲酮;蒽醌、2-乙基蒽醌;萘醌;菲醌;安息香,包括安息香、安息香甲醚、安息香异丙醚、安息香-n-丁烯、安息香苯醚、甲基安息香及乙基安息香;苄基衍生物,包括二苄基、苄基二苯基二硫化物及苄基二甲基缩酮;吖啶衍生物,包括9-苯基吖啶及1,7-双(9-吖啶基)庚烷;硫杂蒽酮,包括2-氯硫杂蒽酮、2-甲基硫杂蒽酮、2,4-二乙基硫杂蒽酮、2,4-二甲基硫杂蒽酮及2-异丙基硫杂蒽酮;苯乙酮,包括1,1-二氯苯乙酮、p-第三丁基二氯苯乙酮、2,2-二乙氧基苯乙酮、2,2-二甲氧基-2-苯乙酮及2,2-二氯-4-苯氧基苯乙酮;2,4,5-三芳基咪唑二聚体,包括2-(邻氯苯基)-4,5-二苯基咪唑二聚体、2-(邻氯苯基)-4,5-二-(间甲氧苯基咪唑二聚体、2-(邻氟苯基)-4,5-二苯基咪唑二聚体、2-(邻甲氧基苯基)-4,5-二苯基咪唑二聚体、2-(对甲氧基苯基)-4,5-二苯基咪唑二聚体、2,4-二(对甲氧基苯基)-5-苯基咪唑二聚体-(2,4-二甲氧基苯基)-4,5-二苯基咪唑二聚体及2-(对甲巯基苯基)-4,5-二苯基咪唑二聚体;这些的组合物、或类似物。
在一些实施例中,溶剂是有机溶剂,且包括任何适合溶剂中的一或多者,诸如酮、醇、多元醇、醚、乙二醇醚、环醚、芳香烃、酯、丙酸盐、乳酸盐、乳酸酯、亚烷基乙二醇单烷基醚、烷基乳酸盐、烷基烷氧基丙酸盐、环内酯、含环的单酮化合物、亚烷基碳酸酯、烷基烷氧基乙酸酯、烷基丙酮酸酯、乳酸酯、乙二醇烷基醚醋酸酯、二甘醇、丙二醇烷基醚醋酸酯、亚烷基二醇烷基醚酯、亚烷基二醇单烷基酯、或类似物。
光阻剂组成物亦可包括许多其他添加剂,这些添加剂有助于光阻剂获得高解析度。举例而言,光阻剂的一些实施例亦包括表面活性剂,以帮助提高光阻剂涂布在其上的表面的能力。添加至光阻剂组成物的一些实施例中的另一添加剂是猝火剂,其抑制所产生的酸/碱/自由基在光阻剂内的扩散。猝火剂改善阻剂图案组态以及光阻剂随时间推移的稳定性。添加至光阻剂的一些实施例中的其他添加剂是稳定剂,其有助于防止在光阻剂曝光期间产生的酸的不期望的扩散;溶解抑制剂,用于在显影期间帮助控制光阻剂的溶解;塑化剂,用于减少光阻剂与下伏层(例如,待图案化层)之间的分层及开裂;及附着促进剂。
在一些实施例中,使用旋涂制程将显影剂57施加于光阻剂层。在旋涂制程中,显影剂57自光阻剂层15之上施加至光阻剂层15,同时旋转光阻剂涂布的基板,如图4中所示。在一些实施例中,显影剂57以约5ml/min与约800ml/min之间的速率供应,而光阻剂涂布基板10以约100rpm至约2000rpm的速度旋转。在一些实施例中,显影剂在显影操作期间处于约20℃与约75℃之间的温度。在一些实施例中,显影操作持续约10秒至约10分钟。
尽管旋涂操作是曝光之后显影光阻剂层15a的一适合方法,但其旨在说明,而非限制实施例。相反,亦可使用任何适合的显影操作,包括浸渍制程、混拌制程、及喷射法。所有此类显影操作包括于实施例的范畴内。
使用溶剂执行显影。在需要正型显影的一些实施例中,使用诸如碱性水溶液的正型显影剂。在一些实施例中,正型显影剂包括选自以下各者中的一或多者:四甲基氢氧化铵(TMAH)、四丁基氢氧化铵、氢氧化钠、氢氧化钾、碳酸钠、碳酸氢钠、硅酸钠、偏硅酸钠、氨水、一甲胺、二甲胺、三甲胺、一乙胺、,二乙胺、三乙胺、一异丙胺、二异丙胺、三异丙胺、一丁胺、二丁胺、一乙醇胺、二乙醇胺、三乙醇胺、二甲胺乙醇、二乙胺乙醇、氨、苛性碱、苛性钾、偏硅酸钠、偏硅酸钾、碳酸钠、氢氧化四乙铵、这些的组合物、或类似物。
在需要负型显影的一些实施例中,使用有机溶剂或临界流体移除光阻剂的未曝光区域。在一些实施例中,负型显影剂包括选自以下各者中的一或多者:己烷、庚烷、辛烷、甲苯、二甲苯、二氯甲烷、氯仿、四氯化碳、三氯乙烯、及类似烃溶剂;临界二氧化碳、甲醇、乙醇、丙醇、丁醇、及类似乙醇溶剂;乙醚、二丙基醚、二丁基醚、乙基乙烯基醚、二氧六环、环氧丙烷、四氢呋喃、溶纤剂、甲基溶纤剂、丁基溶纤剂、甲基卡宾醇、二甘醇一乙基醚及类似醚溶剂;丙酮、甲乙酮、甲基异丁基酮、异佛尔酮、环己酮及类似酮溶剂;乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸丁酯及类似酯溶剂;吡啶、甲酰胺、及N,N-二甲基甲酰胺或类似物。
显影之后,将剩余显影剂自经图案化光阻剂覆盖的基板移除。在一些实施例中,使用旋转干燥制程移除剩余显影剂,尽管可使用任何适合的移除技术。
其他实施例包括上述操作之前、期间、或之后的其他操作。在一些实施例中,所揭示的方法包括形成鳍式场效晶体管(fin field effect transistor,FinFET)结构。在一些实施例中,在半导体基板上形成多个活动鳍片。此类实施例进一步包括穿过经图案化硬遮罩的开口蚀刻基板以在基板中形成沟槽;用介电材料填充沟槽;执行化学机械研磨(chemical mechanical polishing,CMP)制程以形成浅沟槽隔离(shallow trenchisolation,STI)特征;及磊晶生长或使STI特征凹陷以形成鳍式活动区。在一些实施例中,在基板上形成一或多个栅电极。一些实施例包括形成栅极间隔物、掺杂源极/漏极区、用于栅极/源极/漏极特征的触点等。在其他实施例中,靶图案形成为多层互连结构中的金属线。举例而言,金属线可形成于基板的层间介电(inter-layer dielectric,ILD)层中,该层已经蚀刻以形成多个沟槽。沟槽可填充有导电材料,诸如金属;且可使用诸如化学机械平坦化(chemical mechanical planarization,CMP)的制程来研磨导电材料以曝光经图案化ILD层,从而在ILD层中形成金属线。以上是可使用本文所述方法制造及/或改善的装置/结构的非限制性实例。
在一些实施例中,形成诸如二极管、场效晶体管(field-effect transistor,FET)、金属氧化物半导体场效晶体管(metal-oxide semiconductor field effecttransistor,MOSFET)、互补金属氧化物半导体(complementary metal-oxidesemiconductor,CMOS)晶体管、双极晶体管、高压晶体管、高频晶体管、FinFET、其他三维(three-dimensional,3D)FET、其他记忆体单元、及其组合物的活动组件。
线/空间图案的严重浮渣缺陷及线宽粗糙问题是金属氧化物阻剂微影术图案化的问题。这些缺陷及问题是光学微影术面临的严峻挑战。本揭露的实施例提供改善的图案线/空间及改善的线宽粗糙度及改善的线边缘粗糙度。本揭露的实施例减少由光阻剂底浮渣引起的缺陷。本揭露的实施例允许使用减少的曝光剂量(exposure dose,EOP)。本揭露的实施例提供光阻剂型反向。
本揭露的一实施例是一种制造半导体装置的方法,包括在基板上方形成第一阻剂层,及在第一阻剂层上方形成第二阻剂层。第二阻剂层经图案化以曝光第一阻剂层的一部分以形成第二阻剂层图案。第一阻剂层曝光于由第二阻剂层图案绕射的极紫外(extremeultraviolet,XUV)辐射。移除曝光于由第二阻剂层绕射的XUV辐射的第一阻剂层的多个部分。在一实施例中,将第一阻剂层曝光于绕射XUV辐射包括定向曝光。在一实施例中,第二阻剂层由负型阻剂制成。在一实施例中,绕射XUV辐射的波长范围为0.1nm至100nm。在一实施例中,绕射XUV辐射的波长范围为10nm至30nm。在一实施例中,第一阻剂层是化学放大阻剂。在一实施例中,第二阻剂层是含金属阻剂。在一实施例中,第二阻剂层包含有机金属化合物。在一实施例中,第二阻剂层包含氧化锡。
本揭露的另一实施例是制造半导体装置的方法,包括在基板上方形成第一光阻剂层及在第一光阻剂层上方形成第二光阻剂层。第二光阻剂层不同于第一光阻剂层。第二光阻剂层选择性曝光于光化辐射中以在第二光阻剂层中形成潜影。第二光阻剂层经显影以在第二光阻剂层中形成图案,曝光第一光阻剂层的一部分。将第二光阻剂层及第一光阻剂层的剩余部分浸没式曝光于波长范围为0.1nm至100nm的曝光辐射。第二光阻剂层的剩余部分绕射曝光辐射。显影第一光阻剂层以曝光基板的部分。在一实施例中,浸没式曝光是定向曝光。在一实施例中,第一光阻剂层由正型阻剂制成,而第二光阻剂层由负型阻剂制成。在一实施例中,第一光阻剂层是化学放大阻剂。在一实施例中,第二光阻剂层是含金属的阻剂。在一实施例中,在显影第一光阻剂层期间移除第二光阻剂层的剩余部分。
本揭露的另一实施例是一种制造半导体装置的方法,包括在基板上方形成靶层。在靶层上方形成化学放大光阻剂层。在化学放大光阻剂层上方形成金属光阻剂层。金属光阻剂层选择性曝光于光化辐射。移除未曝光于光化辐射的金属光阻剂层的部分以形成经图案化金属光阻剂层。将经图案化金属光阻剂层及化学放大光阻剂层浸没于极紫外(extremeultraviolet,XUV)辐射下;及移除未由经图案化金属光阻剂层覆盖的化学放大光阻剂层的部分及经图案化金属光阻剂层,以形成经图案化化学放大光阻剂层,曝光靶层的部分。在一实施例中,该方法包括移除靶层的经曝光部分。在一实施例中,靶层是含硅硬遮罩层。在一实施例中,浸没式曝光是XUV辐射的定向曝光。在一实施例中,XUV辐射的波长范围为10nm至30nm。
前述内容概述若干实施例或实例的特征,使得熟悉此项技术者可更佳地理解本揭露的态样。熟悉此项技术者应了解,其可易于使用本揭露作为用于设计或修改用于实施本文中引入的实施例的相同目的及/或达成相同优势的其他制程及结构的基础。熟悉此项技术者亦应认识到,此类等效构造并不偏离本揭露的精神及范畴,且此类等效构造可在本文中进行各种改变、取代、及替代而不偏离本揭露的精神及范畴。

Claims (10)

1.一种制造半导体装置的方法,其特征在于,包含以下步骤:
在一基板上方形成一第一阻剂层;
在该第一阻剂层上方形成一第二阻剂层;
图案化该第二阻剂层以曝光该第一阻剂层的一部分以形成一第二阻剂层图案;
将该第一阻剂层曝光于由该第二阻剂层图案绕射的极紫外辐射;及
移除曝光于由该第二阻剂层绕射的该极紫外辐射的该第一阻剂层的多个部分。
2.如权利要求1所述的方法,其特征在于,该曝光该第一阻剂层于绕射极紫外辐射包括一定向曝光。
3.如权利要求1所述的方法,其特征在于,该第二阻剂层由一负型阻剂制成。
4.如权利要求1所述的方法,其特征在于,该绕射极紫外辐射具有范围为0.1nm至100nm的一波长。
5.如权利要求4所述的方法,其特征在于,该绕射极紫外辐射具有范围为10nm至30nm的一波长。
6.如权利要求1所述的方法,其特征在于,该第一阻剂层为一化学放大阻剂。
7.如权利要求1所述的方法,其特征在于,该第二阻剂层为一含金属阻剂。
8.如权利要求7所述的方法,其特征在于,该第二阻剂层包含一有机金属化合物。
9.一种制造半导体装置的方法,其特征在于,包含以下步骤:
在一基板上方形成一第一光阻剂层;
在该第一光阻剂层上方形成一第二光阻剂层,
其中该第二光阻剂层不同于该第一光阻剂层;
将该第二光阻剂层选择性曝光于光化辐射以在该第二光阻剂层中形成一潜影;
显影该第二光阻剂层以在该第二光阻剂层中形成曝光该第一光阻剂层的一部分的一图案;
将该第二光阻剂层及该第一光阻剂层的多个剩余部分浸没式曝光于具有范围为0.1nm至100nm的一波长的一曝光辐射,
其中该第二光阻剂层的该些剩余部分绕射该曝光辐射;及
显影该第一光阻剂层以曝光该基板的多个部分。
10.一种制造半导体装置的方法,其特征在于,包含以下步骤:
在一基板上方形成一靶层;
在该靶层上方形成一化学放大光阻剂层;
在该化学放大光阻剂层上方形成一金属光阻剂层;
将该金属光阻剂层选择性曝光于光化辐射;
移除该金属光阻剂层的未曝光于该光化辐射的多个部分以形成一经图案化金属光阻剂层;
将该经图案化金属光阻剂层及该化学放大光阻剂层浸没式曝光于极紫外辐射;及
移除未由该经图案化金属光阻剂层覆盖的该化学放大光阻剂层的多个部分及该经图案化金属光阻剂层,以形成一经图案化化学放大光阻剂层,曝光该靶层的多个部分。
CN202210113800.9A 2021-06-18 2022-01-30 制造半导体装置的方法 Pending CN115206780A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163212511P 2021-06-18 2021-06-18
US63/212,511 2021-06-18
US17/491,743 US20230004087A1 (en) 2021-06-18 2021-10-01 Method of manufacturing a semiconductor device
US17/491,743 2021-10-01

Publications (1)

Publication Number Publication Date
CN115206780A true CN115206780A (zh) 2022-10-18

Family

ID=83573861

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210113800.9A Pending CN115206780A (zh) 2021-06-18 2022-01-30 制造半导体装置的方法

Country Status (3)

Country Link
US (1) US20230004087A1 (zh)
CN (1) CN115206780A (zh)
TW (1) TW202301429A (zh)

Also Published As

Publication number Publication date
TW202301429A (zh) 2023-01-01
US20230004087A1 (en) 2023-01-05

Similar Documents

Publication Publication Date Title
US11705332B2 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
EP3933506A1 (en) Method of manufacturing a semiconductor device and pattern formation method
US11822237B2 (en) Method of manufacturing a semiconductor device
US20210364922A1 (en) Underlayer composition and method of manufacturing a semiconductor device
US20230408918A1 (en) Photoresist composition and method of manufacturing a semiconductor device
US20230369048A1 (en) Method of manufacturing a semiconductor device
KR20210145083A (ko) 포토레지스트 조성물 및 포토레지스트 패턴의 형성 방법
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
CN115206780A (zh) 制造半导体装置的方法
US20230333477A1 (en) Method of manufacturing a semiconductor device
US20220291587A1 (en) Method of manufacturing a semiconductor device
US20230418156A1 (en) Method of manufacturing a semiconductor device and semiconductor device manufacturing tool
US20220351964A1 (en) Method of manufacturing a semiconductor device
TWI772001B (zh) 樹脂、光阻組成物和半導體裝置的製造方法
US20230162980A1 (en) Method of manufacturing a semiconductor device
US11784046B2 (en) Method of manufacturing a semiconductor device
US20230375920A1 (en) Method of manufacturing a semiconductor device
US20210302839A1 (en) Method of manufacturing a semiconductor device
CN116643459A (zh) 制造半导体器件的方法
KR20240031093A (ko) 반도체 장치의 제조 방법
TW202200636A (zh) 在光阻層中形成圖案的方法、製造半導體裝置的方法以及光阻劑組成物
TW202416053A (zh) 半導體裝置的製造方法及半導體裝置製造工具
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具
WO2023076222A1 (en) Local shadow masking for multi-color exposures

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication