DE102021110173A1 - In-situ-abscheidung und verdichtungsbehandlung für metalhaltige resistschicht - Google Patents

In-situ-abscheidung und verdichtungsbehandlung für metalhaltige resistschicht Download PDF

Info

Publication number
DE102021110173A1
DE102021110173A1 DE102021110173.5A DE102021110173A DE102021110173A1 DE 102021110173 A1 DE102021110173 A1 DE 102021110173A1 DE 102021110173 A DE102021110173 A DE 102021110173A DE 102021110173 A1 DE102021110173 A1 DE 102021110173A1
Authority
DE
Germany
Prior art keywords
metal oxide
density
oxide resist
resist layer
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021110173.5A
Other languages
English (en)
Inventor
Yi-Chen Kuo
Chih-Cheng Liu
Yen-Yu Chen
Jr-Hung Li
Chi-Ming Yang
Tze-Liang Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021110173A1 publication Critical patent/DE102021110173A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Metallhaltige Resistschichten (zum Beispiel Metalloxid-Resistschichten), Verfahren zur Bildung der metallhaltigen Resistschichten und Lithografieverfahren, die die metallhaltigen Resistschichten implementieren, sind hierin offenbart, die Lithografieauflösung verbessern können. Ein beispielhaftes Verfahren umfasst Bilden einer Metalloxid-Resistschicht über einem Werkstück durch Durchführen von Abscheidungsprozessen, um Metalloxid-Resistsubschichten der Metalloxid-Resistschicht über dem Werkstück zu bilden und Durchführen eines Verdichtungsprozesses an mindestens einer der Metalloxid-Resistsubschichten. Jeder Abscheidungsprozess bildet eine jeweilige der Metalloxid-Resistsubschichten. Der Verdichtungsprozess erhöht eine Dichte der mindestens einen der Metalloxid-Resistsubschichten. Parameter der Abscheidungsprozesse und/oder Parameter des Verdichtungsprozesses können abgestimmt werden, um unterschiedliche Dichteprofile, unterschiedliche Dichtencharakteristika und/oder unterschiedliche Absorptionscharakteristika zu erzielen, um Strukturierung der Metalloxid-Resistschicht zu optimieren.

Description

  • Die vorliegende Anmeldung ist eine nichtvorläufige Anmeldung der vorläufigen US-Patentanmeldung 63/085,610 , eingereicht am 30. September 2020, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird, und beansprucht deren Priorität.
  • STAND DER TECHNIK
  • Lithografieprozesse werden intensiv bei der Herstellung von ICs (Integrated Circuits) genutzt, wobei verschiedene IC-Strukturen auf ein Werkstück übertragen werden, um ein IC-Bauelement zu bilden. Ein Lithografieprozess involviert typischerweise, eine Resistschicht über dem Werkstück zu bilden, die Resistschicht mit strukturierter Strahlung zu belichten und die belichtete Resistschicht zu entwickeln, wodurch eine strukturierte Resistschicht gebildet wird. Die strukturierte Resistschicht wird während nachfolgender IC-Verarbeitung, wie einem Ätzprozess, als ein Maskierungselement verwendet, wobei eine Resiststruktur der strukturierten Resistschicht auf das Werkstück übertragen wird. Eine Qualität der Resiststruktur wirkt sich direkt auf eine Qualität des IC-Bauelements aus. Da sich IC-Technologien fortlaufend zu kleineren Technologieknoten (zum Beispiel hinunter zu 14 Nanometer, 10 Nanometer und darunter) entwickeln, wurden Linienrandrauheit (LER), Linienbreitenrauheit (LWR) und/oder kritische Abmessungseinheitlichkeit (CDU) der Resiststruktur ausschlaggebend. Mehrere Faktoren beeinflussen LER, LWR und/oder CDU der Resiststruktur, unter denen Absorptionseigenschaften (z.B. Fähigkeit, Strahlung zu absorbieren) und/oder Ausgasungseigenschaften (z.B. Neigung, Kontamination freizusetzen) der Resistschicht sind. Obwohl bestehende Resistschichten und Techniken zur Bildung der Resistschichten im Allgemeinen für deren angedachte Zwecke geeignet waren, waren sie nicht in allen Hinsichten völlig zufriedenstellend und Verbesserungen werden benötigt.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A veranschaulicht Lithografieprozesse, die Metalloxid-Resistschichten verwenden, um Lithografiestruktur-Genauigkeit gemäß verschiedenen Aspekten der vorliegenden Offenbarung zu verbessern.
    • 1B veranschaulicht einen zyklischen Metallresistabscheidungsprozess zur Bildung der Metalloxid-Resistschichten von 1A gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 1C veranschaulicht Draufsichten der Metalloxid-Resistschichten von 1A nach Abscheidung und nach Strukturierung gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 2A veranschaulicht verschiedene Lithografieprozesse, die Metalloxid-Resistschichten verwenden, um Lithografiestrukturgenauigkeit gemäß verschiedenen Aspekten der vorliegenden Offenbarung zu verbessern.
    • 2B veranschaulicht einen zyklischen Metallresistabscheidungsprozess zur Bildung der Metalloxid-Resistschichten von 2A gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 3A veranschaulicht unterschiedliche Lithografieprozesse, die Metalloxid-Resistschichten verwenden, um Lithografiestrukturgenauigkeit gemäß verschiedenen Aspekten der vorliegenden Offenbarung zu verbessern.
    • 3B veranschaulicht einen zyklischen Metallresistabscheidungsprozess zur Bildung der Metalloxid-Resistschichten von 3A gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 4 veranschaulicht einen zyklischen Metallresistabscheidungsprozess zur Bildung einer Metalloxid-Resistschicht gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 5 veranschaulicht einen zyklischen Metallresistabscheidungsprozess zur Bildung einer Metalloxid-Resistschicht gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung bezieht sich im Allgemeinen auf Verfahren zur Herstellung von IC-Bauelementen (Integrated-Circuit-Bauelementen) und insbesondere auf Lithografietechniken und/oder Lithografiematerialien, die während Herstellung von IC-Bauelementen implementiert werden.
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich bloß Beispiele und nicht beabsichtigt begrenzend zu sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen enthalten, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen enthalten, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, sodass das erste und das zweite Merkmal nicht in direktem Kontakt sein könnten. Zusätzlich können räumlich relative Ausdrücke, zum Beispiel „unter“, „ober“, „horizontal“, „vertikal“, „oberhalb“, „über“, „unterhalb“, „darunter“, „nach oben“, „nach unten“, „oberstes“, „unterstes“ usw., wie auch Ableitungen davon (z.B. „horizontal“, „abwärts“, „aufwärts“ usw.) zur Erleichterung der vorliegenden Offenbarung von der Beziehung eines Merkmals zu einem anderen Merkmal verwendet werden. Die räumlich relativen Ausdrücke sollen unterschiedliche Ausrichtungen des Bauelements abdecken, das die Merkmale aufweist. Darüber hinaus, wenn eine Zahl oder eine Spanne von Zahlen mit „im Wesentlichen“, „etwa“, „ungefähr“ und dergleichen beschrieben wird, soll der Ausdruck Zahlen einschließen, die innerhalb einer vernünftigen Spanne sind, die Schwankungen berücksichtigt, die während Herstellung aufkommen, wie ein Durchschnittsfachmann verstehen wird. Zum Beispiel umschließt die Zahl oder Spanne von Zahlen eine vernünftige Spanne, die die beschriebene Zahl aufweist, wie innerhalb von +/- 10 % der beschriebenen Zahl, basierend auf bekannten Herstellungstoleranzen, die mit Herstellung eines Merkmals, das eine Eigenschaft aufweist, die mit der Zahl verknüpft ist, verknüpft sind. Zum Beispiel kann eine Materialschicht mit einer Dicke von „etwa 5 nm“ eine Dimensionsspanne von 4,5 nm bis 5,5 nm einschließen, wenn Fachleuten bekannt ist, dass Herstellungstoleranzen, die mit Abscheidung der Materialschicht verbunden sind, +/- 10 % sind. Noch weiter kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Die Wiederholung dient dem Zweck der Einfachheit und Klarheit und gibt selbst keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Lithografieprozesse werden intensiv bei IC-Herstellung genutzt, wobei verschiedene IC-Strukturen auf ein Werkstück übertragen werden, um ein IC-Bauelement zu bilden. Ein Lithografieprozess involviert, eine Resistschicht über einem Werkstück zu bilden und die Resistschicht mit strukturierter Strahlung zu belichten. Nachdem sie mit der strukturierten Strahlung belichtet wurde, wird die Resistschicht in einem Entwickler (mit anderen Worten, einer chemischen Lösung) entwickelt. Der Entwickler entfernt Abschnitte der Resistschicht (zum Beispiel belichtete Abschnitte von Resistschichten mit positiver Tönung oder unbelichtete Abschnitte von Resistschichten mit negativer Tönung), wodurch eine strukturierte Resistschicht gebildet wird. Die strukturierte Resistschicht wird dann oft als ein Maskierungselement während eines nachfolgenden Prozesses, wie einem Ätzprozess oder einem Implantationsprozess, verwendet, um eine Struktur in der strukturierten Resistschicht (hierin als eine Resiststruktur bezeichnet) auf das Werkstück zu übertragen. Fortschrittliche Lithografiematerialien, wie chemisch verstärkte Resistmaterialien (CAR-Materialien) wurden eingeführt, um Empfindlichkeit (S) der Resistschicht für die Strahlung zu verbessern, wodurch Nutzung der Strahlung maximiert wird. Empfindlichkeit entspricht im Allgemeinen einer Menge einfallender Strahlung (Menge an Energie pro Flächeneinheit), die benötigt wird, um ausreichend chemische Reaktionen hervorzurufen, um eine Struktur in einer Resistschicht zu definieren. Zum Beispiel können CAR-Materialien mehrere chemische Reaktionen bei Belichtung mit Strahlung erzeugen, wodurch eine Reaktion auf die Strahlung chemisch verstärkt wird, die Empfindlichkeit reduziert und dadurch Belichtungsdosen senkt, die benötigt werden, um eine Resistschicht zu definieren. CAR-Materialien enthalten typischerweise ein Polymer, das einem IC-Prozess (z.B. einem Ätzprozess) widersteht, einer säureerzeugende Komponente (z.B. einen Fotosäureerzeuger (PAG)) und eine Lösemittelkomponente. Der PAG erzeugt bei Belichtung mit Strahlung Säure, die als ein Katalysator dient, um chemische Reaktionen zu verursachen, die Löslichkeit belichteter Abschnitte einer Resistschicht verringern (oder erhöhen). Zum Beispiel katalysiert Säure, die von dem PAG erzeugt wird, Quervernetzung des Polymers, wodurch Löslichkeit der freigelegten Abschnitte reduziert wird.
  • Während CAR-Materialien konfiguriert sind, Empfindlichkeit zu reduzieren, müssen CAR-Materialien auch andere Resistleistungscharakteristika erfüllen, wie Auflösung (R), Resistkontrast und Rauheit. Auflösung beschreibt im Allgemeinen eine Fähigkeit eines Resistmaterials, eine Mindestmerkmalgröße mit akzeptabler Qualität und/oder Kontrolle zu drucken (abzubilden), wobei Resistkontrast, Resistdickenverlust, Näheeffekte, Ausdehnung und/oder Zusammenziehen des Resistmaterials (typischerweise durch Entwicklung verursacht) und/oder andere Resistcharakteristika und/oder Lithografiecharakteristika zu der Auflösung beitragen. Resistkontrast bezieht sich im Allgemeinen auf eine Fähigkeit eines Resistmaterials, zwischen hellen (belichteten) Gebieten und dunklen (unbelichteten) Gebieten zu unterscheiden, wobei Resistmaterialien mit höheren Kontrasten bessere Auflösung, Resistprofile und/oder Rauheit bereitstellen. Rauheit, wie Linienrandrauheit (LER) und/oder Linienbreitenrauheit (LWR), beschreibt im Allgemeinen, ob eine Struktur in einer Resistschicht Randvariationen, Breitenvariationen, Variationen kritischer Abmessungen und/oder andere Variationen aufweist. Zum Beispiel beschreibt LER im Allgemeinen Abweichungen bei Rändern einer Linie, wohingegen LWR im Allgemeinen Abweichungen bei einer Breite der Linie beschreibt, wie von einer kritischen Abmessungsbreite (CD-Breite) der Linie. Ein Resistleistungscharakteristikum zu verbessern (z.B. LER zu reduzieren) geht oft auf Kosten, ein anderes Resistleistungscharakteristikum zu verschlechtern (zum Beispiel Empfindlichkeit zu erhöhen), sodass Versuche, gleichzeitig Auflösung, LER, und Sensitivität zu minimieren, oft als RLS-Kompromiss bezeichnet wird. Diesen RLS-Kompromiss zu überwinden, stellt Herausforderungen dabei dar, Lithografieprozessanforderungen für fortschrittliche IC-Technologieknoten, die kontinuierlich kleinere Merkmalgrößen aufweisen und daher zunehmend schrumpfende Resiststrukturabmessungen und feinere Lithografieauflösung benötigen, zu erfüllen.
  • Extremultraviolett-Lithografie (EUV-Lithografie), die Strahlung mit Wellenlängen im EUV-Bereich nutzt, stellt den Erfolg in Aussicht, feinere Litografieauflösungslimits, insbesondere für Sub-10nm-IC-Herstellung, zu erfüllen. Jedoch werden oft CAR-Materialien mit höherer Empfindlichkeit bei EUV-Wellenlängen benötigt, weil Belichtungsdosen, die benötigt werden, um Auflösung, Kontrast und/oder LER-Anforderungen zu erfüllen, gemeinsam mit Durchsatzanforderungen (wie Wafer pro Stunde (WPH)), von herkömmlichen EUV-Quellen begrenzt werden. Zum Beispiel, da eine Anzahl an Photonen, die von einem Volumen eines Resistmaterials absorbiert werden, proportional zur Wellenlänge ist und eine Menge absorbierter Energie proportional zur Belichtungsdosis ist, wird eine insgesamt absorbierte Energie in weniger Photonen diskretisiert, wenn die Wellenlänge abnimmt. Ein Volumen von Resistmaterial absorbiert daher weniger EUV-Photonen als DUV-Photonen, wenn es mit derselben Belichtungsdosis belichtet wird, was oft bedeutet, dass weniger Säure von CAR-Materialien für katalysierende Reaktionen erzeugt wird. Dieses Phänomen wird im Allgemeinen als Schrotrauschen bezeichnet. Daher kann zunehmende EUV-Belichtungsdosis das Schrotrauschen mildern, wodurch Auflösung, Kontrast und/oder Rauheit verbessert werden, was durch zunehmende EUV-Quellleistung oder sinkende Abtastgeschwindigkeit (mit anderen Worten, abnehmendem Durchsatz, wie WPH) erzielt werden kann. Da aktuelle EUV-Quellen begrenzte Fähigkeit aufweisen, die Hochleistungs-EUV-Quellanforderungen für CAR-Materialien zu erfüllen und verringerter Durchsatz keine praktikable Option ist, um IC-Herstellungsanforderungen der nächsten Generation zu erfüllen, werden Metalloxidresistmaterialien, die ausreichend Empfindlichkeit für Strahlung vorweisen, während sie immer noch andere RLS-Charakteristika erfüllen, wie Auflösung und LER, als potenzieller Ersatz für CAR-Materialien bei EUV-Lithografie erforscht.
  • Metalloxidresistmaterialien wurden beobachtet, bessere EUV-Absorptionscharakteristika (z.B. Metalloxidresistmaterialien können mehr EUV-Photonen absorbieren als CAR-Materialien), bessere LER/LWR-Charakteristika (z.B. Metalloxidresistmaterialien sind oft weniger anfällig auf sekundäre Elektronenbelichtung und/oder Säureverstärkungseffekte, die Resisttrübung in CAR-Materialien verursachen) und bessere Ätzcharakteristika als CAR-Materialien (z.B. Metalloxidresistmaterialien erzielen größere Ätzselektivität, wenn sie als eine Ätzmaske verwendet werden, verglichen mit CAR-Materialien) vorzuweisen. Die vorliegende Offenbarung erforscht Abscheidungstechniken, um Strukturierungscharakteristika von Metalloxidresistmaterialien weiter zu verbessern. Zum Beispiel erkennt die vorliegende Offenbarung, dass Metalloxidresistmaterialien, die durch herkömmliche Abscheidungstechniken gebildet werden, zufällige, lockere und oft ungeordnete, nicht dichte und/oder nicht einheitliche Atomstrukturen aufweisen, die LER/LWR und Strukturierungseinheitlichkeit mindern können. Weiter können solche Atomstrukturen zu unerwünschter Ausgasung führen, die Werkstücke kontaminieren kann, die unter Verwendung der Metalloxidresistmaterialien verarbeitet werden, und/oder Prozesswerkzeuge kontaminieren kann, die verwendet werden, um die Metalloxidresistmaterialien zu verarbeiten (z.B. abscheiden, belichten, entwickeln usw.). Die vorliegende Offenbarung schläft daher Verfahren zur Bildung von Metalloxidresistmaterialien mit Atomstrukturen vor, die weniger zufällig, locker und geordneter, dichter und/oder einheitlicher sind als Metalloxidresistmaterialien, die unter Verwendung herkömmlicher Abscheidungstechniken gebildet werden. Die offenbarten Verfahren umfassen Durchführen eines zyklischen Abscheidungsprozesses, um Metalloxid-Resistsubschichten zu bilden, die kombiniert eine Metalloxid-Resistschicht bilden, und Durchführen eines Verdichtungsprozesses, der eine Dichte mindestens einer der Metalloxid-Resistsubschichten erhöht. Der Abscheidungsprozess und der Verdichtungsprozess können in einer selben Prozesskammer durchgeführt werden (d.h. in-situ). Parameter des Abscheidungsprozesses und/oder des Verdichtungsprozesses werden abgestimmt, um einheitliche Dichten oder unterschiedliche Dichten in den Metalloxid-Resistsubschichten zu erzielen, wodurch unterschiedliche Dichteprofile bereitgestellt werden. In manchen Ausführungsformen wird ein Verdichtungsprozess vor dem Abscheidungsprozess durchgeführt, zum Beispiel um Anhaftung der Metalloxid-Resistschicht an einem Werkstück zu verbessern. Metalloxid-Resistschichten, die wie von der vorliegenden Offenbarung beschrieben gebildet werden, können Ausgasung reduzieren, LER/LWR verbessern und/oder Strukturierungseinheitlichkeit über einen Wafer verbessern. Unterschiedliche Ausführungsformen, die hierin offenbart sind, bieten unterschiedliche Vorteile und kein bestimmter Vorteil wird unbedingt in allen Ausführungsformen benötigt.
  • Mit Blick auf 1A - 1C, veranschaulicht 1A Lithografieprozesse, wie einen Lithografieprozess A und einen Lithografieprozess B, die Metalloxid-Resistschichten verwenden, um Lithografiestrukturgenauigkeit gemäß verschiedenen Aspekten der vorliegenden Offenbarung zu verbessern, veranschaulicht 1B einen zyklischen Metallresistabscheidungsprozess A, der verwendet wird, um die Metalloxid-Resistschicht in Lithografieprozess B gemäß verschiedenen Aspekten der vorliegenden Offenbarung zu bilden und veranschaulicht 1C Draufsichten von Werkstücken nach Abscheidung und nach Strukturierung der Metalloxid-Resistschichten, die in Lithografieprozess A und Lithografieprozess B verwendet werden, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. In 1A - 1C ist ein Werkstück 10, zum Teil oder gänzlich, bei einer Zwischenfertigungsstufe eines IC-Bauelements abgebildet, wo Werkstück 10 Lithografieprozess A oder Lithografieprozess B unterzogen wird. In manchen Ausführungsformen ist das IC-Bauelement ein Mikroprozessor, ein Speicher und/oder anderes IC-Bauelement oder ein Abschnitt davon. Werkstück 10 kann ein Abschnitt eines IC-Chips, eines System-auf-einem-Chip (SoC), oder ein Abschnitt davon sein, der verschiedene passive und aktive Mikroelektronikbauelemente, wie Widerstände, Kondensatoren, Induktoren, Dioden, p-Feldeffekttransistoren, n-Feldeffekttransistoren, Metalloxidhalbleiter-Feldeffekttransistoren, komplementäre Metalloxidhalbleiter-Transistoren, Bipolartransistoren, seitlich diffundierte Metalloxidhalbleiter-Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, finnenartige Feldeffekttransistoren, Gate-all-around-Transistoren, andere geeignete IC-Komponenten oder Kombinationen davon aufweist. 1A - 1C wurden zwecks Klarheit vereinfacht, um die erfinderischen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Merkmale können in Werkstück 10, Lithografieprozess A, Lithografieprozess B und/oder zyklischem Metallresistabscheidungsprozess A hinzugefügt werden und manche der unten beschriebenen Merkmale können in anderen Ausführungsformen von Werkstück 10, Lithografieprozess A, Lithografieprozess B und/oder zyklischem Metallresistabscheidungsprozess A ersetzt, modifiziert oder beseitigt werden.
  • in 1A - 1C weist Werkstück 10 einen Wafer 15 und eine Metallschicht 20, die zu verarbeiten ist (hierin auch als eine Unterlageschicht bezeichnet), über Wafer 15 angeordnet auf. Wafer 15 weist ein Substrat (zum Beispiel ein Halbleitersubstrat), eine Maske (auch als eine Fotomaske oder ein Fadennetz bezeichnet), oder ein beliebiges Basismaterial, auf dem Verarbeitung umgesetzt werden kann, um Materialschichten bereitzustellen, um verschiedene Merkmale eines IC-Bauelements zu bilden, auf. Abhängig von IC-Fertigungsstufe, weist Wafer 15 verschiedene Materialschichten auf (zum Beispiel dielektrische Schichten, Halbleiterschichten und/oder Metallschichten), die konfiguriert sind, IC-Merkmale (zum Beispiel n-Wannen, p-Wannen, Isolationsstrukturen (zum Beispiel Grabenisolationsstrukturen und/oder tiefe Grabenisolationsstrukturen), Source/Drain-Merkmale (epitaktische Source/Drain-Merkmale aufweisend), Metallgates und/oder Dummy-Gates, Gate-Abstandhalter, Source/Drain-Kontakte, Gate-Kontakte, Durchkontaktierungen, Metallleitungen, andere IC-Merkmale oder Kombinationen davon) zu bilden. In manchen Ausführungsformen ist Materialschicht 20 eine Halbleiterschicht, die zum Beispiel Silizium, Germanium, Siliziumgermanium, andere geeignete Halbleiterbestandteile oder Kombinationen davon enthält. In manchen Ausführungsformen ist Metallschicht 20 eine Halbleiterschicht, die zum Beispiel Titan, Aluminium, Wolfram, Tantal, Kupfer, Kobalt, Ruthenium, Legierungen davon, andere geeignete Metallbestandteile und/oder Legierungen davon oder Kombinationen davon enthält. In manchen Ausführungsformen ist Materialschicht 20 eine Dielektrikum-Schicht, die zum Beispiel Silizium, Metall, Sauerstoff, Stickstoff, Kohlenstoff, andere geeignete dielektrische Bestandteile oder Kombinationen davon enthält. In manchen Ausführungsformen ist Materialschicht 20 eine Hartmaskenschicht, die zur Verwendung in nachfolgender Verarbeitung von Werkstück 10 zu strukturieren ist. In manchen Ausführungsformen ist Materialschicht 20 eine Antireflexionsbeschichtungsschicht (ARC-Schicht). In manchen Ausführungsformen ist Materialschicht 20 eine Schicht, die zur Bildung eines Gate-Merkmals, wie ein Gate-Dielektrikum und/oder eine Gate-Elektrode, eines Source/Drain-Merkmals, wie ein epitaktischer Source/Drain, und/oder eines Interconnect-Merkmals, wie eine leitfähige Struktur oder eine Dielektrikum-Schicht eines Mehrschicht-Interconnects, von Werkstück 10 zu verwenden ist. In manchen Ausführungsformen, wo Werkstück 10 in eine Maske zur Strukturierung von IC-Bauelementen gefertigt wird, ist Wafer 15 ein Maskensubstrat, das ein durchsichtiges Material und/oder ein Wärmeausdehnungsmaterial (z.B. Glas, Quarz, Siliziumoxidtitan und/oder ein anderes geeignetes Material) enthält, und Materialschicht 20 ist eine Schicht, die zu verarbeiten ist, um eine IC-Struktur darin zu bilden, wie eine Absorberschicht (zum Beispiel enthält Materialschicht 20 Chrom). Die vorliegende Offenbarung erwägt Ausführungsformen, wo Material 20 von Werkstück 10 weggelassen wird und Wafer 15 direkt verarbeitet wird, und Ausführungsformen, wo Materialschicht 20 mehr als eine Materialschicht aufweist.
  • Sowohl Lithografieprozess A als auch Lithografieprozess B beginnen mit Abscheiden einer Metalloxid-Resistschicht, die eine Zieldicke T aufweist, über Materialschicht 20, wie eine Metalloxid-Resistschicht 30 in Lithografieprozess A und eine Metalloxid-Resistschicht 40 in Lithografieprozess B. Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 sind beide für Strahlung empfindlich, die während eines Lithografiebelichtungsprozesses verwendet wird, wie tief ultraviolette Strahlung (DUV-Strahlung), EUV-Strahlung, e-Strahl-Strahlung, Ionenstrahlstrahlung und/oder andere geeignete Strahlung. In manchen Ausführungsformen sind Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 für Strahlung empfindlich, die eine geringer Wellenlänge als etwa 13,5 nm aufweist. Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 enthalten jeweils ein Metall-und-Sauerstoff-haltiges strahlungsempfindliches Material, wobei das Metall Hafnium, Titan, Zirconium, Tantal, Zinn, Lanthan, Indium, Antimon, ein anderer Metallbestandteil, die Strahlungsabsorption (z.B. EUV-Strahlung) und/oder Widerstand gegen einen IC-Prozess erleichtern, der während Fertigung von Werkstück 10 verwendet wird (z.B. Ätzen), oder Kombinationen davon ist. In manchen Ausführungsformen können Metalloxid-Resistschicht 30 und/oder Metalloxid-Resistschicht andere Resistkomponenten aufweisen, die Strahlungsabsorption und/oder Quervernetzungsreaktionen bei Strahlungsbelichtung erleichtern, wie Fotosäureerzeugerkomponente (PAG-Komponente), Wärmesäurenerzeugerkomponente (TAG-Komponente), fotozersetzbare Basiskomponente (PDB-Komponente), andere geeignete Resistkomponenten, oder Kombinationen davon. In manchen Ausführungsformen wird vor Abscheiden von Metalloxid-Resistschicht 30 und/oder Metalloxid-Resistschicht 40 eine ARC-Schicht über Materialschicht 20 gebildet, sodass Metalloxid-Resistschicht 30 und/oder Metalloxid-Resistschicht 40 auf der ARC-Schicht abgeschieden werden. Die ARC-Schicht kann eine stickstofffreie ARC-Schicht (NFARC-Schicht) sein, die Siliziumoxid, Siliziumoxycarbid, andere geeignete Materialien, oder Kombinationen davon enthält. In manchen Ausführungsformen kann mehr als eine Schicht (eine oder mehrere ARC-Schichten aufweisend) zwischen Materialschicht 20 und Metalloxid-Resistschicht 30 und/oder Metalloxid-Resistschicht 40 gebildet werden. Metalloxid-Resistschicht 30 und/oder Metalloxid-Resistschicht 40 werden auch als Metallresistschichten, lichtempfindliche Metallschichten, Metallabbildungsschichten, Metallstrukturierungsschichten und/oder strahlungsempfindliche Metallschichten bezeichnet.
  • Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 werden durch unterschiedliche Abscheidungsprozesse gebildet, was darin resultiert, dass Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 unterschiedliche Charakteristika aufweisen, die Strukturgenauigkeit beeinflussen. In Lithografieprozess A wird Metalloxid-Resistschicht 30 deckend über Materialschicht 20 durch einen chemischen Gasphasenabscheidungsprozess (CVD-Prozess) abgeschieden. In manchen Ausführungsformen umfasst der CVD-Prozess Laden von Werkstück 10, das Materialschicht 20 über Wafer 15 angeordnet aufweist, in eine Prozesskammer, Erhitzen von Werkstück 10 auf eine gewünschte Temperatur (z.B. eine Temperatur, die chemische Reaktionen erleichtert, die benötigt werden, um Metall-und-Sauerstoff-haltiges Resistmaterial über Materialschicht 20 zu bilden), Strömen eines oder mehrerer Vorprodukte und/oder Trägerstoffe in die Prozesskammer, wobei die Vorprodukte reagieren und/oder sich zersetzen, um ein Metall-und-Sauerstoff-haltiges Resistmaterial über Materialschicht 20 zu bilden, und Säuberungsen beliebiger verbleibender Vorprodukte (z.B. unreagierte Vorprodukte), Trägerstoffe und/oder Nebenprodukte aus der Prozesskammer. Das Metall-und-Sauerstoff-haltige Resistmaterial sammelt sich an Materialschicht 20 und der CVD-Prozess wird durchgeführt, bis das Metall-und-Sauerstoff-haltige Resistmaterial, das sich über Materialschicht 20 angesammelt hat, Zieldicke T aufweist. Während des CVD-Prozesses können die Vorprodukte miteinander, mit Materialschicht 20, mit Metall-und-Sauerstoff-haltigem Resistmaterial, das an Materialschicht 20 gesammelt ist, und/oder mit Nebenprodukten chemischer Reaktionen davon reagieren, um Metalloxid-Resistschicht 30 zu bilden. In manchen Ausführungsformen ist der CVD-Prozess eine plasmaverstärkte CVD (PECVD), ein ferner PECVD-Prozess (RPECVD-Prozess), ein metallorganischer CVD-Prozess (MOCVD-Prozess), ein Niederdruck-CVD-Prozess (SACVD-Prozess), ein Ultrahochvakuum-CVD-Prozess (UHVCVD-Prozess), ein Subatmosphärendruck CVD-Prozess (SACVD-Prozess), ein Laser-gestützter CVD-Prozess (LACVD-Prozess), ein Aerosol-gestützter CVD-Prozess (AACVD-Prozess), eine Atomschicht-CVD (ALCVD), ein anderer geeigneter CVD-Prozess oder Kombinationen davon. In manchen Ausführungsformen wird Metalloxid-Resistschicht 30, die Dicke T aufweist, deckend über Materialschicht 20 durch einen Atomschichtabscheidungsprozess (ALD-Prozess), einen physikalischen Gasphasenabscheidungsprozess (PVD-Prozess) oder einen anderen geeigneten Abscheidungsprozess abgeschieden.
  • Weil chemische Reaktionen während des CVD-Prozesses zufällig und/oder unvollständig sind, wurde beobachtet, dass Metalloxid-Resistschicht 30 eine zufällige, lockere und oft nicht geordnete, nicht dichte und/oder nicht einheitliche Atomstruktur vorweisen kann, die Strukturierungseinheitlichkeit mindern kann. Zum Beispiel weist eine Atomstruktur von Metalloxid-Resistschicht 30 zufällig gestapelte, locker gepackte Metallatome, Sauerstoffatome, Einzelmetalloxidmoleküle (Me1Ox, wo x eine Zahl von Sauerstoffatomen ist) und/oder Metalloxidcluster (MeyOz, wo y eine Zahl von Metallatomen ist und z eine Zahl von Sauerstoffatomen ist) auf, die gemeinsam als Metall-und-Sauerstoff-Bestandteile MeO bezeichnet werden können. Metall-und-Sauerstoff-Bestandteile MeO können keine geordnete Anordnung (z.B. eine sich wiederholende Struktur von Metall-und-Sauerstoff-Bestandteilen MEO) aufweisen, was dazu führen kann, dass Metalloxid-Resistschicht 30 ungleiche Cluster von Metall-und-Sauerstoff-Bestandteilen MeO und daher eine nicht einheitliche Dichte (z.B. eine Menge an Metall-und-Sauerstoff-Bestandteilen MeO in einem Abschnitt von Metalloxid-Resistschicht 30 ist unterschiedlich von einer Menge an Metall-und-Sauerstoff-Bestandteilen MeO in einem anderen, ähnlich bemessenen Abschnitt von Metalloxid-Resistschicht 30) aufweisen kann. Da eine Strahlungsmenge, die ein Material absorbieren kann, von seiner Dichte abhängt und Metalloxid-Resistschicht 30 eine nicht einheitliche Dichte aufweist, kann Metalloxid-Resistschicht 30 Strahlung nicht einheitlich absorbieren, was LER/LWR mindert, die durch Strukturierung von Metalloxid-Resistschicht 30 erzielbar ist (z.B. strukturierte Metalloxid-Resistschicht 30 weist größere als gewünschte LER/LWR und verschlechterte Linienbreite und/oder Linienrandeinheitlichkeit vor), und/oder benötigt größere Belichtungsdosen, um ausreichende und/oder einheitliche Absorption von Strahlung sicherzustellen, um LER/LWR zu verbessern. Weiter kann die zufällige Anordnung von Metall-und-Sauerstoff-Bestandteilen MeO zu Lücken und/oder Umsetzungen (V) führen, die sich innerhalb der Atomstruktur von Metalloxid-Resistschicht 30 bilden und unvollständige chemische Reaktionen während des CVD-Prozesses können zu Metall-und-Sauerstoff-Bestandteilen MeO führen, die nicht mit beliebigen anderen Metall-und-Sauerstoff-Bestandteilen MeO von Metalloxid-Resistschicht 30 verbunden (gebunden) sind, wie in 1A abgebildet. Diese „losen“ Metall-und-Sauerstoff-Bestandteile MeO und/oder schwach gebundenen Metall-und-Sauerstoff-Bestandteile MeO können während nachfolgender Verarbeitung ausgasen (d.h. aus Metalloxid-Resistschicht 30 in eine Umgebung der Prozesskammer entweichen), was Werkstück 10 und/oder die Prozesskammer kontaminieren kann. Ausgegaste Metall-und-Sauerstoff-Bestandteile MeO können Filmdefekte verursachen, zum Beispiel indem Metalloxid-Resistschicht 30, Materialschicht 20 und/oder Wafer 15 abgeschabt und/oder abgezogen werden. Weiter, wenn mehrere Wafer verarbeitet werden, um Metalloxid-Resistschichten, wie Metalloxid-Resistschicht 30, in einer Prozesskammer zu bilden, verschlechtert sich Strukturgenauigkeit im Laufe der Zeit, wenn sich Ausgasungskontamination in der Prozesskammer sammelt.
  • Um solche Probleme zu behandeln, schlägt die vorliegende Offenbarung zyklische Metalloxidresistabscheidungsprozesse vor, die Metalloxid-Resistschichten bereitstellen, die dichter als Metalloxid-Resistschicht 30 sind und Strahlung besser absorbieren, sodass niedrigere Belichtungsdosen implementiert werden können, um die Metalloxid-Resistschichten zu strukturieren und immer noch einheitliche Strahlungsabsorption zu erzielen, um LER/LWR zu verbessern. Die dichteren Metalloxid-Resistschichten weisen auch weniger Ausgasung als Metalloxid-Resistschicht 30 vor. In manchen Ausführungsformen weisen Atomstrukturen von Metalloxid-Resistschichten, die von vorgeschlagenen zyklischen Metalloxidresistabscheidungsprozessen gebildet sind, Metall-und-Sauerstoff-Bestandteile in einer geordneten Anordnung (z.B. einer sich wiederholenden Struktur aus Metall-und-Sauerstoff-Bestandteilen) gestapelt auf. In manchen Ausführungsformen weisen Atomstrukturen von Metalloxid-Resistschichten, die von den vorgeschlagenen zyklischen Metalloxidresistabscheidungsprozessen gebildet sind, weniger Lücken und/oder unvollständige Metall-und-Sauerstoff-Bindungen auf (und sind in manchen Ausführungsformen im Wesentlichen frei von Lücken und/oder unvollständigen Metall-und-Sauerstoff-Bindungen), verglichen mit Metalloxid-Resistschicht 30. In manchen Ausführungsformen sind Cluster aus Metall-und-Sauerstoff-Bestandteilen einheitlich in Metalloxid-Resistschichten verteilt, die durch vorgeschlagene zyklische Metalloxidresistabscheidungsprozesse gebildet sind, sodass Metalloxid-Resistschichten, die durch die vorgeschlagenen zyklischen Metalloxidresistabscheidungsprozesse gebildet sind, im Wesentlichen einheitliche Dichten aufweisen (z.B. Mengen an Metall-und-Sauerstoff-Bestandteilen in unterschiedlichen, ähnlich bemessenen Abschnitten der Metalloxid-Resistschichten sind im Wesentlichen dieselben). In manchen Ausführungsformen nimmt eine Konzentration an Metalloxidclustern, eine Metallkonzentration, eine Sauerstoffkonzentration und/oder eine Metalloxidkonzentration in den vorgeschlagenen Metalloxid-Resistschichten von Oberseitenoberflächen zu Bodenoberflächen der Metalloxid-Resistschichten zu oder ab, um abgestufte Dichtencharakteristika zu erzielen (z.B. eine Dichte, die von den Oberseitenoberflächen zu den Bodenoberflächen der Metalloxid-Resistschichten zu oder abnimmt). In manchen Ausführungsformen unterscheidet sich eine Konzentration an Metalloxidclustern, eine Metallkonzentration, eine Sauerstoffkonzentration und/oder eine Metalloxidkonzentration in den vorgeschlagenen Metalloxid-Resistschichten bei unterschiedlichen Tiefen, um gewünschte Dichtencharakteristika zu erzielen, wie die hierin beschriebenen. In manchen Ausführungsformen können die vorgeschlagenen Metalloxid-Resistschichten 12-MeOx-Cluster, 8-MeOx-Cluster, 6-MeOx-Cluster, 4-MeOx-Cluster, Dimer-MeOx-Cluster und/oder Mono-MeOx-Cluster aufweisen, abhängig von gewünschten Dichtencharakteristika, wie die hierin beschriebenen.
  • Mit Blick auf Lithografieprozess B, bildet ein zyklischer Metallresistabscheidungsprozess A Metalloxid-Resistschicht 40 mit einer im Wesentlichen einheitlichen Dichte vom Boden zur Oberseite, wie von einer Bodenoberfläche von Metalloxid-Resistschicht 40 (z.B. angrenzend mit Materialschicht 20) zu einer Oberseitenoberfläche von Metalloxid-Resistschicht 40. Die Dichte von Metalloxid-Resistschicht 40 ist größer als die Dichte von Metalloxid-Resistschicht 30, sodass Metalloxid-Resistschicht 40 mehr Strahlung als Metalloxid-Resistschicht 30 absorbieren kann, wenn sie mit derselben Belichtungsdosis belichtet wird, und solche Strahlung einheitlicher als Metalloxid-Resistschicht 30 absorbieren kann. Zum Beispiel bildet zyklischer Metallresistabscheidungsprozess A eine Metalloxid-Resistsubschicht 40A, eine Metalloxid-Resistsubschicht 40B, eine Metalloxid-Resistsubschicht 40C und eine Metalloxid-Resistsubschicht 40D, die kombiniert Metalloxid-Resistschicht 40 mit Dicke T bilden. Metalloxid-Resistsubschichten 40A - 40D weisen jeweils eine Dicke 11, eine Dicke t2, eine Dicke t3 und eine Dicke t4 auf, wobei eine Summe von Dicke t1, Dicke t2, Dicke t3 und Dicke t4 gleich Zieldicke T ist. Eine Dichte von Metalloxid-Resistsubschicht 40A, eine Dichte von Metalloxid-Resistsubschicht 40B, eine Dichte von Metalloxid-Resistsubschicht 40C und eine Dichte von Metalloxid-Resistsubschicht 40D sind im Wesentlichen dieselbe. In der abgebildeten Ausführungsform sind Dichten von Metalloxid-Resistsubschichten 40A - 40D alle größer als die Dichte von Metalloxid-Resistschicht 30. In der abgebildeten Ausführungsform sind Dicke t1, Dicke t2, Dicke t3 und Dicke t4 im Wesentlichen dieselbe. In manchen Ausführungsformen sind Dicke t1, Dicke t2, Dicke t3 und/oder Dicke t4 unterschiedlich oder dieselbe, abhängig von dem gewünschten Dichteprofil und/oder Dichtencharakteristika.
  • Mit Blick auf 1B umfasst zyklischer Metallresistabscheidungsprozess A vier Zyklen, wobei jeder Zyklus eine von Metalloxid-Resistsubschichten 40A - 40D bildet und jeder Zyklus einen Abscheidungsprozess und einen Verdichtungsprozess umfasst. Zum Beispiel umfasst zyklischer Metallresistabscheidungsprozess A einen Zyklus 1, einen Zyklus 2, einen Zyklus 3 und einen Zyklus 4 (alternativ als Phasen 1-4 bezeichnet). Zyklus 1 umfasst Durchführen eines Abscheidungsprozesses 50-1, um eine Metalloxid-Resistsubschicht 40A' mit Dicke 11 und einer ersten Dichte auf Materialschicht 20 zu bilden, und Durchführen eines Verdichtungsprozesses 52-1 auf Metalloxid-Resistsubschicht 40A', wodurch Metalloxid-Resistsubschicht 40A mit einer zweiten Dichte, größer als die erste Dichte, gebildet wird. Zyklus 2 umfasst Durchführen eines Abscheidungsprozesses 50-2, um eine Metalloxid-Resistsubschicht 40B' mit Dicke t2 und einer dritten Dichte auf Metalloxid-Resistsubschicht 40A zu bilden, und Durchführen eines Verdichtungsprozesses 52-2 an Metalloxid-Resistsubschicht 40B', wodurch Metalloxid-Resistsubschicht 40B mit einer vierten Dichte, größer als die dritte Dichte, gebildet wird. Zyklus 3 umfasst Durchführen eines Abscheidungsprozesses 50-3, um eine Metalloxid-Resistsubschicht 40C' mit Dicke t3 und einer fünften Dichte auf Metalloxid-Resistsubschicht 40B zu bilden, und Durchführen eines Verdichtungsprozesses 52-3 an Metalloxid-Resistsubschicht 40C', wodurch Metalloxid-Resistsubschicht 40C mit einer sechsten Dichte, größer als die fünfte Dichte, gebildet wird. Zyklus 4 umfasst Durchführen eines Abscheidungsprozesses 50-4, um eine Metalloxid-Resistsubschicht 40D' mit Dicke t4 und einer siebten Dichte an Metalloxid-Resistsubschicht 40C zu bilden, und Durchführen eines Verdichtungsprozesses 52-4 an Metalloxid-Resistsubschicht 40D', wodurch Metalloxid-Resistsubschicht 40D mit einer achten Dichte, größer als die siebente Dichte, gebildet wird. In der abgebildeten Ausführungsform sind die zweite Dichte, die vierte Dichte, die sechste Dichte und die achte Dichte (d.h. Dichten nach Verdichtungsprozessen 52-1 - 52-4) im Wesentlichen dieselbe, sodass Metalloxid-Resistschicht 40 im Wesentlichen eine einheitliche Dichte vom Boden zur Oberseite aufweist. In manchen Ausführungsformen sind die erste Dichte, die dritte Dichte, die fünfte Dichte und die siebente Dichte (d.h. Dichten Metall-und-Sauerstoff-haltiger Resistmaterialien wie abgeschieden) im Wesentlichen dieselbe. In manchen Ausführungsformen sind die erste Dichte, die dritte Dichte, die fünfte Dichte und/oder die siebte Dichte dieselbe wie eine Dichte von Metalloxid-Resistschicht 30. In manchen Ausführungsformen sind die erste Dichte, die dritte Dichte, die fünfte Dichte und/oder die siebente Dichte unterschiedlich. In manchen Ausführungsformen können Verdichtungsprozesse jeweils 52-1 - 52-4 Dicke t1, Dicke t2, Dicke t3 und/oder Dicke t4 reduzieren, sodass Dicken ti - t4 von Metalloxid-Resistsubschichten 40A' - 40D'wie abgeschieden jeweils größer als jeweilige Dicken 11 - t4 von Metalloxid-Resistsubschichten 40A - 40D sind.
  • In manchen Ausführungsformen sind Abscheidungsprozesse 50-1 - 50-4 CVD-Prozesse. In manchen Ausführungsformen sind Abscheidungsprozesse 50-1 - 50-4 ALD-Prozesse. Nach dem Laden von Werkstück 10, das Materialschicht 20 über Wafer 15 angeordnet aufweist, in eine Prozesskammer, kann jeder der Abscheidungsprozesse 50-1 - 50-4 Erwärmen von Werkstück 10 auf eine gewünschte Temperatur (z.B. eine Temperatur, die chemische Reaktionen erleichtert, die benötigt werden, um Metall-und-Sauerstoff-haltiges Resistmaterial über Materialschicht 20 zu bilden), Strömen eines oder mehrerer Abscheidungsvorprodukte und/oder Trägerstoffe in die Prozesskammer, wobei die Abscheidungsvorprodukte reagieren und/oder sich zersetzen, um ein Metall-und-Sauerstoff-haltiges Resistmaterial über Materialschicht 20 zu bilden, und Säuberungsen beliebiger verbleibender Abscheidungsvorprodukte (z.B. unreagierte Abscheidungsvorprodukte), Trägerstoffe und/oder Nebenprodukte aus der Prozesskammer umfassen. Jeder der Abscheidungsprozesse 50-1 - 50-4 umfasst mindestens eine Abscheidungsphase und mindestens eine Säuberungsphase. Das Metall-und-Sauerstoff-haltige Resistmaterial sammelt sich während der Abscheidungsphase an Materialschicht 20 und die Abscheidungsphase wird durchgeführt, bis das Metall-und-Sauerstoff-haltige Resistmaterial, das sich über Materialschicht 20 sammelt, Dicke t1, Dicke t2, Dicke t3 oder Dicke t4 aufweist, abhängig von der Zyklenzahl. Während der Abscheidungsphase können die Abscheidungsvorprodukte miteinander, mit Materialschicht 20, mit Metall-und-Sauerstoff-haltigem Resistmaterial, das sich an Materialschicht 20 sammelt, und/oder mit Nebenprodukten von chemischen Reaktionen davon reagieren, um Metalloxid-Resistsubschichten 40A - 40D zu bilden. In manchen Ausführungsformen weisen die Abscheidungsvorprodukte ein metallhaltiges Vorprodukt, ein Reaktionsgas und/oder einen Trägerstoff auf. In manchen Ausführungsformen enthält das metallhaltige Vorprodukt MaRbXc, wobei 1 ≤ a ≤ 2, b ≥ 1 und c ≥ 1 ist. In manchen Ausführungsformen ist b + c ≤ 5. In manchen Ausführungsformen ist M Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu. In manchen Ausführungsformen ist R eine substituierte Alkylgruppe, eine substituierte Alkenylgruppe, eine substituierte Carboxylatgruppe, eine unsubstituierte Alkylgruppe, eine unsubstituierte Alkenylgruppe oder eine unsubstituierte Carboxylatgruppe. In manchen Ausführungsformen ist X eine Halidgruppe oder eine Sulfonatgruppe. In manchen Ausführungsformen enthält das Reaktionsgas Amin, Wasser, Ozon, Wasserstoffperoxid, andere geeignete Reaktionsgasbestandteile oder Kombinationen davon. In manchen Ausführungsformen enthält das Trägergas Argon (z.B. Ar), Helium (z.B. He), Stickstoff (z.B. N2), andere geeignete Trägerstoffgasbestandteile oder Kombinationen davon. In manchen Ausführungsformen ist eine Flussrate eines Abscheidungsvorprodukts etwa 10 sccm bis etwa 1.000 sccm. In manchen Ausführungsformen ist eine Flussrate eines Trägerstoffs etwa 100 sccm bis etwa 10.000 sccm. In manchen Ausführungsformen wird eine Leistung auf ein Abscheidungsvorprodukt angewendet, um ein Plasma zu erzeugen, wie eine Leistung von etwa 10 W bis etwa 1.000 W. In manchen Ausführungsformen wird das Plasma durch eine Funkfrequenz-Leistungsquelle (RF-Leistungsquelle) erzeugt, sodass die Leistung RF-Leistung ist. In manchen Ausführungsformen ist eine Dauer der Abscheidungsphase etwa 3 Sekunden bis etwa 3.600 Sekunden. In manchen Ausführungsformen ist ein Druck, der während der Abscheidungsphase in der Prozesskammer aufrechterhalten wird, etwa 0,1 Torr bis etwa 150 Torr. In manchen Ausführungsformen ist eine Temperatur, die während der Abscheidungsphase in der Abscheidungsphase aufrechterhalten wird, etwa 25 °C bis etwa 300 °C. In manchen Ausführungsformen kann die Säuberungsphase umfassen, ein Inertgas (z.B. ein Argon-haltiges Gas, ein Helium-haltiges Gas, ein anderes geeignetes Inertgas oder Kombinationen davon) in die Prozesskammer zu strömen. In manchen Ausführungsformen ist die Flussrate des Inertgases etwa 100 sccm bis etwa 10.000 sccm. In manchen Ausführungsformen ist eine Dauer der Säuberungsphase etwa 3 Sekunden bis etwa 1.000 Sekunden. In manchen Ausführungsformen ist ein Druck, der während der Säuberungsphase in der Prozesskammer aufrechterhalten wird, etwa 10 Torr bis etwa 760 Torr. In manchen Ausführungsformen ist eine Temperatur, die während der Säuberungsphase in der Prozesskammer aufrechterhalten wird, etwa 25 °C bis etwa 300 °C. In manchen Ausführungsformen sind Abscheidungsprozesse 50-1 - 50-4 dieselben. In manchen Ausführungsformen sind Abscheidungsprozesse 50-1 - 50-4 unterschiedlich. In manchen Ausführungsformen sind Abscheidungsprozesse 50-1 - 50-4 eine beliebige Kombination von Abscheidungsprozessen, um gewünschtes Dichteprofil und/oder gewünschte Dichtecharakteristika von Metalloxid-Resistschicht 40 zu erzielen.
  • Verdichtungsprozesse 52-1 - 52-4 umfassen eine Behandlungsphase, die Werkstück 10 einer Behandlung unterzieht, die Metall-und-Sauerstoff-haltige Resistmaterialien verdichten (d.h. eine Dichte davon erhöhen) kann, und eine Säuberungsphase. In manchen Ausführungsformen modifiziert die Behandlung eine Atomstruktur von Metall-und-Sauerstoff-haltigen Resistmaterialien, sodass die Atomstruktur nach der Behandlung geordneter und/oder enger gepackt ist. Zum Beispiel ordnet die Behandlung Metallatome und/oder Sauerstoffatome der Metall-und-Sauerstoff-haltigen Resistmaterialien neu an, sodass die Metall-und-Sauerstoff-haltigen Resistmaterialien eine geordnete Anordnung von Metallatomen und/oder Sauerstoffatomen nach der Behandlung aufweisen und/oder weniger Abstand zwischen Metallatomen und/oder Sauerstoffatomen nach der Behandlung aufweisen. In manchen Ausführungsformen stärkt die Behandlung Metall-und-Sauerstoff-Bindung und/oder erhöht Einheitlichkeit von Metall-und-Sauerstoff-Bindung in Metall-und-Sauerstoff-haltigen Resistmaterialien. Zum Beispiel induziert die Behandlung chemische Reaktionen, sodass teilweise reagierte Bestandteile von Metall-und-Sauerstoff-haltigen Resistmaterialien nach der Behandlung vollständig reagiert sind und/oder unreagierte Bestandteile von Metall-und-Sauerstoff-haltigen Resistmaterialien und/oder in der Prozesskammer nach der Behandlung teilweise reagiert oder vollständig reagiert sind. In manchen Ausführungsformen induziert die Behandlung teilweise Quervernetzung in den Metall-und-Sauerstoff-haltigen Resistmaterialien, was Dichten von Metall-und-Sauerstoff-haltigen Resistmaterialien erhöhen kann. Die Säuberungsphase entfernt (spült) beliebige verbleibende Vorprodukte (z.B. unreagierte Abscheidungsvorprodukte, unreagierte Behandlungsvorprodukte, „lose“ reagierte Vorprodukte, „lose“ Metall-und-Sauerstoff-Bestandteile und/oder andere „lose“ reagierte Bestandteile), Trägerstoffe und/oder Nebenprodukte von der Prozesskammer, was Ausgasung von Metalloxid-Resistschicht 40 und Kontamination von Werkstück 10 und/oder der Prozesskammer, die daraus entsteht, verglichen mit Metalloxid-Resistschicht 30 reduziert. In manchen Ausführungsformen umfasst die Säuberungsphase Strömen eines Inertgases (z.B. ein Argon-haltiges Gas, ein Helium-haltiges Gas, ein anderes geeignetes Inertgas oder Kombinationen davon) in die Prozesskammer. In manchen Ausführungsformen ist eine Flussrate des Inertgases etwa 100 sccm bis etwa 10.000 sccm. In manchen Ausführungsformen ist eine Dauer der Säuberungsphase etwa 3 Sekunden bis etwa 600 Sekunden. In manchen Ausführungsformen ist ein Druck, der während der Säuberungsphase in der Prozesskammer aufrechterhalten wird, etwa 10 Torr bis etwa 760 Torr. In manchen Ausführungsformen ist eine Temperatur, die während der Säuberungsphase in der Prozesskammer aufrechterhalten wird, etwa 25 °C bis etwa 300 °C.
  • Beispielbehandlungen, die eine Dichte von Metall-und-Sauerstoff-haltigen Resistmaterialien erhöhen und/oder Modifikationen der Metall-und-Sauerstoff-haltigen Resistmaterialien, wie hierin beschrieben, erzielen können, umfassen einen Plasmaverdichtungsprozess, einen Softbake-Prozess, einen UV-Bestrahlungsprozess, einen Infrarotbestrahlungsprozess (IR-Bestrahlungsprozess), einen anderen geeigneten Verdichtungsprozess oder Kombinationen davon. In manchen Ausführungsformen sind Verdichtungsprozesse 52-1 - 52-4 dieselben Behandlungstypen (z.B. Verdichtungsprozesse 52-1 - 52-4 sind alle Plasmaverdichtungsprozesse). In manchen Ausführungsformen sind Verdichtungsprozesse 52-1 - 52-4 unterschiedliche Behandlungstypen (z.B. Verdichtungsprozesse 52-1, 52-4 sind Plasmaverdichtungsprozesse, während Verdichtungsprozesse 52-1, 52-3 Softbake-Prozesse sind). In manchen Ausführungsformen sind Verdichtungsprozesse 52-1 - 52-4 eine beliebige Kombination von Verdichtungsbehandlungen, um gewünschtes Dichteprofil und/oder gewünschte Dichtecharakteristika von Metalloxid-Resistschicht 40 zu erzielen.
  • In manchen Ausführungsformen umfasst ein Plasmaverdichtungsprozess Strömen eines oder mehrerer Verdichtungsvorprodukte und/oder Trägerstoffe in die Prozesskammer, Erzeugen eines Plasmas aus den Verdichtungsvorprodukten und Belichten einer Metalloxid-Resistsubschicht mit dem Plasma (z.B. Beschießen der Metalloxid-Resistsubschicht mit dem Plasma). In manchen Ausführungsformen weisen die Verdichtungsvorprodukte ein metallhaltiges Vorprodukt, ein Reaktionsgas und/oder einen Trägerstoff auf. In manchen Ausführungsformen enthält das metallhaltige Vorprodukt MaRbXc, wobei 1 ≤ a ≤ 2, b ≥ 1 und c ≥ 1 ist. In manchen Ausführungsformen ist b + c ≤ 5. In manchen Ausführungsformen ist M Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce oder Lu. In manchen Ausführungsformen ist R eine substituierte Alkylgruppe, eine substituierte Alkenylgruppe, eine substituierte Carboxylatgruppe, eine unsubstituierte Alkylgruppe, eine unsubstituierte Alkenylgruppe oder eine unsubstituierte Carboxylatgruppe. In manchen Ausführungsformen ist X eine Halidgruppe oder eine Sulfonatgruppe. In manchen Ausführungsformen enthält das Reaktionsgas Amin, Wasser, Ozon, Wasserstoffperoxid, ein anderes geeignetes Reaktionsgas oder Kombinationen davon. In manchen Ausführungsformen enthält ein Trägergas Argon (z.B. Ar), Helium (z.B. He), Stickstoff (z.B. N2), andere geeignete Trägerstoffgasbestandteile oder Kombinationen davon. In manchen Ausführungsformen ist eine Flussrate eines Verdichtungsvorprodukts etwa 10 sccm bis etwa 1.000 sccm. In manchen Ausführungsformen ist eine Flussrate eines Trägerstoffs etwa 100 sccm bis etwa 10.000 sccm. In manchen Ausführungsformen ist eine Leistung, die auf ein Verdichtungsvorprodukt und/oder einen Trägerstoff angewendet wird, um das Plasma zu erzeugen, etwa 10 W bis etwa 1.000 W. In manchen Ausführungsformen ist die Leistung, die auf das Verdichtungsvorprodukt und/oder den Trägerstoff angewendet wird, um das Plasma zu erzeugen, niedrigere Leistung, wie geringer als etwa 100 W. In manchen Ausführungsformen wird das Plasma durch eine RF-Leistungsquelle erzeugt, sodass die Leistung RF-Leistung ist. In manchen Ausführungsformen wird die Metalloxid-Resistsubschicht mit dem Plasma für etwa 3 Sekunden bis etwa 3.600 Sekunden belichtet. In manchen Ausführungsformen ist ein Druck, der während des Plasmaverdichtungsprozesses in der Prozesskammer aufrechterhalten wird, etwa 0,1 Torr bis etwa 150 Torr. In manchen Ausführungsformen ist eine Temperatur, die während des Plasmaverdichtungsprozesses in der Prozesskammer aufrechterhalten wird, etwa 25 °C bis etwa 300 °C.
  • In manchen Ausführungsformen erwärmt ein Softbake-Prozess (auch als ein Temperprozess und/oder ein Wärmeprozess bezeichnet) Werkstück 10 (das eine oder mehr Metalloxid-Resistsubschichten aufweist) für eine Zeit. Der Softbake-Prozess kann Wärme auf eine Vorderseite von Werkstück 10 (z.B. auf eine oberste Metalloxid-Resistsubschicht von Werkstück 10), einen Boden von Werkstück 10 (z.B. auf Wafer 15), Seiten von Werkstück 10 oder Kombinationen davon anwenden. In manchen Ausführungsformen erwärmt der Softbake-Prozess Werkstück 10 auf eine Temperatur von etwa 80 °C bis etwa 250 °C. In manchen Ausführungsformen wird Werkstück 10 für etwa 60 Sekunden bis etwa 300 Sekunden gebacken (getempert). In manchen Ausführungsformen ist ein Druck, der während des Softbake-Prozesses in der Prozesskammer aufrechterhalten wird, etwa 0,1 Torr bis etwa 150 Torr. In manchen Ausführungsformen wird Werkstück 10 in einer Inertgas-Umgebung (die zum Beispiel Argon, Helium und/oder anderen Inertgas-Bestandteil aufweist) oder einer reaktiven Gasumgebung (die zum Beispiel Sauerstoff, Wasserstoff, Stickstoff und/oder anderen reaktiven Gasbestandteil aufweist) gebacken (getempert).
  • In manchen Ausführungsformen belichtet ein UV-Strahlungsprozess eine oder mehrere Metalloxid-Resistsubschichten von Werkstück 10 mit UV-Strahlung für eine Zeit. In manchen Ausführungsformen weist die UV-Strahlung eine Wellenlänge von etwa 10 nm bis etwa 400 nm auf. In manchen Ausführungsformen wird die Metalloxid-Resistsubschicht mit UV-Strahlung für etwa 60 Sekunden bis etwa 3.600 Sekunden belichtet. In manchen Ausführungsformen erwärmt der UV-Strahlungsprozess Werkstück 10 auf eine Temperatur von etwa 20 °C bis etwa 25 °C. In manchen Ausführungsformen ist ein Druck, der während des UV-Strahlungsprozesses in der Prozesskammer aufrechterhalten wird, etwa 1 × 10-5 Torr bis etwa 1 × 10-4 Torr. In manchen Ausführungsformen wird Werkstück 10 mit UV-Strahlung in einer Inertgas-Umgebung (die zum Beispiel Argon, Helium und/oder anderen Inertgas-Bestandteil aufweist) oder einer reaktiven Gasumgebung (die zum Beispiel Sauerstoff, Wasserstoff, Stickstoff und/oder anderen reaktiven Gasbestandteil aufweist) behandelt.
  • In manchen Ausführungsformen belichtet ein Infrarotstrahlungsprozess (IR-Strahlungsprozess) eine oder mehrere Metalloxid-Resistsubschichten von Werkstück 10 mit IR-Strahlung für eine Zeit. In manchen Ausführungsformen weist die IR-Strahlung eine Wellenlänge auf, die größer als etwa 300 nm ist. In manchen Ausführungsformen ist die IR-Strahlung weitinfrarote Strahlung (FIR-Strahlung), die eine Wellenlänge von zum Beispiel etwa 50 µm bis etwa 1.000 µm aufweist. In manchen Ausführungsformen wird die Metalloxid mit IR-Strahlung für etwa 10 Sekunden bis etwa 600 Sekunden belichtet. In manchen Ausführungsformen erwärmt der IR-Strahlungsprozess Werkstück 10 auf eine Temperatur von etwa 25 °C bis etwa 250 °C. In manchen Ausführungsformen ist ein Druck, der während des IR-Strahlungsprozesses in der Prozesskammer aufrechterhalten wird, etwa 0,1 Torr bis etwa 150 Torr. In manchen Ausführungsformen wird Werkstück 10 mit IR-Strahlung in einer Inertgas-Umgebung (die zum Beispiel Argon, Helium und/oder anderen Inertgas-Bestandteil aufweist) oder einer reaktiven Gasumgebung (die zum Beispiel Sauerstoff, Wasserstoff, Stickstoff und/oder anderen reaktiven Gasbestandteil aufweist) behandelt.
  • In manchen Ausführungsformen wird ein Vorabscheidungsbehandlungsprozess durchgeführt, bevor Abscheidungsprozesse 50-1 - 50-4 durchgeführt werden, um Anhaftung von Metalloxid-Resistschicht 40 an Materialschicht 20 zu verbessern und Ablösen von Metalloxid-Resistschicht 40 von Materialschicht 20 zu reduzieren. In manchen Ausführungsformen ist der Vorabscheidungsbehandlungsprozess mit Abscheidungsprozess 50-1 kombiniert. Zum Beispiel kann eine Abscheidungsphase einen Vorabscheidungsabschnitt und einen Abscheidungsabschnitt umfassen, wobei Abscheidungsparameter während Abscheidungsprozess 50-1 angepasst werden, um von dem Vorabscheidungsabschnitt zu dem Abscheidungsabschnitt zu wechseln, wie Abscheidungsvorproduktflussrate, Leistung, Zeit und/oder Temperatur. Abscheidungsparameter des Vorabscheidungsabschnitts können abgestimmt werden, um chemische Reaktionen (und damit Vernetzung und/oder Bindung) zwischen Abscheidungsvorprodukt und Materialschicht 20 zu erhöhen, um eine Metall-und-Sauerstoffhaltiges Seed-Material auf Materialschicht 20 zu bilden. Abscheidungsparameter des Abscheidungsabschnitts können abgestimmt werden, um Metall-und-Sauerstoff-haltiges Material zu bilden, das Dichtencharakteristika aufweist, die für Metalloxid-Resistsubschicht 40A gewünscht werden. In solchen Ausführungsformen kann das Metall-und-Sauerstoff-haltige Seed-Material einen Abschnitt von Metalloxid-Resistsubschicht 40A bilden. In manchen Ausführungsformen ist der Vorabscheidungsbehandlungsprozess ein Plasmabehandlungsprozess. Die vorliegende Offenbarung erwägt den Vorabscheidungsbehandlungsprozess, der eine beliebige Behandlung umfasst, die an Werkstück 10 durchgeführt werden kann, um Anhaftung von Metalloxid-Resistschicht 40 daran zu erhöhen.
  • Abscheidungsprozesse 50-1 - 50-4 und Verdichtungsprozesse 52-1- 52-4 werden in-situ durchgeführt. Wie hierin verwendet, wird der Ausdruck „in-situ“ verwendet, um Prozesse zu beschreiben, die durchgeführt werden, während ein Werkstück innerhalb eines Verarbeitungssystems (z.B. ein CVD-Werkzeug) bleibt und wo zum Beispiel das Verarbeitungssystem dem Werkstück erlaubt, unter Vakuumbedingungen zu bleiben. Daher kann der Ausdruck „in-situ“ sich auch allgemein auf Prozesse beziehen, in denen das Werkstück, das verarbeitet wird, einer Außenumgebung (z.B. außerhalb des Verarbeitungssystems) nicht ausgesetzt wird. Nachfolgende Verarbeitung, wie ein Belichtungsprozess und ein Entwicklungsprozess, können ex-situ durchgeführt werden (d.h. Werkstück 10 wird aus einem CVD-Werkzeug und in ein Belichtungswerkzeug und/oder ein Entwicklungswerkzeug übertragen). In der abgebildeten Ausführungsform werden Abscheidungsprozesse 50-1 - 50-4 und Verdichtungsprozesse 52-1 - 52-4 in einer selben Prozesskammer des Verarbeitungssystems durchgeführt, wie eine Prozesskammer eines CVD-Werkzeugs. In manchen Ausführungsformen werden Abscheidungsprozesse 50-1 - 50-4 in einer ersten Prozesskammer eines Mehrkammerverarbeitungssystems durchgeführt, Verdichtungsprozesse 52-1 - 52-4 werden in einer zweiten Prozesskammer des Mehrkammerverarbeitungssystems durchgeführt und Werkstück 10 wird keiner Außenumgebung ausgesetzt und bleibt unter Vakuum, während es zwischen der ersten Prozesskammer und der zweiten Prozesskammer und innerhalb des Mehrkammer-IC-Verarbeitungssystems 100 übertragen wird, um Metalloxid-Resistschicht 40 zu bilden.
  • Zurück zu 1A, fahren nach Abscheiden von Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 Lithografieprozess A und Lithografieprozess B mit Belichtungsprozessen fort, die Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 mit strukturierter Strahlung belichten. In manchen Ausführungsformen weist die strukturierte Strahlung eine geringere Wellenlänge als etwa 250 nm auf, wie DUV-Strahlung, EUV-Strahlung und/oder andere geeignete Strahlung. In der abgebildeten Ausführungsform ist die strukturierte Strahlung EUV-Strahlung, wie Strahlung, die eine geringere Wellenlänge als etwa 13,5 nm aufweist. In manchen Ausführungsformen, wie abgebildet, wird eine Maske 60, die eine IC-Struktur darin definiert aufweist, verwendet, um strukturierte Strahlung bereitzustellen, die ein Abbild der IC-Struktur auf Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 bilden kann. Maske 60 blockiert, lässt durch und/oder reflektiert Strahlung zu Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40, abhängig von einer Maskenstruktur von Maske 60 und/oder einem Maskentyp (zum Beispiel, Binärmaske, Phasenverschiebungsmaske oder EUV-Maske). Der Belichtungsprozess kann in Luft, Flüssigkeit (Immersionslithografie) oder Vakuum (zum Beispiel, wenn Werkstück 10 mit EUV-Strahlung und/oder e-Strahl belichtet wird) durchgeführt werden. In manchen Ausführungsformen moduliert der Belichtungsprozess Strahlung, wie einen Elektronenstrahl (e-Strahl) oder einen Ionenstrahl, gemäß einer IC-Struktur direkt, ohne eine Maske, wie Maske 60, zu verwenden.
  • Da Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 empfindliche für Strahlung sind, werden latente Strukturen auf Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 durch die Belichtungsprozesse gebildet. Latente Struktur bezieht sich im Allgemeinen auf eine Struktur, die auf einer Resistschicht belichtet wird, die eine physische Resiststruktur wird, nachdem die Resistschicht einem Entwicklungsprozess unterzogen wurde. In 1A weist die latente Struktur von Metalloxid-Resistschicht 30 belichtete Abschnitte 30E und unbelichtete Abschnitte 30U auf und die latente Struktur von Metalloxid-Resistschicht 40 weist belichtete Abschnitte 40E und unbelichtete Abschnitte 40U auf. Belichtete Abschnitte 30E, 40E ändern sich physikalisch und/oder chemisch in Reaktion auf den Belichtungsprozess. In der abgebildeten Ausführungsform veranlasst der Belichtungsprozess chemische Reaktionen in belichteten Abschnitten 30E, 40E, die Löslichkeit belichteter Abschnitte 30E, 40E durch einen Entwickler verringern. In manchen Ausführungsformen sind belichtete Abschnitte 30E, 40E durch den Entwickler unlöslich. In manchen Ausführungsformen wird nach den Belichtungsprozessen ein Nachbelichtungsbackprozess (PEB-Prozess) an Metalloxid-Resistschicht 30 und/oder Metalloxid-Resistschicht 40 durchgeführt. Der PEB-Prozess erhöht eine Temperatur von Metalloxid-Resistschicht 30 und/oder Metalloxid-Resistschicht 40 auf etwa 90 °C bis etwa 250 °C. Weil Metalloxid-Resistschicht 40 eine dichte und einheitliche Atomstruktur aufweist, während Metalloxid-Resistschicht 30 eine lockere und zufällige Atomstruktur aufweist (siehe zum Beispiel Draufsichten von Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 nach Abscheidung in 1C), kann eine Belichtungsdosis strukturierter Strahlung, die auf Metalloxid-Resistschicht 40 projiziert wird, geringer als eine Belichtungsdosis strukturierter Strahlung sein, die auf Metalloxid-Resistschicht 30 projiziert wird. In manchen Ausführungsformen kann die Belichtungsdosis strukturierter Strahlung, die auf Metalloxid-Resistschicht 40 projiziert wird, etwa 0,1-mal geringer als die Belichtungsdosis strukturierter Strahlung sein, die auf Metalloxid-Resistschicht 30 projiziert wird. Weiter, im Gegensatz zu Metalloxid-Resistschicht 30, kann Metalloxid-Resistschicht 40 keine (oder minimale) Ausgasung während des Belichtungsprozesses, des PEB-Prozesses und/oder anderer nachfolgender Prozesse vorweisen, wodurch Filmdefekte an Werkstücken, die durch Ausgasungskontamination verursacht werden reduziert (und in manchen Ausführungsformen verhindert) werden und/oder Reduktionen von Strukturgenauigkeit im Laufe der Zeit, die von Ausgasungskontamination, die sich innerhalb einer Prozesskammer sammelt, wenn Werkstücke verarbeitet werden, um Metalloxidschichten zu bilden, begrenzen (und in manchen Ausführungsformen verhindern).
  • Lithografieprozess A und Lithografieprozess B fahren dann jeweils damit fort, einen Entwicklungsprozess an Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 durchzuführen, wodurch eine strukturierte Metalloxid-Resistschicht 30' beziehungsweise eine strukturierte Metalloxid-Resistschicht 40' gebildet wird. Die Entwicklungsprozesse lösen belichtete (oder unbelichtete) Abschnitte von Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 abhängig von Charakteristika von Metalloxid-Resistschicht 30 beziehungsweise Metalloxid-Resistschicht 40 und Charakteristika einer Entwicklungslösung, die in dem Entwicklungsprozess verwendet wird, auf. In der abgebildeten Ausführungsform werden Entwicklungsprozesse mit negativer Tönung (NTD-Prozesse) durchgeführt, um unbelichtete Abschnitte 30U von Metalloxid-Resistschicht 30 und unbelichtete Abschnitte 40U von Metalloxid-Resistschicht 40 zu entfernen. Zum Beispiel werden NTD-Entwickler auf Metalloxid-Resistschicht 30 und Metalloxid-Resistschicht 40 angewendet, was unbelichtete Abschnitte 30U und unbelichtete Abschnitte 40U auflöst und strukturierte Metalloxid-Resistschicht 30', die Öffnungen 62 durch belichtete Abschnitte 30E definiert aufweist, und strukturierte Metalloxid-Resistschicht 30', die Öffnungen 64 durch belichtete Abschnitte 40E definiert aufweist, überlässt ( von denen beide jeweilige verbleibende Abschnitte von Metalloxid-Resistsubschichten 40A - 40D aufweisen). Nach Entwicklung weisen strukturierte Metalloxid-Resistschicht 30' und strukturierte Metalloxid-Resistschicht 40' Resiststrukturen auf, die der IC-Struktur von Maske 30 entsprechen. Weil Metalloxid-Resistschicht 40 eine dichte und einheitliche Struktur aufweist, während Metalloxid-Resistschicht 30 eine lose und zufällige Struktur aufweist, absorbiert Metalloxid-Resistschicht 40 strukturierte Strahlung einheitlicher als Metalloxid-Resistschicht 30 und belichtete Abschnitte 40E weisen relativ glatte Ränder und/oder Seitenwände auf, verglichen mit belichteten Abschnitten 30E von Metalloxid-Resistschicht 30. Strukturierte Metalloxid-Resistschicht 40' weist daher bessere LER/LWR und kritische Abmessungseinheitlichkeit auf, als strukturierte MetalloxidResistschicht 30', was Lithografieauflösung erheblich verbessert. Siehe zum Beispiel Draufsichten von strukturierter Metalloxid-Resistschicht 30' und strukturierter Metalloxid-Resistschicht 40' in 1C.
  • Die vorliegende Offenbarung offenbart weiter Verwendung eines zyklischen Metallresistabscheidungsprozesses, um Dichteprofil und/oder Dichtecharakteristika einer Metalloxid-Resistschicht zu steuern, um gewünschte Leistung der Metalloxid-Resistschicht während Strukturierung (d.h. Belichtung und Entwicklung) zu erhalten und bestimmte Strukturcharakteristika zu optimieren. In manchen Ausführungsformen können eine Zahl an Zyklen (d.h. eine Zahl an Metalloxidsubschichten), eine Dicke pro Zyklus (d.h. Dicke der Metalloxidsubschichten), eine Dichte pro Zyklus (d.h. Dichten der Metalloxidsubschichten) und/oder eine Zykluszeit abgestimmt (angepasst) werden, um gewünschtes Dichteprofil, gewünschte Dichtencharakteristika und/oder gewünschte optimierte Leistungsparameter einer Metalloxid-Resistschicht zu erzielen. In manchen Ausführungsformen werden Parameter der Abscheidungsprozesse, wie Abscheidungsprozesse 50-1 - 50-4, abgestimmt, um gewünschtes Dichteprofil, gewünschte Dichtencharakteristika und/oder gewünschte optimierte Leistungsparameter von Metalloxid-Resistsubschichten zu erzielen. Abscheidungsparameter können Abscheidungsvorprodukttyp, Abscheidungsvorproduktfluss, Abscheidungsdruck, Abscheidungstemperatur, Abscheidungsleistung, Abscheidungszeit, einen anderen Abscheidungsparameter oder Kombinationen davon aufweisen. In manchen Ausführungsformen werden Parameter der Verdichtungsprozesse, wie Verdichtungsprozesse 52-1 - 52-3, abgestimmt, um gewünschtes Dichteprofil, gewünschte Dichtencharakteristika und/oder gewünschte optimierte Leistungsparameter von Metalloxid-Resistsubschichten zu erzielen. Verdichtungsparameter können Behandlungszeit, Behandlungstemperatur, Behandlungswellenlänge, Behandlungsleistung, Behandlungsvorprodukt, Behandlungsvorproduktfluss, einen anderen Behandlungsparameter oder Kombinationen davon aufweisen.
  • Manchmal, während eines Belichtungsprozesses, kann strukturierte Strahlung eine Resistschicht entlang ihrer Tiefe nicht einheitlich belichten. Zum Beispiel empfängt eine Oberseite der Resistschicht eine höhere Belichtungsdosis als ein Boden der Resistschicht. Absorption von Belichtungsphotonen (z.B. EUV-Photonen) können entsprechend von der Oberseite zum Boden der Resistschicht abnehmen, was Quervernetzung in der Resistschicht von der Oberseite zum Boden der Resistschicht reduziert. Dieses Phänomen kann in Lithografieprozess A, wie in 2A abgebildet, beobachtet werden, wo eine Zahl an EUV-Photonen (P) bei Oberseitenabschnitten belichteter Abschnitte 30E von Metalloxid-Resistschicht 30 niedriger als eine Zahl von EUV-Photonen bei Bodenabschnitten belichteter Abschnitte 30E von Metalloxid-Resistschicht 30 ist. Es treten daher weniger chemische Reaktionen (z.B. Quervernetzung) in Bodenabschnitten belichteter Abschnitte 30E verglichen mit Oberseitenabschnitten von belichteten Abschnitten 30E auf und Bodenabschnitte belichteter Abschnitte 30E sind teilweise für einen Entwickler löslich (anstatt unlöslich). Als ein Resultat weist eine Resiststruktur, die von belichteten Abschnitten 30E definiert wird, Vorspannungen (Unterschiede) in kritischen Oberseitenabmessungen (TCDs) und kritischen Bodenabmessungen (BCDs) vor, wodurch Strukturgenauigkeit gemindert wird, die von strukturierter Metalloxid-Resistschicht 30' bereitgestellt wird. In manchen Ausführungsformen, wie abgebildet, weisen belichtete Abschnitte 30E konische Seitenwände auf, wobei Breiten belichteter Abschnitte 30E von der Oberseite zum Boden abnehmen und TCDs belichteter Abschnitte 30E größer als BCDs belichteter Abschnitte 30E sind.
  • Lithografieprozess C implementiert einen zyklischen Metallresistabscheidungsprozess B, um eine Metalloxid-Resistschicht 80 bereitzustellen, die für solch ein Phänomen verantwortlich ist und Absorption von Belichtungsphotonen (z.B. EUV-Photonen) von der Oberseite zum Boden optimiert. Metalloxid-Resistschicht 80 weist eine abgestufte Dichte auf, die vom Boden zur Oberseite abnimmt, wie von einer Bodenoberfläche von Metalloxidschicht 80 (z.B. an Materialschicht 20 angrenzend) zu einer Oberseitenoberfläche von Metalloxid-Resistschicht 80. Zum Beispiel bildet ein zyklischer Metallresistabscheidungsprozess B eine Metalloxid-Resistsubschicht 80A, eine Metalloxid-Resistsubschicht 80B und eine Metalloxid-Resistsubschicht 80C, die kombiniert Metalloxid-Resistschicht 80 mit Dicke T bilden. Im Gegensatz zu Metalloxid-Resistschicht 40 (wo Metalloxid-Resistsubschichten 40A - 40D im Wesentlichen dieselben Dichten aufweisen), ist eine Dichte von Metalloxid-Resistsubschicht 80A größer als eine Dichte von Metalloxid-Resistsubschicht 80B und eine Dichte von Metalloxid-Resistsubschicht 80B ist größer als eine Dichte von Metalloxid-Resistsubschicht 80C, sodass Dichte von Metalloxid-Resistschicht 80 vom Boden zur Oberseite abnimmt. Metalloxid-Resistschicht 80 mit einem Oberseitenabschnitt mit niedriger Dichte (d.h. Metalloxid-Resistsubschicht 80C) zu konfigurieren, erlaubt Belichtungsphotonen, leichter durch Metalloxid-Resistschicht 80 zu einem Bodenabschnitt von Metalloxidschicht 80 zu gehen und erhöht daher eine Zahl an Photonen, die den Bodenabschnitt von Metalloxidschicht 80 erreichen. Metalloxid-Resistschicht 80 mit einem Bodenabschnitt mit einer hohen Dichte (d.h. Metalloxid-Resistsubschicht 80A) zu konfigurieren, erhöht Absorption von Belichtungsphotonen durch den Bodenabschnitt der Metalloxid-Resistschicht 80. Die abgestufte Dichte von Metalloxid-Resistschicht 80 erhöht daher Quervernetzung in dem Bodenabschnitt der Metalloxid-Resistschicht 80. Zum Beispiel, während des Belichtungsprozesses, ist eine Menge chemischer Reaktionen (z.B. Quervernetzung) in Bodenabschnitten belichteter Abschnitte 80E im Wesentlichen dieselbe wie eine Menge chemischer Reaktionen in Oberseitenabschnitten belichteter Abschnitte 80E, sodass belichtete Abschnitte 80E einheitlich unlöslich (z.B. von der Oberseite zum Boden) durch einen Entwickler werden, während unbelichtete Abschnitte 80U von dem Entwickler löslich bleiben. Als ein Resultat, wird nach Entwicklung eine Resiststruktur durch eine strukturierte Metalloxid-Resistschicht 80', die Öffnungen 82 aufweist, die von belichteten Abschnitten 80E definiert werden, die minimale (bis keine) Vorspannung in TCDs und BCDs aufweisen (d.h. TCDs sind im Wesentlichen dieselben wie BCDs), bereitgestellt, wodurch Strukturgenauigkeit verbessert wird. In manchen Ausführungsformen, wie abgebildet, weisen belichtete Abschnitte 80E im Wesentlichen parallele Seitenwände auf und Breiten belichteter Abschnitte 80E sind im Wesentlichen von der Oberseite zum Boden dieselben. In manchen Ausführungsformen weist der Oberseitenabschnitt mit niedriger Dichte von Metalloxid-Resistschicht 80 eine lose, zufällige und/oder nichteinheitliche Atomstruktur auf und der Bodenabschnitt mit hoher Dichte von Metalloxid-Resistschicht 80 weist eine dichte, geordnete und/oder einheitliche Atomstruktur auf.
  • In manchen Ausführungsformen ist eine Gesamtdichte (z.B. Durchschnittsdichte) von Metalloxid-Resistschicht 80 größer als eine Gesamtdichte (z.B. Durchschnittsdichte) von Metalloxid-Resistschicht 30, sodass Metalloxid-Resistschicht 80 mehr Strahlung absorbieren kann als Metalloxid-Resistschicht 30, wenn sie mit derselben Belichtungsdosis belichtet wird, und diese Strahlung einheitlicher absorbieren kann als Metalloxid-Resistschicht 30. In der abgebildeten Ausführungsform sind die Dichte von Metalloxid-Resistsubschicht 80A und die Dichte von Metalloxid-Resistsubschicht 80B größer als die Dichte von Metalloxid-Resistschicht 30, während die Dichte von Metalloxid-Resistsubschicht 80C im Wesentlichen dieselbe oder geringer als die Dichte von Metalloxid-Resistschicht 30 ist. In manchen Ausführungsformen sind Dichten von Metalloxid-Resistsubschichten 80A - 80C alle größer als die Dichte von Metalloxid-Resistschicht 30. Metalloxidsubschichten 80A - 80C können jeweilige Dichtenprofile aufweisen, wie eine im Wesentlichen durchgängig einheitliche Dichte, eine abgestufte Dichte, die von einer Bodenoberfläche zu einer Oberseitenoberfläche zunimmt oder abnimmt, eine wechselnde Dichte oder ein anderes geeignetes Dichteprofil. In der abgebildeten Ausführungsform weist jede von Metalloxidsubschichten 80A - 80C eine im Wesentlichen einheitliche Dichte auf. In manchen Ausführungsformen ist eine Atomstruktur von Metalloxid-Resistsubschicht 80A geordneter und/oder enger gepackt als eine Atomstruktur von Metalloxid-Resistsubschicht 80B und eine Atomstruktur von Metalloxid-Resistsubschicht 80B ist geordneter und/oder enger gepackt als eine Atomstruktur von Metalloxid-Resistsubschicht 80C. Metalloxid-Resistsubschichten 80A - 80C weisen jeweils eine Dicke t5, eine Dicke t6 und eine Dicke t7 auf, wobei eine Summe von Dicke t5, Dicke t6 und Dicke t7 gleich Zieldicke T ist. In der abgebildeten Ausführungsform sind Dicke t5, Dicke t6 und Dicke t7 im Wesentlichen dieselbe. In manchen Ausführungsformen sind Dicke t5, Dicke t6 und/oder Dicke t7 unterschiedlich und/oder dieselbe, abhängig von gewünschtem Dichteprofil und/oder Dichtencharakteristika, die für Metalloxid-Resistschicht 80 gewünscht werden.
  • 2B veranschaulicht zyklischen Metallresistabscheidungsprozess B gemäß verschiedenen Aspekten der vorliegenden Offenbarung. In 2B umfasst zyklischer Metallresistabscheidungsprozess B drei Zyklen, wobei jeder Zyklus eine von Metalloxid-Resistsubschichten 80A - 80C bildet, jeder Zyklus einen Abscheidungsprozess umfasst und manche Zyklen einen Verdichtungsprozess umfassen. Zum Beispiel umfasst zyklischer Metallresistabscheidungsprozess B einen Zyklus 1, einen Zyklus 2 und einen Zyklus 3. Zyklus 1 umfasst Durchführen eines Abscheidungsprozesses 90-1, um eine Metalloxid-Resistsubschicht 80A', die Dicke t5 und ein erste Dichte aufweist, auf Materialschicht 20 zu bilden und Durchführen eines Verdichtungsprozesses 92-1 an Metalloxid-Resistsubschicht 80A', wodurch Metalloxid-Resistsubschicht 80A, die eine zweite Dichte, größer als die erste Dichte aufweist, gebildet wird. Zyklus 2 umfasst Durchführen eines Abscheidungsprozesses 90-2, um eine Metalloxid-Resistsubschicht 80B', die Dicke t6 und eine dritte Dichte aufweist, auf Metalloxid-Resistsubschicht 80A zu bilden und Durchführen eines Verdichtungsprozesses 92-2 an Metalloxid-Resistsubschicht 80B', wodurch Metalloxid-Resistsubschicht 80B gebildet wird, die eine vierte Dichte, größer als die dritte Dichte und geringer als die zweite Dichte von Metalloxid-Resistsubschicht 80A, aufweist. Zyklus 3 umfasst Durchführen eines Abscheidungsprozesses 90-3, um Metalloxid-Resistsubschicht 80C zu bilden, die eine fünfte Dichte aufweist, die geringer als die vierte Dichte ist. Kein Verdichtungsprozess wird während Zyklus 3 durchgeführt. Abscheidungsprozesse 90-1 - 90-3 sind ähnlich Abscheidungsprozessen 50-1 - 50-4, die zuvor beschrieben wurden, Verdichtungsprozesse 92-1 - 92-2 sind ähnlich Verdichtungsprozessen 52-1 - 52-4 und Parameter von Abscheidungsprozessen 90-1 - 90-3 und Verdichtungsprozesse 92-1, 92-2 können konfiguriert sein, gewünschte Dichteprofile und/oder gewünschte Dichtecharakteristika von Metalloxid-Resistsubschichten 80A', 80B', Metalloxid-Resistsubschichten 80A - 80C und Metalloxid-Resistschicht 80 zu erzielen. In der abgebildeten Ausführungsform sind die zweite Dichte, die vierte Dichte und die fünfte Dichte (d.h. Dichten der Metall-und-Sauerstoff-haltigen Resistmaterialien nach jedem Zyklus) unterschiedlich, sodass Metalloxid-Resistschicht 80 eine Dichte aufweist, die von der Oberseite zum Boden zunimmt. In manchen Ausführungsformen sind die erste Dichte, die dritte Dichte und die fünfte Dichte (d.h. Dichten der Metall-und-Sauerstoff-haltigen Resistmaterialien wie abgeschieden) im Wesentlichen dieselbe. In manchen Ausführungsformen sind die erste Dichte, die dritte Dichte und/oder die fünfte Dichte unterschiedlich. In manchen Ausführungsformen sind die erste Dichte, die dritte Dichte und/oder die fünfte Dichte dieselbe wie die Dichte von Metalloxid-Resistschicht 30.
  • Manchmal, während eines Belichtungsprozesses, treten ungeplante chemische Reaktionen (z.B. Quervernetzung) in unbelichteten Abschnitten einer Resistschicht auf. Dieses Phänomen kann in Lithografieprozess A, wie in 3A abgebildet, beobachtet werden, wo chemische Reaktionen ungewollt und ungeplant in Abschnitten von Metalloxid-Resistschicht 30 auftreten, die von Maske 30 abgebdeckt sind (d.h. unbelichtete Abschnitte 30U), wodurch ungeplant belichtete Abschnitte UE gebildet werden, die teilweise durch einen Entwickler unlöslich (anstatt löslich) sind. Als ein Resultat werden unbelichtete Abschnitte 30U von dem Entwickler nicht vollständig entfernt, was Resistreste S in unbelichteten Bereichen von Werkstück 10 überlässt. Resistreste S entsprechen ungeplant belichteten Abschnitten UE, die Resistabtrag (z.B. Resistreste, die Bereiche von Materialschicht 20 daran hindern, strukturiert zu werden) und/oder Resistsatz (z.B. Resistreste bei Böden von belichteten Abschnitten 30E, die kritische Abmessungsvariationen und/oder LER/LWR-Variationen verursachen)) sein können. Lithografieprozess D, in 3A abgebildet, implementiert einen zyklischen Metallresistabscheidungsprozess C, um eine Metalloxid-Resistschicht 100 bereitzustellen, die für das Phänomen verantwortlich ist und des minimiert.
  • Metalloxid-Resistschicht 100 weist eine abgestufte Dichte auf, die vom Boden zur Oberseite, wie von einer Bodenoberfläche von Metalloxidschicht 100 (z.B. angrenzend an Materialschicht 20) zu einer Oberseitenoberfläche von Metalloxid-Resistschicht 100, zunimmt. Zum Beispiel bildet zyklischer Metallresistabscheidungsprozess C eine Metalloxid-Resistsubschicht 100A, eine Metalloxid-Resistsubschicht 100B und eine Metalloxid-Resistsubschicht 100C, die kombiniert Metalloxid-Resistschicht 100 mit Dicke T bilden. Im Gegensatz zu Metalloxid-Resistschicht 40 (wo Metalloxid-Resistsubschichten 40A - 40D im Wesentlichen dieselben Dichten aufweisen), ist eine Dichte von Metalloxid-Resistsubschicht 100A geringer als eine Dichte von Metalloxid-Resistsubschicht 100B und eine Dichte von Metalloxid-Resistsubschicht 100B ist geringer als eine Dichte von Metalloxid-Resistsubschicht 100C, sodass Dichte von Metalloxid-Resistschicht 100 vom Boden zur Oberseite zunimmt. Metalloxid-Resistschicht 100 mit einem Bodenabschnitt mit niedriger Dichte (d.h. Metalloxid-Resistsubschicht 100A) zu konfigurieren, verringert Absorption von Belichtungsphotonen durch den Bodenabschnitt der Metalloxid-Resistschicht 100 und reduziert damit Frequenz ungeplanter chemischer Reaktionen in unbelichteten Abschnitten von Metalloxid-Resistschicht 100. Metalloxid-Resistschicht 100 mit einem Oberseitenabschnitt mit hoher Dichte (d.h. Metalloxid-Resistsubschicht 100B und Metalloxid-Resistsubschicht 100C) zu konfigurieren, erhöht Absorption von Belichtungsphotonen durch den Oberseitenabschnitt der Metalloxid-Resistschicht 100. Die abgestufte Dichte von Metalloxid-Resistschicht 100 verringert daher Quervernetzung in dem Bodenabschnitt der Metalloxid-Resistschicht 100, während Quervernetzung in dem Oberseitenabschnitt der Metalloxid-Resistschicht 100 erhöht wird. Zum Beispiel, während des Belichtungsprozesses, kann eine Menge chemischer Reaktionen (z.B. Quervernetzung) in Bodenabschnitten von belichteten Abschnitten 100E geringer als eine Menge chemischer Reaktionen in Oberseitenabschnitten belichteter Abschnitte 100E sein, wodurch eine Frequenz ungeplanter chemischer Reaktionen, wie teilweise Quervernetzung, in unbelichteten Abschnitten 100U, die neben Bodenabschnitten belichteter Abschnitte 100E liegen, reduziert wird. Als ein Resultat, nach Entwicklung, wird eine Resiststruktur durch eine strukturierte Metalloxid-Resistschicht 100' bereitgestellt, die Öffnungen 102 durch gutdefinierte belichtete Abschnitte 100E mit minimalen bis keinen Resistresten definiert aufweist, wodurch Strukturgenauigkeit verbessert wird. In manchen Ausführungsformen, wie abgebildet, weisen belichtete Abschnitte 100E im Wesentlichen parallele Seitenwände auf und Breiten belichteter Abschnitte 100E sind im Wesentlichen von der Oberseite zum Boden dieselbe. In manchen Ausführungsformen weist der Bodenabschnitt mit niedriger Dichte von Metalloxid-Resistschicht 100 eine lose, zufällige und/oder nichteinheitliche Atomstruktur auf und der Oberseitenabschnitt mit hoher Dichte von Metalloxid-Resistschicht 100 weist eine dichte, geordnete und/oder einheitliche Atomstruktur auf.
  • In manchen Ausführungsformen ist eine Gesamtdichte (z.B. Durchschnittsdichte von Metalloxid-Resistschicht 100 größer als eine Gesamtdichte (z.B. Durchschnittsdichte) von Metalloxid-Resistschicht 30, sodass Metalloxid-Resistschicht 100 mehr Strahlung als Metalloxid-Resistschicht 30 absorbieren kann, wenn sie mit derselben Belichtungsdosis belichtet wird, und diese Strahlung einheitlicher als Metalloxid-Resistschicht 30 absorbieren kann. In der abgebildeten Ausführungsform sind die Dichte von Metalloxid-Resistsubschicht 100C und die Dichte von Metalloxid-Resistsubschicht 100B größer als die Dichte von Metalloxid-Resistschicht 30, während die Dichte von Metalloxid-Resistsubschicht 100A im Wesentlichen dieselbe oder geringer als die Dichte von Metalloxid-Resistschicht 30 ist. In Unterstützung der abgebildeten Ausführungsform, ist die Dichte von Metalloxid-Resistsubschicht 100C größer als die Dichte von Metalloxid-Resistsubschicht 100B. In manchen Ausführungsformen sind die Dichte von Metalloxid-Resistsubschicht 100C und die Dichte von Metalloxid-Resistsubschicht 100B im Wesentlichen dieselbe. In manchen Ausführungsformen sind Dichten von Metalloxid-Resistsubschichten 100A - 100C alle größer als die Dichte von Metalloxid-Resistschicht 30. Metalloxidsubschichten 100A - 100C können jeweilige Dichtenprofile aufweisen, wie eine im Wesentlichen gänzlich einheitliche Dichte, eine abgestufte Dichte, die von einer Bodenoberfläche zu einer Oberseitenoberfläche zunimmt oder abnimmt, eine wechselnde Dichte oder ein anderes geeignetes Dichteprofil. In der abgebildeten Ausführungsform weist jede von Metalloxidsubschichten 100A - 100C eine im Wesentlichen einheitliche Dichte auf. In manchen Ausführungsformen ist eine Atomstruktur von Metalloxid-Resistsubschicht 100A weniger geordnet und/oder enger gepackt als eine Atomstruktur von Metalloxid-Resistsubschicht 100B und eine Atomstruktur von Metalloxid-Resistsubschicht 100B ist weniger geordnet und/oder enger gepackt als eine Atomstruktur von Metalloxid-Resistsubschicht 100C. Metalloxid-Resistsubschichten 100A- 100C weisen jeweils eine Dicke t8, eine Dicke t9 und eine Dicke t10 auf, wobei eine Summe von Dicke t8, Dicke t9 und Dicke t10 gleich Zieldicke T ist. In der abgebildeten Ausführungsform sind Dicke t8, Dicke t9 und Dicke t10 im Wesentlichen dieselbe. In manchen Ausführungsformen sind Dicke t8, Dicke t9 und/oder Dicke t10 unterschiedlich und/oder dieselbe, abhängig von gewünschtem Dichteprofil und/oder gewünschten Dichtencharakteristika.
  • 3B veranschaulicht einen zyklischen Metallresistabscheidungsprozess C gemäß verschiedenen Aspekten der vorliegenden Offenbarung. in 3B umfasst zyklischer Metallresistabscheidungsprozess C drei Zyklen, wobei jeder Zyklus eine von Metalloxid-Resistsubschichten 100A - 100C bildet, jeder Zyklus einen Abscheidungsprozess umfasst und manche Zyklen einen Verdichtungsprozess umfassen. Zum Beispiel umfasst zyklischer Metallresistabscheidungsprozess C einen Zyklus 1, einen Zyklus 2 und einen Zyklus 3. Zyklus 1 umfasst Durchführen eines Abscheidungsprozesses 100-1, um Metalloxid-Resistsubschicht 100A mit Dicke t8 und einer ersten Dichte auf Materialschicht 20 zu bilden. Kein Verdichtungsprozess wird während Zyklus 1 durchgeführt. Zyklus 2 umfasst Durchführen eines Abscheidungsprozesses 110-2, um eine Metalloxid-Resistsubschicht 100B' mit Dicke t9 und einer zweiten Dichte auf Metalloxid-Resistsubschicht 100A zu bilden, und Durchführen eines Verdichtungsprozesses 112-1 an Metalloxid-Resistsubschicht 100B', wodurch Metalloxid-Resistsubschicht 100B mit einer dritten Dichte, die größer als die zweite Dichte und die erste Dichte von Metalloxid-Resistsubschicht 100A ist, gebildet wird. Zyklus 3 umfasst Durchführen eines Abscheidungsprozesses 100-3, um Metalloxid-Resistsubschicht 100C' mit Dicke t10 und einer vierten Dichte zu bilden, und Durchführen eines Verdichtungsprozesses 112-2 an Metalloxid-Resistsubschicht 100C', wodurch Metalloxid-Resistsubschicht 100C mit einer fünften Dichte, größer als die vierte Dichte und die dritte Dichte von Metalloxid-Resistsubschicht 100B ist, gebildet wird. Abscheidungsprozesse 110-1 - 110-3 sind ähnlich Abscheidungsprozessen 50-1 - 50-4, die zuvor beschrieben wurden, Verdichtungsprozesse 112-1, 112-2 sind ähnlich Verdichtungsprozessen 52-1 - 52-4 und Parameter von Abscheidungsprozessen 110-1 - 110-3 und Verdichtungsprozessen 112-1, 112-2 können konfiguriert werden, um gewünschte Dichteprofile und/oder gewünschte Dichtencharakteristika von Metalloxid-Resistsubschichten 100B', 100C', Metalloxid-Resistsubschichten 100A - 100C und Metalloxid-Resistschicht 100 zu erzielen. In der abgebildeten Ausführungsform sind die erste Dichte, die dritte Dichte und die fünfte Dichte (d.h. Dichten der Metall-und-Sauerstoff-haltigen Resistmaterialien nach jedem Zyklus) unterschiedlich, sodass Metalloxid-Resistschicht 100 eine Dichte aufweist, die von der Oberseite zum Boden abnimmt. In manchen Ausführungsformen sind die dritte Dichte und die fünfte Dichte dieselbe, aber unterschiedlich von und größer als die erste Dichte. In manchen Ausführungsformen sind die erste Dichte, die zweite Dichte und die vierte Dichte (d.h. Dichten der Metall-und-Sauerstoff-haltigen Resistmaterialien wie abgeschieden) im Wesentlichen dieselbe. In manchen Ausführungsformen sind die erste Dichte, die zweite Dichte und/oder die vierte Dichte unterschiedlich. In manchen Ausführungsformen sind die erste Dichte, die zweite Dichte und/oder die vierte Dichte dieselbe wie die Dichte von Metalloxid-Resistschicht 30.
  • 4 veranschaulicht einen zyklischen Metallresistabscheidungsprozess D zur Bildung einer Metalloxid-Resistschicht 120, der in einem Lithografieprozess verwendet werden kann, um Strukturgenauigkeit gemäß verschiedenen Aspekten der vorliegenden Offenbarung zu verbessern. Metalloxid-Resistschicht 120 weist vom Boden zur Oberseite eine wechselnde Dichte auf (z.B. locker-dicht-locker-dicht), wie von einer Bodenoberfläche von Metalloxidschicht 120 (z.B. an Materialschicht 20 angrenzend) zu einer Oberseitenoberfläche von Metalloxid-Resistschicht 120. Zum Beispiel bildet zyklischer Metallresistabscheidungsprozess D eine Metalloxid-Resistsubschicht 120A, eine Metalloxid-Resistsubschicht 120B, eine Metalloxid-Resistsubschicht 120C und eine Metalloxid-Resistsubschicht 120D, die kombiniert Metalloxid-Resistschicht 120 mit Dicke T bilden. Metalloxid-Resistsubschichten 120A - 120D weisen jeweils eine Dicke t11, eine Dicke t12, eine Dicke t13 und eine Dicke t14 auf, wobei eine Summe von Dicke t11, Dicke t12, Dicke t13 und Dicke t14 gleich Zieldicke T ist. Im Gegensatz zu Metalloxid-Resistschicht 40 (wo Metalloxid-Resistsubschichten 40A - 40D im Wesentlichen dieselben Dichten aufweisen), ist eine Dichte von Metalloxid-Resistsubschicht 120B größer als eine Dichte von Metalloxid-Resistsubschicht 120A, eine Dichte von Metalloxid-Resistsubschicht 120C ist geringer als eine Dichte von Metalloxid-Resistsubschicht 120B und eine Dichte von Metalloxid-Resistsubschicht 120D ist größer als eine Dichte von Metalloxid-Resistsubschicht 120C, sodass eine Dichte von Metalloxid-Resistschicht 120 niedrig-hoch abwechselt und/oder eine Atomstruktur von Metalloxid-Resistschicht 120 lose-dicht vom Boden zur Oberseite abwechselt. Ein wechselndes Dichteprofil kann verschiedene Strukturierungsbelange ausgleichen, um Strukturgenauigkeit zu optimieren. Zum Beispiel reduziert Metalloxid-Resistschicht 120 mit einem Bodenabschnitt mit niedriger Dichte (d.h. Metalloxid-Resistsubschicht 120A) zu konfigurieren, Resistabtrag und/oder Resistbasisdefekte, während Metalloxid-Resistschicht 120 mit einem Oberseitenabschnitt mit hoher Dichte (d.h. Metalloxid-Resistsubschicht 120D) zu konfigurieren, minimiert Ausgasung und damit Ausgasungskontamination, die Metalloxid-Resistschicht 120 entspringt. Weiter kann Metalloxid-Resistschicht 120 mit einem Mittelabschnitt mit abgestufter Dichte, die von der Oberseite zum Boden abnimmt (d.h. Metalloxid-Resistsubschicht 120B und Metalloxid-Resistsubschicht 120C) zu konfigurieren, Strahlungsabsorption verbessern, wodurch LER/LWR und/oder kritische Abmessungseinheitlichkeit verbessert wird.
  • In manchen Ausführungsformen ist eine Gesamtdichte (z.B. Durchschnittsdichte) von Metalloxid-Resistschicht 120 größer als eine Gesamtdichte (z.B. Durchschnittsdichte) von Metalloxid-Resistschicht 30, sodass Metalloxid-Resistschicht 120 mehr Strahlung als Metalloxid-Resistschicht 30 absorbieren kann, wenn sie mit derselben Belichtungsdosis belichtet wird, und diese Strahlung einheitlicher absorbiert als Metalloxid-Resistschicht 30. In der abgebildeten Ausführungsform sind die Dichten von Metalloxid-Resistsubschichten 120B - 120D größer als die Dichte von Metalloxid-Resistschicht 30, während die Dichte von Metalloxid-Resistsubschicht 120A im Wesentlichen dieselbe oder geringer als die Dichte von Metalloxid-Resistschicht 30 ist. In manchen Ausführungsformen ist die Dichte von Metalloxid-Resistsubschicht 120D eine maximale Dichte von Metalloxid-Resistschicht 120, die Dichte von Metalloxid-Resistsubschicht 120A ist eine minimale Dichte von Metalloxid-Resistschicht 120 und die Dichte von Metalloxid-Resistsubschicht 120C ist zwischen der maximalen Dichte und der minimalen Dichte. In manchen Ausführungsformen ist die Dichte von Metalloxid-Resistsubschicht 120B dieselbe wie die Dichte von Metalloxid-Resistsubschicht 120D. In manchen Ausführungsformen ist die Dichte von Metalloxid-Resistsubschicht 120B geringer als die Dichte von Metalloxid-Resistsubschicht 120D, aber größer als die Dichte von Metalloxid-Resistsubschicht 120C. In manchen Ausführungsformen sind Dichten von Metalloxid-Resistsubschichten 120A - 120D alle größer als die Dichte von Metalloxid-Resistschicht 30. Metalloxidsubschichten 120A - 120D können jeweilige Dichteprofile aufweisen, wie eine im Wesentlichen gänzlich einheitliche Dichte, eine abgestufte Dichte, die von einer Bodenoberfläche zu einer Oberseitenoberfläche zunimmt oder abnimmt, eine wechselnde Dichte oder ein anderes geeignetes Dichteprofil. In der abgebildeten Ausführungsform weist jede von Metalloxidsubschichten 120A - 120D eine im Wesentlichen gänzlich einheitliche Dichte auf.
  • In 4 umfasst zyklischer Metallresistabscheidungsprozess D vier Zyklen, wobei jeder Zyklus eine von Metalloxid-Resistsubschichten 120A - 120D bildet, jeder Zyklus einen Abscheidungsprozess umfasst und manche Zyklen einen Verdichtungsprozess umfassen. Zum Beispiel umfasst zyklischer Metallresistabscheidungsprozess D einen Zyklus 1, einen Zyklus 2, einen Zyklus 3 und einen Zyklus 4. Zyklus 1 umfasst Durchführen eines Abscheidungsprozesses 130-1, um Metalloxid-Resistsubschicht 130A mit Dicke t11 und einer ersten Dichte auf Materialschicht 20 zu bilden. Kein Verdichtungsprozess wird während Zyklus 1 durchgeführt. Zyklus 2 umfasst Durchführen eines Abscheidungsprozesses 130-2, um eine Metalloxid-Resistsubschicht 120B' mit Dicke t12 und einer zweiten Dichte auf Metalloxid-Resistsubschicht 120A zu bilden und Durchführen eines Verdichtungsprozesses 132-1 an Metalloxid-Resistsubschicht 120B', wodurch Metalloxid-Resistsubschicht 120B mit einer dritten Dichte, größer als die zweite Dichte und die erste Dichte von Metalloxid-Resistsubschicht 120A, gebildet wird. Dicke t12 ist größer als Dicke t11. Zyklus 3 umfasst Durchführen eines Abscheidungsprozesses 130-3, um Metalloxid-Resistsubschicht 120C' mit Dicke 113 und einer vierten Dichte zu bilden und Durchführen eines Verdichtungsprozesses 132-2 an Metalloxid-Resistsubschicht 120C', wodurch Metalloxid-Resistsubschicht 120C mit einer fünften Dichte, größer als die vierte Dichte und geringer als die dritte Dichte von Metalloxid-Resistsubschicht 120B, gebildet wird. Dicke 113 ist geringer als Dicke t12 und größer als Dicke t11. Zyklus 4 umfasst Durchführen eines Abscheidungsprozesses 130-4, um Metalloxid-Resistsubschicht 120D' mit Dicke t14 und einer sechsten Dichte zu bilden und Durchführen eines Verdichtungsprozesses 132-3 an Metalloxid-Resistsubschicht 120D', wodurch Metalloxid-Resistsubschicht 120D mit einer siebten Dichte, größer als die sechste Dichte und größer als die fünfte Dichte von Metalloxid-Resistsubschicht 120C, gebildet wird. Dicke t14 ist geringer als Dicke t13. In der abgebildeten Ausführungsform ist die siebente Dichte im Wesentlichen dieselbe wie die dritte Dichte. Abscheidungsprozesse 130-1 - 130-4 sind ähnlich Abscheidungsprozessen 50-1 - 50-4, die zuvor beschrieben wurden, Verdichtungsprozesse 132-1 - 132-3 sind ähnlich Verdichtungsprozessen 52-1 - 52-4 und Parameter von Abscheidungsprozessen 130-1 - 130-4 und Verdichtungsprozesse 132-1 - 132-3 können konfiguriert sein, gewünschte Dichteprofile und/oder gewünschte Dichtencharakteristika von Metalloxid-Resistsubschichten 120B' - 120D', Metalloxid-Resistsubschichten 120A - 120D und Metalloxid-Resistschicht 120 zu erzielen. In der abgebildeten Ausführungsform sind die erste Dichte, die dritte Dichte, die fünfte Dichte und die siebente Dichte (d.h. Dichten der Metall-und-Sauerstoff-haltigen Resistmaterialien nach jedem Zyklus) unterschiedlich, sodass Metalloxid-Resistschicht 120 eine Dichte aufweist, die von der Oberseite zum Boden variiert. In manchen Ausführungsformen sind die erste Dichte, die zweite Dichte, die vierte Dichte und/oder die sechste Dichte (d.h. Dichten der Metall-und-Sauerstoff-haltigen Resistmaterialien wie abgeschieden) im Wesentlichen dieselbe. In manchen Ausführungsformen sind die erste Dichte, die zweite Dichte und/oder die vierte Dichte unterschiedlich. In manchen Ausführungsformen sind die erste Dichte, die zweite Dichte, die vierte Dichte und/oder die sechste Dichte dieselbe wie die Dichte von Metalloxid-Resistschicht 30. 4 wurde zum Zweck der Klarheit für besseres Verständnis der erfinderischen Konzepte der vorliegenden Offenbarung vereinfacht. Zusätzliche Merkmale können im zyklischen Metallresistabscheidungsprozess D hinzugefügt werden und manche der unten beschriebenen Merkmale können in anderen Ausführungsformen vom zyklischen Metallresistabscheidungsprozess D ersetzt, modifiziert oder beseitigt werden.
  • 5 veranschaulicht einen zyklischen Metallresistabscheidungsprozess E zur Bildung einer Metalloxid-Resistschicht, die in einem Lithografieprozess verwendet werden kann, um Strukturgenauigkeit gemäß verschiedenen Aspekten der vorliegenden Offenbarung zu verbessern. Zyklischer Metallresistabscheidungsprozess E ist ähnlich dem zyklischen Metalloxidresistabscheidungsprozess A, außer dass zyklischer Metallresistabscheidungsprozess E eine Metalloxid-Resistschicht 140 bildet und Verdichtungsprozesse abstimmt, um teilweise Quervernetzung in Metalloxid-Resistschicht 140 zu induzieren. Zum Beispiel ist Metalloxid-Resistschicht 140 einheitlich, teilweise vom Boden zur Oberseite, wie von einer Bodenoberfläche von Metalloxid-Resistschicht 140 (z.B. angrenzend an Materialschicht 20) zu einer Oberseitenoberfläche von Metalloxid-Resistschicht 140, quervernetzt. Ein Quervernetzungsgrad in Metalloxid-Resistschicht 140 ist größer als ein Quervernetzungsgrad in Metalloxid-Resistschicht 130 (der null sein kann), sodass Metalloxid-Resistschicht 140 mit einer kleineren Belichtungsdosis strukturiert werden kann, als für Metalloxid-Resistschicht 30 benötigt wird. in 5 bildet zyklischer Metallresistabscheidungsprozess E eine Metalloxid-Resistsubschicht 140A, eine Metalloxid-Resistsubschicht 140B, eine Metalloxid-Resistsubschicht 140C und eine Metalloxid-Resistsubschicht 140D, die kombiniert Metalloxid-Resistschicht 140 mit Dicke T bilden. Metalloxid-Resistsubschichten 140A - 140D weisen jeweils Dicke t1, Dicke t2, Dicke t3 und Dicke t4 auf, wobei eine Summe von Dicke t1, Dicke t2, Dicke t3 und Dicke t4 gleich Zieldicke T ist. Ein Grad an teilweiser Quervernetzung in Metalloxid-Resistsubschicht 140A, ein Grad an teilweiser Quervernetzung in Metalloxid-Resistsubschicht 140B, ein Grad an teilweiser Quervernetzung in Metalloxid-Resistsubschicht 140C und ein Grad an teilweiser Quervernetzung in Metalloxid-Resistsubschicht 140D sind im Wesentlichen derselbe. In der abgebildeten Ausführungsform sind Dicke t1, Dicke t2, Dicke t3 und Dicke t4 im Wesentlichen dieselbe. In manchen Ausführungsformen sind Dicke t1, Dicke t2, Dicke t3 und/oder Dicke t4 unterschiedlich oder dieselbe, abhängig vom gewünschten teilweisen Quervernetzungsprofil. 5 wurde zum Zweck der Klarheit für besseres Verständnis der erfinderischen Konzepte der vorliegenden Offenbarung vereinfacht. Zusätzliche Merkmale können im zyklischen Metallresistabscheidungsprozess E hinzugefügt werden und manche der unten beschriebenen Merkmale können in anderen Ausführungsformen vom zyklischen Metallresistabscheidungsprozess E ersetzt, modifiziert oder beseitigt werden.
  • In 5 umfasst zyklischer Metallresistabscheidungsprozess E vier Zyklen, wobei jeder Zyklus eine von Metalloxid-Resistsubschichten 140A - 140D bildet und jeder Zyklus einen Abscheidungsprozess und einen Verdichtungsprozess umfasst. Zum Beispiel umfasst zyklischer Metallresistabscheidungsprozess E einen Zyklus 1, einen Zyklus 2, einen Zyklus 3 und einen Zyklus 4, wobei Zyklen 1-4 Abscheidungsprozesse 50-1 - 50-4 umfassen, die Metalloxid-Resistsubschichten 40A' - 40D' jeweils wie zuvor in Bezug auf 1A - 1C beschrieben bilden. Zyklus 1 umfasst Durchführen eines Verdichtungsprozesses 152-1, um Quervernetzung in Metalloxid-Resistsubschicht 40A' zu induzieren, wodurch Metalloxid-Resistsubschicht 140A mit einem ersten Grad an Quervernetzung bereitgestellt wird. Zyklus 2 umfasst Durchführen eines Verdichtungsprozesses 152-2, um Quervernetzung in Metalloxid-Resistsubschicht 40B' zu induzieren, wodurch Metalloxid-Resistsubschicht 140B mit einem zweiten Grad an Quervernetzung bereitgestellt wird. Zyklus 3 umfasst Durchführen eines Verdichtungsprozesses 152-3, um Quervernetzung in Metalloxid-Resistsubschicht 40C' zu induzieren, wodurch Metalloxid-Resistsubschicht 140C mit einem dritten Grad an Quervernetzung bereitgestellt wird. Zyklus 4 umfasst Durchführen eines Verdichtungsprozesses 152-4, um Quervernetzung in Metalloxid-Resistsubschicht 40D' zu induzieren, wodurch Metalloxid-Resistsubschicht 140D mit einem vierten Grad an Quervernetzung bereitgestellt wird. Der erste Grad, der zweite Grad, der dritte Grad und der vierte Grad an Quervernetzung sind geringer als vollständige Quervernetzung. In der abgebildeten Ausführungsform sind der erste Grad, der zweite Grad, der dritte Grad und der vierte Grad an Quervernetzung im Wesentlichen derselbe, der durch Abstimmung von Parametern der Verdichtungsprozesse 152-1 - 152-4 erzielt werden kann. In manchen Ausführungsformen sind der erste Grad, der zweite Grad, der dritte Grad und der vierte Grad an Quervernetzung unterschiedlich und/oder derselbe, um Metalloxid-Resistschicht mit unterschiedlichen Quervernetzungsprofilen zu erzielen. In manchen Ausführungsformen weist Metalloxid-Resistschicht 140 einen Grad an Quervernetzung auf, der von der Oberseite zum Boden variiert. Zum Beispiel ist der erste Grad an Quervernetzung größer als der zweite Grad, der dritte Grad und/oder der vierte Grad an Quervernetzung. Verdichtungsprozesse 152-1 - 152-4 sind ähnlich zuvor beschriebenen Verdichtungsprozessen. Zum Beispiel können Verdichtungsprozesse 152-1 - 152-4 Softbakes, UV-Behandlungen, IR-Behandlungen, andere geeignete Behandlungen oder Kombinationen davon sein.
  • Nach Durchführen der verschiedenen hierin beschrieben Lithografieprozesse (z.B. Lithografieprozess A, Lithografieprozess B, Lithografieprozess C und/oder Lithografieprozesse), wird ein Vertiefungsprozess an Werkstück 10, wie Materialschicht 20 und/oder Wafer 15, unter Verwendung der hierin beschriebenen strukturierten Metalloxid-Resistschichten (z.B. strukturierte Metalloxid-Resistschicht 30', strukturierte Metalloxid-Resistschicht 40', strukturierte Metalloxid-Resistschicht 80', strukturierte Metalloxid-Resistschicht 100', strukturierte Metalloxid-Resistschicht, die aus Metalloxid-Resistschicht 120 gebildet ist, und/oder strukturierte Metalloxid-Resistschicht, die aus Metalloxid-Resistschicht 140 gebildet ist) als Masken durchgeführt. Zum Beispiel wird der Fertigungsprozess nur auf Abschnitte von Werkstück 10 innerhalb von Öffnungen der strukturierten Metalloxid-Resistschichten angewendet, während andere Abschnitte von Werkstück 10, die von den strukturierten Metalloxid-Resistschichten (zum Beispiel von belichteten Abschnitten der strukturierten Metalloxid-Resistschichten) abgedeckt werden, vor dem Fertigungsprozess geschützt werden. In manchen Ausführungsformen umfasst der Fertigungsprozess Ätzen von Materialschicht 20 unter Verwendung der strukturierten Metalloxid-Resistschichten als Ätzmasken. Eine Struktur wird daher von den strukturierten Metalloxid-Resistschichten auf Materialschicht 20 übertragen, wodurch eine strukturierte Materialschicht gebildet wird. In Ausführungsformen, wo Materialschicht 20 eine Hartmaskenschicht ist, wird die Struktur zuerst von den strukturierten Metalloxid-Resistschichten auf Materialschicht 20 übertragen und dann wird die Struktur von der strukturierten Materialschicht 20 auf eine Materialschicht von Wafer 15 übertragen. In manchen Ausführungsformen umfasst der Fertigungsprozess Durchführen eines Implantationsprozesses an Materialschicht 20 unter Verwendung der strukturierten Metalloxid-Resistschichten als eine Implantierungsmaske, wodurch verschiedene dotierte Merkmale (Gebiete) in Materialschicht 20 gebildet werden. In manchen Ausführungsformen umfasst der Fertigungsprozess Abscheiden eines Materials über Materialschicht 20 unter Verwendung der strukturierten Metalloxid-Resistschichten als eine Abscheidungsmaske, wodurch verschiedene Materialmerkmale (z.B. Gates und/oder Kontakte) über Materialschicht 20 gebildet werden. Danach werden die strukturierten Metalloxid-Resistschichten von Werkstück 10 unter Verwendung eines beliebigen geeigneten Prozesses entfernt. In manchen Ausführungsformen können die strukturierten Metalloxid-Resistschichten teilweise während des Fertigungsprozesses verbraucht werden, wie während des Ätzprozesses, sodass jeglicher verbleibender Abschnitt der strukturierten Metalloxid-Resistschichten nachfolgend durch einen geeigneten Prozess entfernt wird.
  • Die vorliegende Offenbarung stellt Metalloxid-Resistschichten, zyklische Metalloxidresistabscheidungsprozesse zur Bildung der Metalloxid-Resistschichten und Lithografietechniken, die die Metalloxid-Resistschichten implementieren, um Lithografieauflösung und/oder Resiststrukturgenauigkeit zu verbessern, bereit. Die vorliegende Offenbarung erwägt, dass die hierin beschriebenen zyklischen Metalloxidresistabscheidungsprozesse implementiert werden können, um einen beliebigen Typ von metallhaltiger Resistschicht zu bilden, wie eine Metallnitridresistschicht, eine Metallcarbidresistschicht und/oder ein beliebiger anderer Typ von lichtempfindlicher Schicht, die Metall enthält. In solchen Ausführungsformen können Referenzen auf Sauerstoff/Oxid durch Referenzen auf andere Bestandteile, wie Stickstoff/Nitrid, Kohlenstoff/Carbid und/oder andere metallhaltige Resistbestandteile ersetzt werden. In solchen Ausführungsformen können die metallhaltigen Resistschichten Sauerstoff enthalten oder auch nicht. Die zuvor beschriebenen fortschrittlichen Lithografieprozesse, Verfahren und Materialien können in vielen Anwendungen, umfassend FinFETs und/oder GAA-Transistoren, verwendet werden. Zum Beispiel können Finnen strukturiert werden, um einen relativ engen Abstand zwischen Merkmalen hervorzurufen, für die die Offenbarung von zuvor passend ist. Zusätzlich können Abstandhalter, die bei der Bildung von Finnen verwendet werden, auch als Spindeln bezeichnet, gemäß der Offenbarung von zuvor verarbeitet werden.
  • Ein beispielhaftes Verfahren umfasst Bilden einer Metalloxid-Resistschicht über einem Werkstück durch Durchführen von Abscheidungsprozessen, um Metalloxid-Resistsubschichten der Metalloxid-Resistschicht über dem Werkstück zu bilden und Durchführen eines Verdichtungsprozesses an mindestens einer der Metalloxid-Resistsubschichten. Jeder Abscheidungsprozess bildet eine jeweilige der Metalloxid-Resistsubschichten. Der Verdichtungsprozess erhöht eine Dichte der mindestens einen der Metalloxid-Resistsubschichten. Parameter der Abscheidungsprozesse und/oder Parameter des Verdichtungsprozesses können abgestimmt werden, um unterschiedliche Dichteprofile, unterschiedliche Dichtecharakteristika und/oder unterschiedliche Absorptionscharakteristika zu erzielen, um Strukturieren der Metalloxid-Resistschicht zu optimieren. In manchen Ausführungsformen umfasst Bilden der Metalloxid-Resistschicht weiter Durchführen eines Säuberungsprozesses nach Durchführen des Verdichtungsprozesses. In manchen Ausführungsformen werden die Abscheidungsprozesse und der Verdichtungsprozess in einer selben Prozesskammer durchgeführt. In manchen Ausführungsformen umfasst Durchführen des Verdichtungsprozesses Aussetzen der mindestens einen der Metalloxid-Resistsubschichten einem Plasma. In manchen Ausführungsformen umfasst Durchführen des Verdichtungsprozesses Softbaking der mindestens einen der Metalloxid-Resistsubschichten. In manchen Ausführungsformen umfasst Durchführen des Verdichtungsprozesses Belichten der mindestens einen der Metalloxid-Resistsubschichten mit ultravioletter Strahlung (UV-Strahlung). In manchen Ausführungsformen umfasst Durchführen des Verdichtungsprozesses Belichten der mindestens einen der Metalloxid-Resistsubschichten mit infraroter Strahlung (IR-Strahlung). In manchen Ausführungsformen wird der Verdichtungsprozess nach jedem Abscheidungszyklus durchgeführt, sodass jede der Metalloxid-Resistsubschichten einer jeweiligen Verdichtungsbehandlung unterzogen wird. In manchen Ausführungsformen umfasst das Verfahren weiter Abstimmen von Abscheidungsparametern der Abscheidungsprozesse, Abstimmen von Verdichtungsparametern des Verdichtungsprozesses oder beides, um eine abgestufte Dichte in der Metalloxid-Resistschicht zu erzielen. In manchen Ausführungsformen umfasst das Verfahren weiter Durchführen eines Belichtungsprozesses an der Metalloxid-Resistschicht und Durchführen eines Entwicklungsprozesses an der Metalloxid-Resistschicht, wodurch eine strukturierte Metalloxid-Resistschicht über dem Werkstück gebildet wird.
  • Ein anderes beispielhaftes Verfahren umfasst Aufnehmen eines Werkstücks in einer Prozesskammer; Durchführen, in der Prozesskammer, von mindestens zwei Abscheidungsprozessen, um eine Metalloxid-Resistschicht über dem Werkstück zu bilden; und Durchführen, in der Prozesskammer, eines Behandlungsprozesses, um ein Dichteprofil der Metalloxid-Resistschicht zu modifizieren. In manchen Ausführungsformen wird der Behandlungsprozess nach jedem der mindestens zwei Abscheidungsprozesse durchgeführt, sodass die Metalloxid-Resistschicht eine einheitliche Dichte vom Boden zur Oberseite aufweist. In manchen Ausführungsformen wird der Behandlungsprozess nach jedem der mindestens zwei Abscheidungsprozesse durchgeführt, sodass die Metalloxid-Resistschicht eine variierende Dichte vom Boden zur Oberseite aufweist. In manchen Ausführungsformen wird der Behandlungsprozess nach mindestens einem der mindestens zwei Abscheidungsprozesse durchgeführt, sodass die Metalloxid-Resistschicht eine abgestufte Dichte aufweist, die vom Boden zur Oberseite zunimmt. In manchen Ausführungsformen wird der Behandlungsprozess nach mindestens einem der mindestens zwei Abscheidungsprozesse durchgeführt, sodass die Metalloxid-Resistschicht eine abgestufte Dichte aufweist, die vom Boden zur Oberseite abnimmt. In manchen Ausführungsformen wird der Behandlungsprozess nach mindestens einem der mindestens zwei Abscheidungsprozesse durchgeführt, sodass die Metalloxid-Resistschicht eine wechselnde Dichte aufweist.
  • Eine beispielhafte Metalloxid-Resistschicht weist eine erste Metalloxid-Resistsubschicht, eine zweite Metalloxid-Resistsubschicht, die über der ersten Metalloxid-Resistsubschicht angeordnet ist, eine dritte Metalloxid-Resistsubschicht, die über der zweiten Metalloxid-Resistsubschicht angeordnet ist, auf. Die erste Metalloxid-Resistsubschicht weist eine erste Dichte auf, die zweite Metalloxid-Resistsubschicht weist eine zweite Dichte auf und die dritte Metalloxid-Resistsubschicht weist eine dritte Dichte auf. In manchen Ausführungsformen sind die erste Dichte, die zweite Dichte und die dritte Dichte im Wesentlichen dieselbe. In manchen Ausführungsformen sind die erste Dichte, die zweite Dichte und die dritte Dichte unterschiedlich. In manchen Ausführungsformen sind die erste Dichte, die zweite Dichte und die dritte Dichte konfiguriert, die Metalloxid-Resistschicht mit einer abgestuften Dichte von der ersten Metalloxid-Resistsubschicht zu der dritten Metalloxid-Resistsubschicht bereitzustellen.
  • Ein anderes beispielhaftes Verfahren umfasst Bilden einer Metalloxid-Resistschicht über einem Werkstück, indem ein Abscheidungsprozess durchgeführt wird, der mehr als einen Abscheidungszyklus umfasst, sodass die Metalloxid-Resistschicht einen Stapel von Metalloxid-Resistsubschichten aufweist, und Durchführen eines Verdichtungsprozesses an mindestens einer der Metalloxid-Resistsubschichten, wobei der Verdichtungsprozess eine Dichte der mindestens einen der Metalloxid-Resistsubschichten erhöht. In manchen Ausführungsformen werden Durchführen des Abscheidungsprozesses und Durchführen des Verdichtungsprozesses in einer selben Prozesskammer gebildet. In manchen Ausführungsformen umfasst Durchführen des Verdichtungsprozesses Aussetzen der mindestens einen der Metalloxid-Resistsubschichten einem Plasma. In manchen Ausführungsformen umfasst Durchführen des Verdichtungsprozesses Aussetzen der mindestens einen der Metalloxid-Resistsubschichten einem Temperprozess. In manchen Ausführungsformen umfasst Durchführen des Verdichtungsprozesses Belichten der mindestens einen der Metalloxid-Resistsubschichten mit ultravioletter Strahlung (UV-Strahlung). In manchen Ausführungsformen umfasst Durchführen des Verdichtungsprozesses Belichten der mindestens einen der Metalloxid-Resistsubschichten mit infraroter Strahlung (IR-Strahlung). In manchen Ausführungsformen ist der Abscheidungsprozess ein chemischer Gasphasenabscheidungsprozess, ein Atomschichtabscheidungsprozess oder eine Kombination davon. In manchen Ausführungsformen wird der Verdichtungsprozess nach jedem Abscheidungszyklus durchgeführt, sodass jeder der Metalloxid-Resistsubschichten des Stapels von Metalloxid-Resistsubschichten einer jeweiligen Verdichtungsbehandlung unterzogen wird. In manchen Ausführungsformen umfasst das Verfahren weiter Abstimmen des Durchführens des Abscheidungsprozesses, Abstimmen des Durchführens des Verdichtungsprozesses oder beides, um eine abgestufte Dichte in der Metalloxid-Resistschicht zu erzielen. In manchen Ausführungsformen ist eine erste Dichte einer obersten Metalloxid-Resistsubschicht des Stapels der Metalloxid-Resistsubschichten größer als eine zweite Dichte einer untersten Metalloxid-Resistsubschicht des Stapels von Metalloxid-Resistsubschichten. In manchen Ausführungsformen ist eine erste Dichte einer obersten Metalloxid-Resistsubschicht des Stapels von Metalloxid-Resistsubschichten geringer als eine zweite Dichte einer untersten Metalloxid-Resistsubschicht des Stapels von Metalloxid-Resistsubschichten. In manchen Ausführungsformen umfasst Abstimmen des Durchführens des Abscheidungsprozesses Anpassen eines Vorproduktgases, eines Vorproduktgasstroms, eines Abscheidungsdrucks, einer Abscheidungstemperatur, einer Abscheidungsleistung, einer Abscheidungszeit, eines anderen Abscheidungsparameters oder einer Kombination davon. In manchen Ausführungsformen umfasst Abstimmen des Durchführens des Verdichtungsprozesses Anpassen einer Behandlungszeit, einer Behandlungsleistung, einer Behandlungstemperatur, eines anderen Behandlungsparameters oder einer Kombination davon. In manchen Ausführungsformen umfasst das Verfahren weiter Abstimmen des Durchführens des Abscheidungsprozesses, Abstimmen des Durchführens des Verdichtungsprozesses oder beides, um eine wechselnde Dichtestruktur in dem Stapel von Metalloxid-Resistsubschichten zu erzielen. In manchen Ausführungsformen umfasst das Verfahren weiter Durchführen eines Säuberungsprozesses nach dem Durchführen des Verdichtungsprozesses. In manchen Ausführungsformen ist der Verdichtungsprozess ein erster Verdichtungsprozess und das Verfahren umfasst weiter Durchführen eines zweiten Verdichtungsprozesses vor dem Bilden der Metalloxid-Resistschicht über dem Werkstück. In manchen Ausführungsformen umfasst das Verfahren weiter Durchführen eines Belichtungsprozesses an der Metalloxid-Resistschicht und Durchführen eines Entwicklungsprozesses an der Metalloxid-Resistschicht, wodurch eine strukturierte Metalloxid-Resistschicht über dem Werkstück gebildet wird. In manchen Ausführungsformen ist der Verdichtungsprozess ein erster Verdichtungsprozess und das Verfahren umfasst weiter Durchführen des Belichtungsprozesses, der Belichten der Metalloxid-Resistschicht mit strukturierter extremultravioletter Strahlung (EUV-Strahlung) umfasst. In manchen Ausführungsformen umfasst das Verfahren weiter Durchführen eines Ätzprozesses, eines Implantationsprozesses oder eines Abscheidungsprozesses an dem Werkstück unter Verwendung der strukturierten Metalloxid-Resistschicht als eine Ätzmaske, eine Implantationsmaske beziehungsweise eine Abscheidungsmaske. In manchen Ausführungsformen umfasst das Verfahren Entfernen der strukturierten Metalloxid-Resistschicht nach dem Ätzprozess, dem Implantationsprozess oder dem Abscheidungsprozess. In manchen Ausführungsformen umfasst das Verfahren weiter Übertragen einer Struktur in der strukturierten Metalloxid-Resistschicht auf eine Opferschicht, die über dem Werkstück angeordnet ist, wodurch eine strukturierte Opferschicht gebildet wird, und Übertragen einer Struktur in der strukturierten Opferschicht auf eine Materialschicht des Werkstücks. In manchen Ausführungsformen umfasst das Verfahren weiter Durchführen eines Verdichtungsprozesses an dem Werkstück vor Bilden der Metalloxid-Resistschicht.
  • Das Vorangehende umreißt Merkmale einiger Ausführungsformen, sodass Durchschnittsfachleute die Aspekte der vorliegenden Offenbarung besser verstehen werden. Durchschnittsfachleute werden begrüßen, dass sie die vorliegende Offenbarung bereits als eine Basis dafür verwenden können, andere Prozesse und Strukturen zum Umsetzen derselben Zwecke und/oder Erzielen derselben Vorteile der hierin vorgestellten Ausführungsformen zu gestalten oder zu modifizieren. Durchschnittsfachleute sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht von dem Wesen und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hierin vornehmen können, ohne von dem Wesen und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/085610 [0001]

Claims (20)

  1. Verfahren umfassend: Bilden einer Metalloxid-Resistschicht über einem Werkstück, durch: - Durchführen von Abscheidungsprozessen, um Metalloxid-Resistsubschichten der Metalloxid-Resistschicht über dem Werkstück zu bilden, wobei jeder Abscheidungsprozess eine jeweilige Metalloxid-Resistsubschicht der Metalloxid-Resistsubschichten bildet; und - Durchführen eines Verdichtungsprozesses an mindestens einer der Metalloxid-Resistsubschichten, wobei der Verdichtungsprozess eine Dichte der mindestens einen der Metalloxid-Resistsubschichten erhöht.
  2. Verfahren nach Anspruch 1, wobei das Bilden der Metalloxid-Resistschicht ferner umfasst: Durchführen eines Säuberungsprozesses nach dem Durchführen des Verdichtungsprozesses.
  3. Verfahren nach Anspruch 1 oder 2, wobei die Abscheidungsprozesse und der Verdichtungsprozess in einer gleichen Prozesskammer durchgeführt werden.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei das Durchführen des Verdichtungsprozesses umfasst: Aussetzen der mindestens einen der Metalloxid-Resistsubschichten an ein Plasma.
  5. Verfahren nach einem der Ansprüche 1 bis 3, wobei das Durchführen des Verdichtungsprozesses Softbaking der mindestens einen der Metalloxid-Resistsubschichten umfasst.
  6. Verfahren nach einem der vorstehenden Ansprüche 1 bis 3, wobei das Durchführen des Verdichtungsprozesses umfasst: Belichten der mindestens einen der Metalloxid-Resistsubschichten mit UV-Strahlung.
  7. Verfahren nach einem der Ansprüche 1 bis 3, wobei das Durchführen des Verdichtungsprozesses umfasst: Belichten der mindestens einen der Metalloxid-Resistsubschichten mit infraroter Strahlung, IR-Strahlung.
  8. Verfahren nach einem der vorstehenden Ansprüche, wobei der Verdichtungsprozess nach jedem Abscheidungszyklus durchgeführt wird, sodass jede der Metalloxid-Resistsubschichten einer jeweiligen Verdichtungsbehandlung unterzogen wird.
  9. Verfahren nach einem der vorstehenden Ansprüche, ferner umfassend: Einstellen von Abscheidungsparametern der Abscheidungsprozesse, Einstellen von Verdichtungsparametern des Verdichtungsprozesses oder beides, um einen Dichtegradienten in der Metalloxid-Resistschicht zu erzielen.
  10. Verfahren nach einem der vorstehenden Ansprüche, weiter umfassend: Durchführen eines Belichtungsprozesses an der Metalloxid-Resistschicht; und Durchführen eines Entwicklungsprozesses an der Metalloxid-Resistschicht, wodurch eine strukturierte Metalloxid-Resistschicht über dem Werkstück gebildet wird.
  11. Verfahren, umfassend: Aufnehmen eines Werkstücks in einer Prozesskammer; in der Prozesskammer, Durchführen von mindestens zwei Abscheidungsprozessen, um eine Metalloxid-Resistschicht über dem Werkstück zu bilden; und in der Prozesskammer, Durchführen eines Behandlungsprozesses, um ein Dichteprofil der Metalloxid-Resistschicht zu modifizieren.
  12. Verfahren nach Anspruch 11, wobei der Behandlungsprozess nach jedem der mindestens zwei Abscheidungsprozesse durchgeführt wird, sodass die Metalloxid-Resistschicht eine einheitliche Dichte von unten nach oben aufweist.
  13. Verfahren nach Anspruch 11, wobei der Behandlungsprozess nach jedem der mindestens zwei Abscheidungsprozesse durchgeführt wird, sodass die Metalloxid-Resistschicht eine variierende Dichte von unten nach oben aufweist.
  14. Verfahren nach Anspruch 11, wobei der Behandlungsprozess nach mindestens einem der mindestens zwei Abscheidungsprozesse durchgeführt wird, sodass die Metalloxid-Resistschicht einen Dichtegradienten aufweist, der von unten nach oben zunimmt.
  15. Verfahren nach Anspruch 11, wobei der Behandlungsprozess nach mindestens einem der mindestens zwei Abscheidungsprozesse durchgeführt wird, sodass die Metalloxid-Resistschicht einen Dichtegradienten aufweist, der von unten nach oben abnimmt.
  16. Verfahren nach Anspruch 11, wobei der Behandlungsprozess nach mindestens einem der mindestens zwei Abscheidungsprozesse durchgeführt wird, sodass die Metalloxid-Resistschicht eine abwechselnde Dichte aufweist.
  17. Metalloxid-Resistschicht aufweisend: eine erste Metalloxid-Resistsubschicht mit einer ersten Dichte; eine zweite Metalloxid-Resistsubschicht, die über der ersten Metalloxid-Resistsubschicht angeordnet ist, wobei die zweite Metalloxid-Resistsubschicht eine zweite Dichte aufweist; und eine dritte Metalloxid-Resistsubschicht, die über der zweiten Metalloxid-Resistsubschicht angeordnet ist, wobei die dritte Metalloxid-Resistsubschicht eine dritte Dichte aufweist.
  18. Metalloxid-Resistschicht nach Anspruch 17, wobei die erste Dichte, die zweite Dichte und die dritte Dichte im Wesentlichen gleich sind.
  19. Metalloxid-Resistschicht nach Anspruch 17, wobei die erste Dichte, die zweite Dichte und die dritte Dichte voneinander verschieden sind.
  20. Metalloxid-Resistschicht nach Anspruch 17, wobei die erste Dichte, die zweite Dichte und die dritte Dichte so konfiguriert sind, um die Metalloxid-Resistschicht mit einem Dichtegradienten von der ersten Metalloxid-Resistsubschicht zu der dritten Metalloxid-Resistsubschicht bereitzustellen.
DE102021110173.5A 2020-09-30 2021-04-22 In-situ-abscheidung und verdichtungsbehandlung für metalhaltige resistschicht Pending DE102021110173A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063085610P 2020-09-30 2020-09-30
US63/085,610 2020-09-30
US17/231,702 US20220100088A1 (en) 2020-09-30 2021-04-15 In-Situ Deposition and Densification Treatment for Metal-Comprising Resist Layer
US17/231,702 2021-04-15

Publications (1)

Publication Number Publication Date
DE102021110173A1 true DE102021110173A1 (de) 2022-03-31

Family

ID=80624782

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021110173.5A Pending DE102021110173A1 (de) 2020-09-30 2021-04-22 In-situ-abscheidung und verdichtungsbehandlung für metalhaltige resistschicht

Country Status (5)

Country Link
US (2) US20220100088A1 (de)
KR (1) KR20220044085A (de)
CN (1) CN114334620A (de)
DE (1) DE102021110173A1 (de)
TW (1) TWI798746B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11886120B2 (en) * 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04332118A (ja) * 1991-05-02 1992-11-19 Fujitsu Ltd パターンの形成方法
US7391948B2 (en) * 2002-02-19 2008-06-24 Richard Nagler Optical waveguide structure
US20060043536A1 (en) * 2004-08-31 2006-03-02 Chih-Chen Co Implanted photoresist to reduce etch erosion during the formation of a semiconductor device
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US7888267B2 (en) * 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
TWI403413B (zh) * 2009-04-27 2013-08-01 Univ Tatung 親疏水性可轉換複合膜及其製備方法
US8088685B2 (en) * 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP2015065430A (ja) * 2013-08-27 2015-04-09 三菱マテリアル株式会社 PNbZT薄膜の製造方法
US10520822B2 (en) * 2017-06-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography techniques for reducing resist swelling
US11018053B2 (en) * 2018-06-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with material modification and low resistance plug
US20200219765A1 (en) * 2019-01-03 2020-07-09 International Business Machines Corporation Interconnect structures containing patternable low-k dielectrics and anti-reflective coatings and method of fabricating the same
US11562904B2 (en) * 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films

Also Published As

Publication number Publication date
US20220100088A1 (en) 2022-03-31
US20240019778A1 (en) 2024-01-18
KR20220044085A (ko) 2022-04-06
TWI798746B (zh) 2023-04-11
TW202215171A (zh) 2022-04-16
CN114334620A (zh) 2022-04-12

Similar Documents

Publication Publication Date Title
DE112018005569B4 (de) Verfahren zum bilden eines dünnschichtstapels aus strukturierungsmaterial mit metallhaltiger deckschicht für erhöhte empfindlichkeit in der extrem-ultraviolett- (euv-) lithografie
DE102014118843B4 (de) Verfahren zum Beheben von Problemen eines Linienbruchs und eines Fotolackrandes beim Strukturieren eines dreilagigen Fotolacks
TWI639179B (zh) 真空整合硬遮罩製程及設備
TW200402761A (en) Semiconductor device and manufacturing method thereof
DE102021113271A1 (de) Verfahren zur Herstellung eines Halbleiterbauelement und Musterbildungsverfahren
US20210217614A1 (en) Multiple patterning with selective mandrel formation
US11837471B2 (en) Methods of patterning small features
DE102015116489A1 (de) Verfahren zum bearbeiten eines trägers, verfahren zum betreiben einer plasmaprozesskammer und verfahren zum bearbeiten eines halbleiterwafers
US8815496B2 (en) Method for patterning a photosensitive layer
DE102021101467A1 (de) Halbleiterstrukturierung und resultierende strukturen
DE102021110173A1 (de) In-situ-abscheidung und verdichtungsbehandlung für metalhaltige resistschicht
US7318993B2 (en) Resistless lithography method for fabricating fine structures
DE102007011248A1 (de) Prozesssteuersysteme und Verfahren
US20190187565A1 (en) Tunable adhesion of euv photoresist on oxide surface
DE102021101198A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102021101486A1 (de) Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur
US8124534B2 (en) Multiple exposure and single etch integration method
DE102020129681B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
US11915931B2 (en) Extreme ultraviolet lithography patterning method
DE102020100778A1 (de) Integrierte patchantenne mit isolierendem substrat mit antennenhohlraum und high-k-dielektrikum
DE102021101893A1 (de) Verhindern eines ausgasens einer fotolackschicht
DE102017127430A1 (de) Füllen von Lücken mit hohem Seitenverhältnis
DE102022110190A1 (de) Leere maske und fotomaske mit dieser maske
Dobisz et al. Thin silicon nitride films to increase resolution in e-beam lithography
DE4114741A1 (de) Verfahren und vorrichtung zur bildung eines verbindungsmusters und halbleitereinrichtung mit einem derartigen verbindungsmuster

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication