CN114334620A - 用于含金属的抗蚀剂层的原位沉积和致密化处理 - Google Patents

用于含金属的抗蚀剂层的原位沉积和致密化处理 Download PDF

Info

Publication number
CN114334620A
CN114334620A CN202110659598.5A CN202110659598A CN114334620A CN 114334620 A CN114334620 A CN 114334620A CN 202110659598 A CN202110659598 A CN 202110659598A CN 114334620 A CN114334620 A CN 114334620A
Authority
CN
China
Prior art keywords
metal oxide
oxide resist
density
resist
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110659598.5A
Other languages
English (en)
Inventor
郭怡辰
刘之诚
陈彦儒
李志鸿
杨棋铭
李资良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN114334620A publication Critical patent/CN114334620A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本申请涉及用于含金属的抗蚀剂层的原位沉积和致密化处理。本文公开了可以改进光刻分辨率的含金属的抗蚀剂层(例如,金属氧化物抗蚀剂层)、用于形成含金属的抗蚀剂层的方法以及使用含金属的抗蚀剂层的光刻方法。示例性方法包括:通过执行沉积工艺在工件之上形成金属氧化物抗蚀剂层,以在工件之上形成金属氧化物抗蚀剂层的金属氧化物抗蚀剂子层;以及对至少一个金属氧化物抗蚀剂子层执行致密化工艺。每个沉积工艺形成金属氧化物抗蚀剂子层中的相应一个。致密化工艺使至少一个金属氧化物抗蚀剂子层的密度增加。可以调整沉积工艺的参数和/或致密化工艺的参数,以实现不同的密度分布、不同的密度特性、和/或不同的吸收特性,从而优化对金属氧化物抗蚀剂层的图案化。

Description

用于含金属的抗蚀剂层的原位沉积和致密化处理
技术领域
本公开涉及半导体领域,具体地涉及用于含金属的抗蚀剂层的原位沉积和致密化处理。
背景技术
光刻工艺被广泛地用于集成电路(IC)制造中,在光刻工艺中,各种IC图案被转移到工件上以形成IC器件。光刻工艺通常涉及在工件之上形成抗蚀剂层,将抗蚀剂层曝光于经图案化的辐射,以及使曝光的抗蚀剂层显影,从而形成经图案化的抗蚀剂层。在随后的IC处理(例如蚀刻工艺)期间,使用经图案化的抗蚀剂层作为掩蔽元件,其中,经图案化的抗蚀剂层的抗蚀剂图案被转移到工件上。抗蚀剂图案的质量直接影响IC器件的质量。随着IC技术不断朝着更小的技术节点(例如,小到14纳米、10纳米及以下)发展,抗蚀剂图案的线边缘粗糙度(LER)、线宽粗糙度(LWR)和/或临界尺寸均匀性(CDU)变得很关键。抗蚀剂图案的LER、LWR和/或CDU受到多种因素的影响,这些因素中包括抗蚀剂层的吸收特性(例如,吸收辐射的能力)和/或排气特性(例如,释放污染的倾向)。尽管现有的抗蚀剂层和用于形成抗蚀剂层的技术通常已经足以满足其预期目的,但是它们并非在所有方面都是完全令人满意的并且需要改进。
发明内容
根据本公开的第一方面,提供了一种用于形成半导体结构的方法,包括:通过以下操作在工件之上形成金属氧化物抗蚀剂层:执行沉积工艺,以在所述工件之上形成所述金属氧化物抗蚀剂层的金属氧化物抗蚀剂子层,其中,每个沉积工艺形成所述金属氧化物抗蚀剂子层中的相应一个金属氧化物抗蚀剂子层;以及对所述金属氧化物抗蚀剂子层中的至少一个金属氧化物抗蚀剂子层执行致密化工艺,其中,所述致密化工艺使所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层的密度增加。
根据本公开的第二方面,提供了一种用于形成半导体结构的方法,包括:在工艺室中接收工件;在所述工艺室中执行至少两个沉积工艺,以在所述工件之上形成金属氧化物抗蚀剂层;以及在所述工艺室中执行处理工艺,以修改所述金属氧化物抗蚀剂层的密度分布。
根据本公开的第三方面,提供了一种金属氧化物抗蚀剂层,包括:第一金属氧化物抗蚀剂子层,所述第一金属氧化物抗蚀剂子层具有第一密度;第二金属氧化物抗蚀剂子层,所述第二金属氧化物抗蚀剂子层设置在所述第一金属氧化物抗蚀剂子层之上,其中,所述第二金属氧化物抗蚀剂子层具有第二密度;以及第三金属氧化物抗蚀剂子层,所述第三金属氧化物抗蚀剂子层设置在所述第二金属氧化物抗蚀剂子层之上,其中,所述第三金属氧化物抗蚀剂子层具有第三密度。
附图说明
在结合附图阅读时,通过以下具体实施方式可以最好地理解本公开。要强调的是,根据工业中的标准惯例,各种特征未按比例绘制,并且仅用于说明目的。事实上,为了讨论的清楚,各种特征的尺寸可能被任意地增大或缩小。
图1A示出了根据本公开的各个方面的使用金属氧化物抗蚀剂层来改进光刻图案保真度的光刻工艺。
图1B示出了根据本公开的各个方面的用于形成图1A的金属氧化物抗蚀剂层的循环金属抗蚀剂沉积工艺。
图1C示出了根据本发明的各种方面的在沉积之后且在图案化之后的图1A的金属氧化物抗蚀剂层的俯视图。
图2A示出了根据本公开的各个方面的使用金属氧化物抗蚀剂层来改进光刻图案保真度的不同光刻工艺。
图2B示出了根据本公开的各个方面的用于形成图2A的金属氧化物抗蚀剂层的循环金属抗蚀剂沉积工艺。
图3A示出了根据本公开的各个方面的使用金属氧化物抗蚀剂层来改进光刻图案保真度的不同光刻工艺。
图3B示出了根据本公开的各个方面的用于形成图3A的金属氧化物抗蚀剂层的循环金属抗蚀剂沉积工艺。
图4示出了根据本公开的各个方面的用于形成金属氧化物抗蚀剂层的循环金属抗蚀剂沉积工艺。
图5示出了根据本公开的各个方面的用于形成金属氧化物抗蚀剂层的循环金属抗蚀剂沉积工艺。
具体实施方式
本公开总体上涉及用于制造集成电路(IC)器件的方法,并且更具体地涉及在IC器件的制造期间实施的光刻技术和/或光刻材料。
下面的公开内容提供了用于实现所提供的主题的不同特征的许多不同的实施例或示例。以下描述了组件和布置的特定示例以简化本公开。当然,这些只是示例,并不旨在进行限制。例如,在下面的描述中在第二特征之上或上形成第一特征可以包括其中第一特征和第二特征以直接接触方式形成的实施例,还可以包括可以在第一特征和第二特征之间形成附加特征,使得第一特征和第二特征可以不直接接触的实施例。此外,使用空间相关术语,例如“较低”、“较高”、“水平”、“竖直”、“高于”、“之上”、“低于”、“之下”、“上”、“下”、“顶部”、“底部”等及其派生词(例如,“水平地”、“向下地”、“向上地”等),以易于描述本公开的一个特征相对于另一特征的关系。空间相关术语旨在涵盖包括特征的器件的不同朝向。此外,当用“基本上”、“约”或“近似”等来描述数字或数字范围时,该术语旨在涵盖合理范围内的数字,这些合理范围内的数字考虑了如本领域普通技术人员所理解的在制造期间固有地出现的变化。例如,基于与制造具有与数字相关联的特性的特征相关联的已知制造公差,数字或数字范围涵盖包括所述数字的合理范围,例如在所述数字的+/-10%以内。例如,具有“约5nm”厚度的材料层可以包括4.5nm至5.5nm的尺寸范围,其中本领域普通技术人员已知与沉积材料层相关联的制造公差为+/-10%。此外,本公开可以在各种示例中重复附图标记和/或字母。这种重复是为了简单和清楚的目的,本身并不指示所讨论的各个实施例和/或配置之间的关系。
光刻工艺被广泛地用于IC制造中,在光刻工艺中,各种IC图案被转移到工件上以形成IC器件。光刻工艺涉及在工件之上形成抗蚀剂层并将抗蚀剂层曝光于经图案化的辐射。在将抗蚀剂层曝光于经图案化的辐射之后,抗蚀剂层在显影剂(换言之,化学溶液)中显影。显影剂去除抗蚀剂层的一些部分(例如,正性抗蚀剂层的曝光部分或负性抗蚀剂层的未曝光部分),从而形成经图案化的抗蚀剂层。然后,经图案化的抗蚀剂层通常在随后的工艺(例如蚀刻工艺或注入工艺)期间被用作掩蔽元件,以将经图案化的抗蚀剂层中的图案(在本文中被称为抗蚀剂图案)转移到工件上。已引入了先进的光刻材料(例如化学放大抗蚀剂(CAR)材料),以用于改进抗蚀剂层对辐射的敏感度(S),从而使辐射的利用率最大化。敏感度总体上与产生足以限定抗蚀剂层中的图案的化学反应所需的入射辐射量(每单位面积的能量的量)相对应。例如,CAR材料在曝光于辐射之后能够产生多种化学反应,从而化学地放大了对辐射的响应,这降低了敏感度并因此降低了限定抗蚀剂图案所需的曝光剂量。CAR材料通常包括对IC工艺(例如蚀刻工艺)有抗性的聚合物、产酸成分(例如光产酸剂(PAG))、以及溶剂成分。该PAG在曝光于辐射时产生酸,其充当引起如下化学反应的催化剂:该化学反应降低(或增加)抗蚀剂层的曝光部分的溶解度。例如,由PAG产生的酸催化聚合物的交联,从而降低曝光部分的溶解度。
虽然CAR材料被配置用于降低敏感度,但CAR材料还必须满足其他抗蚀剂性能特性,例如分辨率(R)、抗蚀剂对比度和粗糙度。分辨率总体上描述抗蚀剂材料以可接受的质量和/或控制印出(成像)最小特征尺寸的能力,其中抗蚀剂对比度、抗蚀剂厚度损失、邻近效应、抗蚀剂材料的膨胀和/或收缩(通常由显影引起)和/或其他抗蚀剂特性和/或光刻特性都对分辨率有贡献。抗蚀剂对比度总体上是指抗蚀剂材料区分亮(曝光)区域和暗(未曝光)区域的能力,其中具有较高对比度的抗蚀剂材料提供较好的分辨率、抗蚀剂轮廓和/或粗糙度。诸如线边缘粗糙度(LER)和/或线宽度粗糙度(LWR)之类的粗糙度通常描述抗蚀剂层中的图案是否包括边缘变化、宽度变化、临界尺寸变化和/或其他变化。例如,LER总体上描述线的边缘的偏差,而LWR总体上描述线的宽度的偏差,例如与线的临界尺寸(CD)宽度的偏差。改进一个抗蚀剂性能特性(例如,降低LER)常常是以劣化另一抗蚀剂性能特性(例如,增加敏感度)为代价的,使得同时最小化分辨率、LER和敏感度的尝试通常被称为RLS折衷。克服RLS折衷对满足先进IC技术节点的光刻工艺要求产生了挑战,先进的IC技术节点具有不断减小的特征尺寸,因此需要不断缩小的抗蚀剂图案尺寸和更精细的光刻分辨率。
利用波长在EUV范围内的辐射的极紫外(EUV)光刻技术有望满足更精细的光刻分辨率限制,尤其是在10nm以下的IC制造中。然而,在EUV波长下通常需要较高敏感度的CAR材料,因为满足分辨率、对比度和/或LER要求以及产出量要求(例如每小时晶圆产出量(WPH))所需的曝光剂量受到常规EUV源的限制。例如,由于被一定量的抗蚀剂材料吸收的光子数量与波长成比例,并且所吸收的能量的量与曝光剂量成比例,所以随着波长减小,总的吸收能量被离散化为更少的光子。因此,当曝光于相同的曝光剂量时,一定量的抗蚀剂材料所吸收的EUV光子比DUV光子少,这常常意味着CAR材料在催化反应中会产生较少的酸。这种现象通常被称为散粒噪声(shot noise)。尽管增加EUV曝光剂量可以减轻散粒噪声,从而改进分辨率、对比度和/或粗糙度,但这可以通过增加EUV源功率或降低扫描速度(换句话说,降低产出量(例如WPH))来实现。由于目前的EUV源满足针对CAR材料的高功率EUV源要求的能力有限,并且降低产出量不是满足下一代IC制造要求的可行选择,因此正在探索如下金属氧化物抗蚀剂材料来作为EUV光刻中针对CAR材料的潜在替代物:这些金属氧化物抗蚀剂材料表现出对辐射的足够敏感度,同时仍满足其他RLS特性(例如分辨率和LER)。
已经观察到金属氧化物抗蚀剂材料表现出比CAR材料更好的EUV吸收特性(例如,金属氧化物抗蚀剂材料可以比CAR材料吸收更多的EUV光子)、更好的LER/LWR特性(例如,金属氧化物抗蚀剂材料常常更不易受导致CAR材料中抗蚀剂模糊的二次电子曝光和/或酸放大效应的影响)、以及比CAR材料更好的蚀刻特性(例如,与CAR材料相比,金属氧化物抗蚀剂材料在被用作蚀刻掩模时实现更大的蚀刻选择性)。本公开探索用于进一步改进金属氧化物抗蚀剂材料的图案化特性的沉积技术。例如,本公开认识到,通过常规沉积技术形成的金属氧化物抗蚀剂材料具有随机的、松散且常常无序、非致密和/或非均匀的原子结构,这可能减小LER/LWR和图案化均匀性。此外,这样的原子结构可能导致不期望的排气,这种不期望的排气可能污染使用金属氧化物抗蚀剂材料来处理的工件和/或污染用于处理(例如沉积、曝光、显影等)金属氧化物抗蚀剂材料的工艺工具。因此,本公开提出了用于形成金属氧化物抗蚀剂材料的方法,所述金属氧化物抗蚀剂材料具有如下原子结构:这些原子结构与使用常规沉积技术形成的金属氧化物抗蚀剂材料相比,更不随机、松散并且更有序、致密性和/或更均匀。所公开的方法包括执行循环沉积工艺以形成金属氧化物抗蚀剂子层,这些金属氧化物抗蚀剂子层组合以形成金属氧化物抗蚀剂层,并且执行致密化工艺,该致密化工艺增加至少一个金属氧化物抗蚀剂子层的密度。可以在同一工艺室中执行沉积工艺和致密化工艺(即原位)。调整沉积工艺和/或致密化工艺的参数,以在金属氧化物抗蚀剂子层中获得均匀的密度或不同的密度,从而提供不同的密度分布。在一些实施例中,在沉积工艺之前执行致密化工艺,例如,以增强金属氧化物抗蚀剂层对工件的粘附。如本公开所述形成的金属氧化物抗蚀剂层可以减少排气、改进LER/LWR、和/或改进晶圆上的图案均匀性。本文公开的不同实施例提供了不同的优点,并非所有实施例都一定具有特定的优点。
转到图1A至图1C,图1A示出了根据本公开的各个方面的使用金属氧化物抗蚀剂层来改进光刻图案保真度的光刻工艺,例如光刻工艺A和光刻工艺B;图1B示出了根据本公开的各个方面的用于在光刻工艺B中形成金属氧化物抗蚀剂层的循环金属抗蚀剂沉积工艺A;并且图1C示出了根据本公开的各个方面的在沉积之后和在光刻工艺A和光刻工艺B中使用的对金属氧化物抗蚀剂层进行图案化之后的工件的俯视图。在图1A至图1C中,描绘了处于IC器件制造的中间阶段的工件10的一部分或整体,其中工件10经历光刻工艺A或光刻工艺B。在一些实施例中,IC器件是微处理器、存储器、和/或其他IC器件、或它们的一部分。工件10可以是IC芯片的一部分、片上系统(SoC)或其一部分,其包括各种无源微电子器件和有源微电子器件,例如电阻器、电容器、电感器、二极管、p型场效应晶体管、n型场效应晶体管、金属氧化物半导体场效应晶体管、互补金属氧化物半导体晶体管、双极结型晶体管、横向扩散的金属氧化物半导体晶体管、高压晶体管、高频晶体管、鳍状场效应晶体管、栅极全环绕晶体管、其他合适的IC组件、或前述项的组合。为了清楚起见,已简化了图1A至图1C,以更好地理解本公开的发明构思。可以在工件10、光刻工艺A、光刻工艺B、和/或循环金属抗蚀剂沉积工艺A中添加附加特征,并且可以在工件10、光刻工艺A、光刻工艺B、和/或循环金属抗蚀剂沉积工艺A的其他实施例中替换、修改或消除下面描述的一些特征。
参考图1A至图1C,工件10包括晶圆15和设置在晶圆15之上的待处理的材料层20(在本文中也被称为下层)。晶圆15包括衬底(例如半导体衬底)、掩模(也被称为光掩模或掩模板)、或任何如下的基础材料:在这些基础材料上可以进行处理,以提供用于形成IC器件的各种特征的材料层。根据IC制造阶段,晶圆15包括各种材料层(例如,电介质层、半导体层、和/或金属层),这些材料层被配置为形成IC特征(例如,n阱、p阱、隔离结构(例如,浅沟槽隔离结构和/或深沟槽隔离结构)、源极/漏极特征(包括外延源极/漏极特征)、金属栅极和/或虚设栅极、栅极间隔件、源极/漏极接触件、栅极接触件、过孔、金属线、其他IC特征、或前述项组合)。在一些实施例中,材料层20是半导体层,包括例如硅、锗、硅锗、其他合适的半导体成分、或前述项的组合。在一些实施例中,材料层20是金属层,包括例如钛、铝、钨、钽、铜、钴、钌、它们的合金、其他合适的金属成分和/或其合金、或前述项的组合。在一些实施例中,材料层20是电介质层,包括例如硅、金属、氧、氮、碳、其他合适的电介质成分、或前述项的组合。在一些实施例中,材料层20是要被图案化以在工件10的后续处理中使用的硬掩模层。在一些实施例中,材料层20是抗反射涂层(ARC)层。在一些实施例中,材料层20是用于形成栅极特征、源极/漏极特征和/或互连特征的层,该栅极特征例如为栅极电介质和/或栅极电极,该源极/漏极特征例如为外延源极/漏极,该互连特征例如为工件10的多层互连的导电结构或电介质层。在一些实施例中,在工件10被制造为用于对IC器件进行图案化的掩模的情况下,晶圆15是掩模衬底,其包括透明材料和/或低热膨胀材料(例如,玻璃、石英、氧化硅钛和/或其他合适的材料),并且材料层20是要被处理以在晶圆15中形成IC图案的层,例如吸收体层(例如,材料层20包括铬)。本公开考虑了在工件10中省略材料层20并且直接对晶圆15进行处理的实施例,以及材料层20包括多于一个材料层的实施例。
光刻工艺A和光刻工艺B两者都开始于在材料层20之上沉积具有目标厚度T的金属氧化物抗蚀剂层,例如在光刻工艺A中为金属氧化物抗蚀剂层30并且在光刻工艺B中为金属氧化物抗蚀剂层40。金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40两者都对在光刻曝光工艺中使用的辐射敏感,例如深紫外线(DUV)辐射、EUV辐射、电子束辐射、离子束辐射、和/或其他合适的辐射。在一些实施例中,金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40对于波长小于约13.5nm的辐射敏感。金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40各自包括含金属和氧的辐射敏感材料,其中该金属是铪、钛、锆、钽、锡、镧、铟、锑、促进对辐射(例如EUV辐射)的吸收和/或对在工件10的制造期间使用的IC工艺(例如蚀刻)的抗性的其他金属成分、或前述项的组合。在一些实施例中,金属氧化物抗蚀剂层30和/或金属氧化物抗蚀剂层40可以包括其他抗蚀剂成分,这些抗蚀剂成分在曝光于辐射之后促进对辐射的吸收和/或交联反应,这些成分为例如光致产酸剂(PAG)成分、热产酸剂(TAG)成分、光可分解碱(PDB)成分、其他合适的抗蚀剂成分、或前述项的组合。在一些实施例中,在沉积金属氧化物抗蚀剂层30和/或金属氧化物抗蚀剂层40之前,在材料层20之上形成ARC层,使得金属氧化物抗蚀剂层30和/或金属氧化物抗蚀剂层40被沉积在ARC层上。ARC层可以是无氮ARC(NFARC)层,其包括氧化硅、碳氧化硅、其他合适的材料、或前述项的组合。在一些实施例中,可以在材料层20和金属氧化物抗蚀剂层30和/或金属氧化物抗蚀剂层40之间形成多于一个的层(包括一个或多个ARC层)。金属氧化物抗蚀剂层30和/或金属氧化物抗蚀剂层40也被称为金属抗蚀剂层、光敏金属层、金属成像层、金属图案化层、和/或辐射敏感金属层。
金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40是通过不同的沉积工艺来形成的,这导致金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40具有影响图案保真度的不同特性。在光刻工艺A中,通过化学气相沉积(CVD)工艺将金属氧化物抗蚀剂层30均厚沉积在材料层20之上。在一些实施例中,CVD工艺包括:将具有设置在晶圆15之上的材料层20的工件10装载在工艺室中;将工件10加热到期望的温度(例如,促进在材料层20之上形成含金属和氧的抗蚀剂材料所需的化学反应的温度);使一种或多种前驱物和/或载体流入到工艺室中,在工艺室中前驱物发生反应和/或分解以在材料层20之上形成含金属和氧的抗蚀剂材料;以及从工艺室中清除任何剩余的前驱物(例如,未反应的前驱物)、载体、和/或副产物。含金属和氧的抗蚀剂材料积聚在材料层20上,并且直到积聚在材料层20之上的含金属和氧的抗蚀剂材料具有目标厚度T才停止执行CVD工艺。在CVD工艺期间,前驱物可以彼此反应、与材料层20发生反应、与积聚在材料层20上的含金属和氧的抗蚀剂材料发生反应、和/或与前述化学反应的副产物发生反应,以形成金属氧化物抗蚀剂层30。在一些实施例中,CVD工艺是等离子体增强CVD(PECVD)、远程PECVD(RPECVD)工艺、金属有机CVD(MOCVD)工艺、低压CVD(LPCVD)工艺、超高真空CVD(UHVCVD)工艺、亚大气压CVD(SACVD)工艺、激光辅助CVD(LACVD)工艺、气溶胶辅助CVD(AACVD)工艺、原子层CVD(ALCVD)、其他合适的CVD工艺、或前述项的组合。在一些实施例中,通过原子层沉积(ALD)工艺、物理气相沉积(PVD)工艺、或其他合适的沉积工艺将具有厚度T的金属氧化物抗蚀剂层30均厚沉积在材料层20之上。
因为在CVD工艺期间的化学反应是随机的和/或不完全的,所以已经观察到金属氧化物抗蚀剂层30可能呈现随机的、松散的、并且常常是无序的、非致密的、和/或非均匀的原子结构,这样的结构可能减小图案化均匀性。例如,金属氧化物抗蚀剂层30的原子结构包括随机堆叠的、松散堆积的金属原子、氧原子、单个金属氧化物分子(Me1Ox,其中x是氧原子的数量)和/或金属氧化物簇(MeyOz,其中y是金属原子的数量,z是氧原子的数量),其可以统称为金属-氧成分MeO。金属-氧成分MeO可能不具有有序的排列(例如,金属-氧成分MeO的重复图案),这可能导致金属氧化物抗蚀剂层30具有迥然不同的金属-氧成分MeO的簇,并且因此具有非均匀的密度(例如,金属氧化物抗蚀剂层30的一个部分中的金属-氧成分MeO的量不同于金属氧化物抗蚀剂层30的另一类似尺寸的部分中的金属-氧成分MeO的量)。由于材料可以吸收的辐射量取决于其密度,并且金属氧化物抗蚀剂层30具有非均匀的密度,所以金属氧化物层30可能不均匀地吸收辐射,这减小了通过对金属氧化物层30进行图案化能够实现的LER/LWR(例如,经图案化的金属氧化物层30表现出比期望的LER/LWR更大的LER/LWR,以及劣化的线宽和/或线边缘均匀性),和/或需要更大的曝光剂量以确保充分和/或均匀地吸收辐射以改进LER/LWR。此外,金属-氧成分MeO的随机排列可能导致在金属氧化物抗蚀剂层30的原子结构内形成空位和/或位错(V),并且在CVD工艺期间的不完全的化学反应可能产生不与金属氧化物抗蚀剂层30的任何其他金属-氧成分MeO连接(键合)的金属-氧成分MeO,如图1A所示。这些“松散的”金属-氧成分MeO和/或弱键合的金属-氧成分MeO可能在随后的处理期间排气(即,从金属氧化物抗蚀剂层30逸出到工艺室的环境中),这可能污染工件10和/或工艺室。被排气的金属和氧气成分MeO可能会导致膜缺陷,例如通过刮擦和/或剥离金属氧化物抗蚀剂层30、材料层20、和/或晶圆15。此外,由于在工艺室中多个晶圆被处理以形成金属氧化物抗蚀剂层(例如金属氧化物抗蚀剂层30),所以在排气污染物在工艺室中积聚时,图案保真度随着时间而恶化。
为了解决这些问题,本公开提出了一种循环金属氧化物抗蚀剂沉积工艺,其提供比金属氧化物抗蚀剂层30更致密且比金属氧化物抗蚀剂层30更好地吸收辐射的金属氧化物抗蚀剂层,使得可以实现较低的曝光剂量来对金属氧化物抗蚀剂层进行图案化,并且仍然实现对辐射的均匀吸收以改进LER/LWR。与金属氧化物抗蚀剂层30相比,更致密的金属氧化物抗蚀剂层还表现出更少的排气。在一些实施例中,通过所提出的循环金属氧化物抗蚀剂沉积工艺形成的金属氧化物抗蚀剂层的原子结构包括以有序排列(例如,金属-氧成分的重复图案)堆叠的金属-氧成分。在一些实施例中,与金属氧化物抗蚀剂层30相比,通过所提出的循环金属氧化物抗蚀剂沉积工艺形成的金属氧化物抗蚀剂层的原子结构具有较少的空位和/或较少的不完整的金属-氧键(并且在一些实施例中,基本上没有空位和/或不完整的金属-氧键)。在一些实施例中,金属-氧成分的簇不均匀地分布在通过所提出的循环金属氧化物抗蚀剂沉积工艺形成的金属氧化物抗蚀剂层中,使得通过所提出的循环金属氧化物抗蚀剂沉积工艺形成的金属氧化物抗蚀剂层具有基本上均匀的密度(例如,金属氧化物抗蚀剂层的不同但具有相似尺寸的部分中的金属-氧成分的量基本上相同)。在一些实施例中,所提出的金属氧化物抗蚀剂层中的金属氧化物簇的浓度、金属浓度、氧浓度、和/或金属氧化物浓度从金属氧化物抗蚀剂层的顶表面到底表面增加或减少,以实现梯度密度特性(例如,从金属氧化物抗蚀剂层的顶表面到底表面增加或减少的密度)。在一些实施例中,所提出的金属氧化物抗蚀剂层中的金属氧化物簇的浓度、金属浓度、氧浓度、和/或金属氧化物浓度在不同深度下是不同的,以实现期望的密度特性(例如本文所述的那些特性)。在一些实施例中,根据所期望的密度特性(例如本文中所描述的那些特性),所提出的金属氧化物抗蚀剂层可以包括12-MeOx簇、8-MeOx簇、6-MeOx簇、4-MeOx簇、二聚物-MeOx簇、和/或单-MeOx簇。
转到光刻工艺B,循环金属抗蚀剂沉积工艺A形成从底部到顶部具有基本均匀密度的金属氧化物抗蚀剂层40,例如从金属氧化物层40的底表面(例如,与材料层20接合)到金属氧化物抗蚀剂层40的顶表面具有基本均匀密度。金属氧化物抗蚀剂层40的密度大于金属氧化物抗蚀剂层30的密度,使得金属氧化物抗蚀剂层40在曝光于相同的曝光剂量时可比金属氧化物抗蚀剂层30吸收更多的辐射,并且比金属氧化物抗蚀剂层30更均匀地吸收这些辐射。例如,循环金属抗蚀剂沉积工艺A形成金属氧化物抗蚀剂子层40A、金属氧化物抗蚀剂子层40B、金属氧化物抗蚀剂子层40C、以及金属氧化物抗蚀剂子层40D,它们结合以形成具有厚度T的金属氧化物抗蚀剂层40。金属氧化物抗蚀剂子层40A-40D分别具有厚度t1、厚度t2、厚度t3和厚度t4,其中,厚度t1、厚度t2、厚度t3和厚度t4之和等于目标厚度T。金属氧化物抗蚀剂子层40A的密度、金属氧化物抗蚀剂子层40B的密度、金属氧化物抗蚀剂子层40C的密度、以及金属氧化物抗蚀剂子层40D的密度基本上相同。在所示的实施例中,金属氧化物抗蚀剂子层40A-40D的密度都大于金属氧化物抗蚀剂层30的密度。在所示的实施例中,厚度t1、厚度t2、厚度t3和厚度t4基本上相同。在一些实施例中,厚度t1、厚度t2、厚度t3和/或厚度t4根据期望的密度分布和/或密度特性而不同或相同。
转到图1B,循环金属抗蚀剂沉积工艺A包括四个周期,其中每个周期形成金属氧化物抗蚀剂子层40A-40D之一,并且每个周期包括沉积工艺和致密化工艺。例如,循环金属抗蚀剂沉积工艺A包括周期1、周期2、周期3和周期4(替代地被称为阶段1-4)。周期1包括:执行沉积工艺50-1,以在材料层20上形成具有厚度t1和第一密度的金属氧化物抗蚀剂子层40A’;以及对金属氧化物抗蚀剂子层40A’执行致密化工艺52-1,从而形成金属氧化物抗蚀剂子层40A,该金属氧化物抗蚀剂子层40A具有大于第一密度的第二密度。周期2包括:执行沉积工艺50-2,以在金属氧化物抗蚀剂子层40A上形成具有厚度t2和第三密度的金属氧化物抗蚀剂子层40B’;以及对金属氧化物抗蚀剂子层40B’执行致密化工艺52-2,从而形成金属氧化物抗蚀剂子层40B,该金属氧化物抗蚀剂子层40B具有大于第三密度的第四密度。周期3包括:执行沉积工艺50-3,以在金属氧化物抗蚀剂子层40B上形成具有厚度t3和第五密度的金属氧化物抗蚀剂子层40C’:以及对金属氧化物抗蚀剂子层40C’执行致密化工艺52-3,从而形成金属氧化物抗蚀剂子层40C,该金属氧化物抗蚀剂子层40C具有大于第五密度的第六密度。周期4包括:执行沉积工艺50-4,以在金属氧化物抗蚀剂子层40C上形成具有厚度t4和第七密度的金属氧化物抗蚀剂子层40D’;以及对金属氧化物抗蚀剂子层40D’执行致密化工艺52-4,从而形成金属氧化物抗蚀剂子层40D,该金属氧化物抗蚀剂子层40D具有大于第七密度的第八密度。在所描绘的实施例中,第二密度、第四密度、第六密度和第八密度(即,在致密化工艺52-1至52-4之后的密度)基本上相同,使得金属氧化物抗蚀剂层40从底部到顶部具有基本上均匀的密度。在一些实施例中,第一密度、第三密度、第五密度和第七密度(即,所沉积的含金属和氧的抗蚀剂材料的密度)基本上相同。在一些实施例中,第一密度、第三密度、第五密度和/或第七密度与金属氧化物抗蚀剂层30的密度相同。在一些实施例中,第一密度、第三密度、第五密度和/或第七密度是不同的。在一些实施例中,致密化工艺52-1至52-4可以分别减少厚度t1、厚度t2、厚度t3、和/或厚度t4,使得所沉积的金属氧化物抗蚀剂子层40A’-40D’的厚度t1至t4分别大于金属氧化物抗蚀剂子层40A-40D的厚度t1至t4。
在一些实施例中,沉积工艺50-1至50-4是CVD工艺。在一些实施例中,沉积工艺50-1至50-4是ALD工艺。在将具有设置在晶圆15之上的材料层20的工件10装载到工艺室中之后,每个沉积工艺50-1至50-4可以包括:将工件10加热到期望的温度(例如,促进在材料层20之上形成含金属和氧的抗蚀剂材料所需的化学反应的温度);使一种或多种沉积前驱物和/或载体流入到工艺室中,其中在工艺室中沉积前驱物发生反应和/或分解以在材料层20之上形成含金属和氧的抗蚀剂材料;以及从工艺室中清除任何剩余的沉积前驱物(例如,未反应的沉积前驱物)、载体、和/或副产物。每个沉积工艺50-1至50-4具有至少一个沉积阶段和至少一个清除阶段。在沉积阶段期间,含金属和氧的抗蚀剂材料积聚在材料层20上,并且直到积聚在材料层20之上的含金属和氧的抗蚀剂材料具有厚度t1、厚度t2、厚度t3或厚度t4(视周期数而定),才停止执行沉积阶段。在沉积阶段期间,沉积前驱物可以彼此反应、与材料层20发生反应、与积聚在材料层20上的含金属和氧的抗蚀剂材料发生反应、和/或与前述化学反应的副产物发生反应,以形成金属氧化物抗蚀剂子层40A-40D。在一些实施例中,沉积前驱物包括含金属的前驱物、反应气体、和/或载体。在一些实施例中,含金属的前驱物包括MaRbXc,其中,1≤a≤2、b≥1、和c≥1。在一些实施例中,b+c≤5。在一些实施例中,M是Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce、或Lu。在一些实施例中,R是被取代的烷基、被取代的烯基、被取代的羧酸酯基、未被取代的烷基、未被取代的烯基、或未被取代的羧酸酯基。在一些实施例中,X为卤基或磺酸酯基。在一些实施例中,反应气体包括胺、水、臭氧、过氧化氢、其他合适的反应气体成分、或前述项的组合。在一些实施例中,载气包括氩(例如Ar)、氦气(例如He)、氮气(例如N2)、其他合适的载气成分、或前述项的组合。在一些实施例中,沉积前驱物的流速为约10sccm至约1000sccm。在一些实施例中,载体的流速为约100sccm至约10000sccm。在一些实施例中,对沉积前驱物施加功率以生成等离子体,例如约10W至约1000W的功率。在一些实施例中,等离子体是由射频(RF)功率源生成的,使得该功率是RF功率。在一些实施例中,沉积阶段的持续时间为约3秒至约3600秒。在一些实施例中,在沉积阶段期间,工艺室中的所维持的压力在约0.1托至约150托。在一些实施例中,在沉积阶段期间,在工艺室中所维持的温度为约25℃至约300℃。在一些实施例中,清除阶段可以包括使惰性气体(例如,含氩气体、含氦气体、其他合适的惰性气体、或它们的组合)流入到工艺室中。在一些实施例中,惰性气体的流速为约100sccm至约10000sccm。在一些实施例中,清除阶段的持续时间为约3秒至约1000秒。在一些实施例中,在清除阶段期间,工艺室中的所维持的压力在约10托至约760托。在一些实施例中,在清除阶段期间,在工艺室中所维持的温度为约25℃至约300℃。在一些实施例中,沉积工艺50-1至50-4是相同的。在一些实施例中,沉积工艺50-1至50-4是不同的。在一些实施例中,沉积工艺50-1至50-4是用于实现金属氧化物抗蚀剂层40的期望的密度分布和/或密度特性的沉积工艺的任何组合。
致密化工艺52-1至52-4包括处理阶段和清除阶段,该处理阶段使工件10经受能够使含金属和氧的抗蚀剂材料致密化(即增加其密度)的处理。在一些实施例中,该处理修改了含金属和氧的抗蚀剂材料的原子结构,使得该原子结构在处理之后更有序和/或更紧密地堆积。例如,该处理使含金属和氧的抗蚀剂材料的金属原子和/或氧原子重新排列,使得含金属和氧的抗蚀剂材料在处理后具有金属原子和/或氧原子的有序排列,和/或在处理后具有金属原子和/或氧原子之间的较小的间距。在一些实施例中,该处理增强了金属-氧键合和/或提高了含金属和氧的抗蚀剂材料中的金属-氧键合的均匀性。例如,该处理引起化学反应,使得含金属和氧的抗蚀剂材料的部分反应的成分在该处理之后完全反应,和/或在工艺室中的含金属和氧的抗蚀剂材料的未反应的成分在该处理之后部分反应或完全反应。在一些实施例中,该处理在含金属和氧的抗蚀剂材料中引起部分交联,这可以增加含金属和氧的抗蚀剂材料的密度。清除阶段从工艺室中去除(清除)任何剩余的前驱物(例如,未反应的沉积前驱物、未反应的处理前驱物、“松散的”经反应前驱物、“松散的”金属和氧成分、和/或其他“松散的”经反应成分)、载体、和/或副产物,这可以相比于金属氧化物抗蚀剂层30进一步减少来自金属氧化物抗蚀剂层40的排气以及由此产生的对工件10和/或工艺室的污染。在一些实施例中,清除阶段包括使惰性气体(例如,含氩气体、含氦气体、其他合适的惰性气体、或它们的组合)流入到工艺室中。在一些实施例中,惰性气体的流速为约100sccm至约10000sccm。在一些实施例中,清除阶段的持续时间为约3秒至约600秒。在一些实施例中,在清除阶段期间,工艺室中的所维持的压力在约10托至约760托。在一些实施例中,在清除阶段期间,在工艺室中所维持的温度为约25℃至约300℃。
本文所述的可以增加含金属和氧的抗蚀剂材料的密度和/或实现对含金属和氧的抗蚀剂材料的修改的示例处理包括:等离子体致密化工艺、软烘烤工艺、UV辐射工艺、红外(IR)辐射工艺、其他合适的致密化工艺、或前述项的组合。在一些实施例中,致密化工艺52-1至52-4是相同的处理类型(例如,致密化工艺52-1至52-4都是等离子体致密化工艺)。在一些实施例中,致密化工艺52-1至52-4是不同的处理类型(例如,致密化工艺52-1、52-4是等离子体致密化工艺,而致密化工艺52-1、52-3是软烘烤工艺)。在一些实施例中,致密化工艺52-1至52-4是用于实现金属氧化物抗蚀剂层40的期望的密度分布和/或密度特性的致密化处理的任何组合。
在一些实施例中,等离子体致密化工艺包括:使一种或多种致密化前驱物和/或载体流入到工艺室中;由致密化前驱物生成等离子体;以及使金属氧化物抗蚀剂子层曝光于等离子体(例如,用等离子体来轰击金属氧化物抗蚀剂子层)。在一些实施例中,致密化前驱物包括含金属的前驱物、反应气体、和/或载体。在一些实施例中,含金属的前驱物包括MaRbXc,其中,1≤a≤2、b≥1、和c≥1。在一些实施例中,b+c≤5。在一些实施例中,M是Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce、或Lu。在一些实施例中,R是被取代的烷基、被取代的烯基、被取代的羧酸酯基、未被取代的烷基、未被取代的烯基、或未被取代的羧酸酯基。在一些实施例中,X为卤基或磺酸酯基。在一些实施例中,反应气体包括胺、水、臭氧、过氧化氢、其他合适的反应气体、或前述项的组合。在一些实施例中,载气包括氩(例如Ar)、氦气(例如He)、氮气(例如N2)、其他合适的载气成分、或前述项的组合。在一些实施例中,致密化前驱物的流速为约10sccm至约1000sccm。在一些实施例中,载体的流速为约100sccm至约10000sccm。在一些实施例中,施加到致密化前驱物和/或载体以生成等离子体的功率为约10W至约1000W。在一些实施例中,施加到致密化前驱物和/或载体以生成等离子体的功率是较低的功率,例如小于约100W。在一些实施例中,等离子体是由RF功率源生成的,使得该功率是RF功率。在一些实施例中,金属氧化物抗蚀剂子层曝光于等离子体约3秒至约3600秒。在一些实施例中,在等离子体致密化工艺期间,工艺室中的所维持的压力在约0.1托至约150托。在一些实施例中,在等离子体致密化工艺期间,在工艺室中所维持的温度为约25℃至约300℃。
在一些实施例中,软烘烤工艺(也被称为退火工艺和/或热工艺)将工件10(包括一个或多个金属氧化物抗蚀剂子层)加热一段时间。软烘烤工艺可以对工件10的正面(例如工件10的最顶部金属氧化物抗蚀剂子层)、工件10的底部(例如晶圆15)、工件10的侧面、或它们的组合施加热量。在一些实施例中,软烘烤工艺将工件10加热到约80℃至约250℃的温度。在一些实施例中,将工件10烘烤(退火)约60秒至约300秒。在一些实施例中,在软烘烤工艺期间,工艺室中的所维持的压力在约0.1托至约150托。在一些实施例中,在惰性气体环境(包括例如氩、氦和/或其他惰性气体成分)、或反应气体环境(包括例如氧、氢、氮和/或其他反应气体成分)中烘烤(退火)工件10。
在一些实施例中,UV辐射工艺将工件10的一个或多个金属氧化物抗蚀剂子层曝光于UV辐射一段时间。在一些实施例中,UV辐射具有约10nm至约400nm的波长。在一些实施例中,金属氧化物抗蚀剂子层曝光于UV辐射约60秒至约3600秒。在一些实施例中,UV辐射工艺将工件10加热到约20℃至约25℃的温度。在一些实施例中,在UV辐射工艺期间,工艺室中所维持的压力为约1×10-5托至约1×10-4托。在一些实施例中,在惰性气体环境(包括例如氩、氦和/或其他惰性气体成分)、或反应气体环境(包括例如氧、氢、氮和/或其他反应气体成分)中使用UV辐射来对工件10进行处理。
在一些实施例中,红外(IR)辐射工艺使工件10的一个或多个金属氧化物抗蚀剂子层曝光于IR辐射一段时间。在一些实施例中,IR辐射具有大于约300nm的波长。在一些实施例中,IR辐射是具有例如约50μm至约1000μm的波长的远红外(FIR)辐射。在一些实施例中,金属氧化物抗蚀剂子层曝光于IR辐射约10秒至约600秒。在一些实施例中,IR辐射工艺将工件10加热到约25℃至约250℃的温度。在一些实施例中,在IR辐射工艺期间,工艺室中的所维持的压力在约0.1托至约150托。在一些实施例中,在惰性气体环境(包括例如氩、氦和/或其他惰性气体成分)、或反应气体环境(包括例如氧、氢、氮和/或其他反应气体成分)中使用IR辐射来对工件10进行处理。
在一些实施例中,在执行沉积工艺50-1至50-4之前,执行预沉积处理工艺,以增强金属氧化物抗蚀剂层40与材料层20的粘附,并减少金属氧化物抗蚀剂层40从材料层20的剥离。在一些实施例中,预沉积处理工艺与沉积工艺50-1结合。例如,沉积阶段可以包括预沉积部分和沉积部分,其中在沉积工艺50-1期间沉积参数被调整,以从预沉积部分切换到沉积部分,例如沉积前驱物流速、功率、时间和/或温度。可以调整预沉积部分的沉积参数,以增加沉积前驱物与材料层20之间的化学反应(并因此它们之间的链接和/或键合),从而在材料层20上形成包括种子金属和氧的材料。可以调整沉积部分的沉积参数以形成含金属和氧的材料,该材料具有对于金属氧化物抗蚀剂子层40A所期望的密度特性。在这样的实施例中,包括种子金属和氧的材料可以形成金属氧化物抗蚀剂子层40A的一部分。在一些实施例中,预沉积处理工艺是等离子体处理工艺。本公开考虑了包括可以对工件10执行以增加对金属氧化物抗蚀剂层40的粘附的任何处理的预沉积处理工艺。
在原位执行沉积工艺50-1至50-4和致密化工艺52-1至52-4。如这里所使用的,术语“原位”用于描述如下工艺:这些工艺在工件保持在处理系统(例如CVD工具)内时执行,并且其中例如处理系统允许工件保持在真空条件下。这样,术语“原位”通常还可以指如下工艺:在这些工艺中,正在被处理的工件不被暴露于外部环境(例如,处理系统外部)。随后的处理(例如曝光工艺和显影工艺)可以非原位地执行(即,工件10被转移出CVD工具并被转移到曝光工具和/或显影工具中)。在所描绘的实施例中,在处理系统的同一工艺室(例如CVD工具的一个工艺室)中执行沉积工艺50-1至50-4和致密化工艺52-1至52-4。在一些实施例中,在多腔室处理系统的第一工艺室中执行沉积工艺50-1至50-4,在多腔室处理系统的第二工艺室中执行致密化工艺52-1至52-4,并且工件10被在第一工艺室与第二工艺室之间(并且在多腔室IC处理系统100内)转移时不被暴露于外部环境并且保持在真空下,以形成金属氧化物抗蚀剂层40。
返回图1A,在沉积金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40之后,光刻工艺A和光刻工艺B进行曝光工艺,这些曝光工艺将金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40曝光于经图案化的辐射。在一些实施例中,经图案化的辐射具有小于约250nm的波长,例如DUV辐射、EUV辐射和/或其他合适的辐射。在所描绘的实施例中,经图案化的辐射是EUV辐射,例如具有小于约13.5nm的波长的辐射。在一些实施例中,如所描绘的,使用其中限定有IC图案的掩模60来提供经图案化的辐射,该经图案化的辐射可以在金属氧化物抗蚀剂层30及金属氧化物抗蚀剂层40上形成IC图案的图像。掩模60根据掩模60的掩模图案和/或掩模类型(例如二元掩模、相移掩模、或EUV掩模)来阻挡、透射和/或反射到金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40的辐射。可以在空气、液体(浸没式光刻)、或真空中执行曝光工艺(例如,当将工件10曝光于EUV辐射和/或电子束时)。在一些实施例中,曝光工艺根据IC图案直接调制辐射,例如电子束(e-beam)或离子束,而无需使用诸如掩模60之类的掩模。
由于金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40对辐射敏感,因此通过曝光工艺在金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40上形成隐式图案(latentpattern)。隐式图案总体上是指在抗蚀剂层上曝光的图案,在抗蚀剂层经受显影工艺之后,该图案变为实体抗蚀剂图案。在图1A中,金属氧化物抗蚀剂层30的隐式图案包括曝光部分30E和未曝光部分30U,并且金属氧化物抗蚀剂层40的隐式图案包括曝光部分40E和未曝光部分40U。曝光部分30E、40E响应于曝光工艺而物理地和/或化学地改变。在所描绘的实施例中,曝光工艺在曝光部分30E、40E中引起化学反应,该化学反应降低了曝光部分30E、40E对显影剂的溶解性。在一些实施例中,曝光部分30E、40E不溶于显影剂。在一些实施例中,在曝光工艺之后,对金属氧化物抗蚀剂层30和/或金属氧化物抗蚀剂层40执行曝光后烘烤(PEB)工艺。PEB工艺将金属氧化物抗蚀剂层30和/或金属氧化物抗蚀剂层40的温度升高至约90℃至约250℃。因为金属氧化物抗蚀剂层40具有致密且均匀的原子结构,而金属氧化物抗蚀剂层30具有松散且随机的原子结构(例如,参见图1C中沉积之后的金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40的俯视图),投射到金属氧化物抗蚀剂层40上的经图案化的辐射的曝光剂量可以小于投射到金属氧化物抗蚀剂层30上的经图案化的辐射的曝光剂量。在一些实施例中,投射到金属氧化物抗蚀剂层40上的经图案化的辐射的曝光剂量可以比投射到金属氧化物抗蚀剂层30上的经图案化的辐射的曝光剂量小约十分之一。此外,与金属氧化物抗蚀剂层30作为对比,金属氧化物抗蚀剂层40在曝光工艺、PEB工艺、和/或其他后续工艺期间:不出现排气(或出现最小程度的排气),从而减少(并且在一些实施例中防止)工件中因排气污染所造成的薄膜缺陷;和/或限制(并且在一些实施例中防止)随着时间的推移而降低图案保真度,该图案保真度的降低是由于在工件被处理以形成金属氧化物层时,在工艺室内积聚的排气污染所引起的。
然后,光刻工艺A和光刻工艺B分别对金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40执行显影工艺,从而分别形成经图案化的金属氧化物抗蚀剂层30’和经图案化的金属氧化物抗蚀剂层40’。显影工艺分别根据金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40的特性以及在显影工艺中使用的显影溶液的特性,来溶解金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40的曝光(或未曝光)部分。在所描绘的实施例中,执行负色调显影(NTD)工艺以去除金属氧化物抗蚀剂层30的未曝光部分30U和金属氧化物抗蚀剂层40的未曝光部分40U。例如,对金属氧化物抗蚀剂层30和金属氧化物抗蚀剂层40应用NTD显影剂,所述NTD显影剂溶解未曝光部分30U以及未曝光部分40U,留下具有由曝光部分30E所限定的开口62的经图案化的金属氧化物抗蚀剂层30’以及具有由曝光部分40E所限定的开口64的经图案化的金属氧化物抗蚀剂层40’(每个曝光部分40E含金属氧化物抗蚀剂子层40A-40D的相应剩余部分)。在显影之后,经图案化的金属氧化物抗蚀剂层30’和经图案化的金属氧化物抗蚀剂层40’具有与掩模30的IC图案相对应的抗蚀剂图案。因为金属氧化物抗蚀剂层40具有致密且均匀的结构,而金属氧化物抗蚀剂层30具有松散且随机的结构,所以金属氧化物抗蚀剂层40比金属氧化物抗蚀剂层30更均匀地吸收经图案化的辐射,并且与金属氧化物层30的曝光部分30E相比,曝光部分40E具有相对平滑的边缘/或侧壁。因此,经图案化的金属氧化物抗蚀剂层40’比经图案化的金属氧化物抗蚀剂层30’表现出更好的LER/LWR和临界尺寸均匀性,从而显著提高光刻分辨率。参见例如图1C中的经图案化的金属氧化物抗蚀剂层30’和经图案化的金属氧化物抗蚀剂层40’的俯视图。
本公开进一步公开了使用循环金属抗蚀剂沉积工艺来控制金属氧化物抗蚀剂层的密度分布和/或密度特性,以在图案化(即曝光和显影)期间获得金属氧化物抗蚀剂层的期望性能并且优化特定图案特性。在一些实施例中,可以调整(调节)周期数(即金属氧化物子层的数量)、每个周期的厚度(即金属氧化物子层的厚度)、每个周期的密度(即金属氧化物子层的密度)、和/或周期时间,以获得金属氧化物抗蚀剂层的期望密度分布、期望密度特性、和/或期望优化性能参数。在一些实施例中,调整沉积工艺的参数(例如沉积工艺50-1至50-4),以实现金属氧化物抗蚀剂子层的期望密度分布、期望密度特性、和/或期望优化性能参数。沉积参数可以包括沉积前驱物类型、沉积前驱物流量、沉积压力、沉积温度、沉积功率、沉积时间、其他沉积参数、或前述项的组合。在一些实施例中,调整致密化工艺的参数(例如致密化工艺52-1至52-3),以实现金属氧化物抗蚀剂子层的期望密度分布、期望密度特性、和/或期望优化性能参数。致密化参数可以包括处理时间、处理温度、处理波长、处理功率、处理前驱物、处理前驱物流量、其他处理参数、或前述项的组合。
有时,在曝光工艺期间,经图案化的辐射无法沿着抗蚀剂层的深度均匀地使抗蚀剂层曝光。例如,抗蚀剂层的顶部比抗蚀剂层的底部接收到更高的曝光剂量。对曝光光子(例如EUV光子)的吸收可以从抗蚀剂层的顶部到底部相应地减少,这减少了抗蚀剂层中从抗蚀剂层的顶部到底部的交联。可以在光刻工艺A中观察到此现象,如图2A所示,在金属氧化物抗蚀剂层30的曝光部分30E的顶部部分的EUV光子(P)的数量小于在金属氧化物抗蚀剂层30的曝光部分30E的底部部分的EUV光子的数量。因此,与曝光部分30E的顶部部分相比,曝光部分30E的底部部分中发生较少的化学反应(例如交联),并且曝光部分30E的底部部分对显影剂是部分可溶的(而不是不可溶的)。结果,由曝光部分30E限定的抗蚀剂图案在顶部临界尺寸(TCD)和底部临界尺寸(BCD)中表现出偏差(差异),从而使由经图案化的金属氧化物抗蚀剂层30’提供的图案保真度劣化。在一些实施例中,如图所示,曝光部分30E具有渐缩的侧壁,其中曝光部分30E的宽度从顶部到底部减小,并且曝光部分30E的TCD大于曝光部分30E的BCD。
光刻工艺C实施循环金属抗蚀剂沉积工艺B,以提供解决这种现象的金属氧化物抗蚀剂层80,并优化从顶部到底部的对曝光光子(例如EUV光子)的吸收。金属氧化物抗蚀剂层80具有从底部到顶部减小的梯度密度,例如从金属氧化物层80的底表面(例如与材料层20交接)到金属氧化物抗蚀剂层80的顶表面减小的梯度密度。例如,循环金属抗蚀剂沉积工艺B形成金属氧化物抗蚀剂子层80A、金属氧化物抗蚀剂子层80B、以及金属氧化物抗蚀剂子层80C,它们结合以形成具有厚度T的金属氧化物抗蚀剂层80。与金属氧化物抗蚀剂层40(其中金属氧化物抗蚀剂子层40A-40D具有基本上相同的密度)作为对比,金属氧化物抗蚀剂子层80A的密度大于金属氧化物抗蚀剂子层80B的密度,并且金属氧化物抗蚀剂子层80B的密度大于金属氧化物抗蚀剂子层80C的密度,使得金属氧化物抗蚀剂层80的密度从底部到顶部减小。将金属氧化物抗蚀剂层80(即金属氧化物抗蚀剂子层80C)配置为具有低密度顶部部分允许曝光光子更容易地穿过金属氧化物抗蚀剂层80到达金属氧化物层80的底部,因此增加了到达金属氧化物层80的底部部分的光子的数量。将金属氧化物抗蚀剂层80(即金属氧化物抗蚀剂子层80A)配置为具有高密度底部部分使金属氧化物抗蚀剂层80的底部部分对曝光光子的吸收增加。因此,金属氧化物抗蚀剂层80的梯度密度使金属氧化物抗蚀剂层80的底部部分中的交联增加。例如,在曝光工艺期间,曝光部分80E的底部部分中的化学反应(例如交联)的量与曝光部分80E的顶部部分中的化学反应的量基本上相同,使得曝光部分80E变得均匀地(例如从顶部到底部)不溶于显影剂,而未曝光部分80U仍可溶于显影剂。结果,在显影后,通过具有开口82的经图案化的金属氧化物抗蚀剂层80’来提供抗蚀剂图案,这些开口82由在TCD和BCD中具有最小(甚至无)偏差(即TCD与BCD基本上相同)的曝光部分80E限定,从而改进图案保真度。在一些实施例中,如图所示,曝光部分80E具有基本平行的侧壁,并且曝光部分80E的宽度从顶部到底部基本上相同。在一些实施例中,金属氧化物抗蚀剂层80的低密度顶部部分具有松散、随机和/或非均匀的原子结构,金属氧化物抗蚀剂层80的高密度底部部分具有致密、有序和/或均匀的原子结构。
在一些实施例中,金属氧化物抗蚀剂层80的总体密度(例如平均密度)大于金属氧化物抗蚀剂层30的总体密度(例如平均密度),使得当被曝光于相同的曝光剂量时,金属氧化物抗蚀剂层80与金属氧化物抗蚀剂层30相比可以吸收更多的辐射,并且金属氧化物抗蚀剂层80比金属氧化物抗蚀剂层30更均匀地吸收这些辐射。在所描绘的实施例中,金属氧化物抗蚀剂子层80A的密度以及金属氧化物抗蚀剂子层80B的密度大于金属氧化物抗蚀剂层30的密度,而金属氧化物抗蚀剂子层80C的密度基本上等于或小于金属氧化物抗蚀剂层30的密度。在一些实施例中,金属氧化物抗蚀剂子层80A-80C的密度都大于金属氧化物抗蚀剂层30的密度。金属氧化物子层80A-80C可以具有相应的密度分布,例如在总体上基本均匀的密度、从底表面到顶表面增加或减小的梯度密度、交变的密度、或其他合适的密度分布。在所示的实施例中,每个金属氧化物子层80A-80C具有基本均匀的密度。在一些实施例中,金属氧化物抗蚀剂子层80A的原子结构比金属氧化物抗蚀剂子层80B的原子结构更有序和/或更紧密地堆积,并且金属氧化物抗蚀剂子层80B的原子结构比金属氧化物抗蚀剂子层80C的原子结构更有序和/或更紧密地堆积。金属氧化物抗蚀剂子层80A-80C分别具有厚度t5、厚度t6和厚度t7,其中厚度t5、厚度t6和厚度t7之和等于目标厚度T。在所示的实施例中,厚度t5、厚度t6、以及厚度t7基本上相同。在一些实施例中,取决于对于金属氧化物抗蚀剂层80所期望的密度分布和/或密度特性,厚度t5、厚度t6和/或厚度t7是不同的或相同的。
图2B示出了根据本公开的各个方面的循环金属抗蚀剂沉积工艺B。在图2中,循环金属抗蚀剂沉积工艺B包括三个周期,其中每个周期形成金属氧化物抗蚀剂子层80A-80C之一,每个循环包括沉积工艺,并且一些周期包括致密化工艺。例如,循环金属抗蚀剂沉积工艺B包括周期1、周期2和周期3。周期1包括:执行沉积工艺90-1,以在材料层20上形成具有厚度t5和第一密度的金属氧化物抗蚀剂子层80A’;以及对金属氧化物抗蚀剂子层80A’执行致密化工艺92-1,从而形成金属氧化物抗蚀剂子层80A,该金属氧化物抗蚀剂子层80A具有大于第一密度的第二密度。周期2包括:执行沉积工艺90-2,以在金属氧化物抗蚀剂子层80A上形成具有厚度t6和第三密度的金属氧化物抗蚀剂子层80B’;以及对金属氧化物抗蚀剂子层80B’执行致密化工艺92-2,从而形成金属氧化物抗蚀剂子层80B,该金属氧化物抗蚀剂子层80B具有第四密度,该第四密度大于第三密度并且小于金属氧化物抗蚀剂子层80A的第二密度。周期3包括:执行沉积工艺90-3,以形成金属氧化物抗蚀剂子层80C,该金属氧化物抗蚀剂子层80C具有小于第四密度的第五密度。在周期3期间不执行任何致密化工艺。沉积工艺90-1至90-3类似于上述的沉积工艺50-1至50-4;致密化工艺92-1、92-2类似于致密化工艺52-1至52-4;并且沉积工艺90-1至90-3和致密化工艺92-1、92-2的参数可以被配置为实现金属氧化物抗蚀剂子层80A’、80B’、金属氧化物抗蚀剂子层80A-80C和金属氧化物抗蚀剂层80的期望密度分布和/或期望密度特性。在所描述的实施例中,第二密度、第四密度和第五密度(即在每个周期之后含金属和氧的抗蚀剂材料的密度)是不同的,使得金属氧化物抗蚀剂层80具有从顶部到底部增加的密度。在一些实施例中,第一密度、第三密度和第五密度(即所沉积的含金属和氧的抗蚀剂材料的密度)基本上相同。在一些实施例中,第一密度、第三密度和/或第五密度是不同的。在一些实施例中,第一密度、第三密度和/或第五密度与金属氧化物抗蚀剂层30的密度相同。
有时,在曝光工艺期间,在抗蚀剂层的未曝光部分中发生意外的化学反应(例如交联)。在光刻工艺A中可以观察到这种现象,如图3A所示,在金属氧化物抗蚀剂层30的被掩模30覆盖的部分(即未曝光部分30U)中不期望地和无意地发生化学反应,从而形成部分不溶于(而不是可溶于)显影剂的无意曝光部分UE。结果,未曝光部分30U未被显影剂完全去除,从而在工件10的未曝光区域中留下抗蚀剂残留物S。抗蚀剂残留物S对应于无意曝光部分UE,其可能是抗蚀剂浮渣(例如,阻止材料层20的区域被图案化的抗蚀剂残留物)和/或抗蚀剂底脚(例如,在曝光部分30E的底部处的抗蚀剂残留物),这导致临界尺寸变化和/或LER/LWR变化)。图3A中描述的光刻工艺D实施循环金属抗蚀剂沉积工艺C,以提供解决并最小化这种现象的金属氧化物抗蚀剂层100。
金属氧化物抗蚀剂层100具有从底部到顶部增加的梯度密度,例如从金属氧化物层100的底表面(例如与材料层20交接)到金属氧化物抗蚀剂层100的顶表面增加的梯度密度。例如,循环金属抗蚀剂沉积工艺C形成金属氧化物抗蚀剂子层100A、金属氧化物抗蚀剂子层100B、以及金属氧化物抗蚀剂子层100C,它们结合以形成具有厚度T的金属氧化物抗蚀剂层100。与金属氧化物抗蚀剂层40(其中金属氧化物抗蚀剂子层40A-40D具有基本上相同的密度)作为对比,金属氧化物抗蚀剂子层100A的密度小于金属氧化物抗蚀剂子层100B的密度,并且金属氧化物抗蚀剂子层100B的密度小于金属氧化物抗蚀剂子层100C的密度,使得金属氧化物抗蚀剂层100的密度从底部到顶部增加。将金属氧化物抗蚀剂层100(即金属氧化物抗蚀剂子层100A)配置为具有低密度底部部分使金属氧化物抗蚀剂层100的底部部分对曝光光子的吸收降低,并且因此降低了在金属氧化物抗蚀剂层100的未曝光部分中的意外化学反应的频率。将金属氧化物抗蚀剂层100(即金属氧化物抗蚀剂子层100B和金属氧化物抗蚀剂子层100C)配置为具有高密度顶部部分使金属氧化物抗蚀剂层100的顶部部分对曝光光子的吸收增加。因此,金属氧化物抗蚀剂层100的梯度密度使金属氧化物抗蚀剂层100的底部部分中的交联降低,同时使金属氧化物抗蚀剂层100的顶部部分中的交联增加。例如,在曝光工艺中,曝光部分100E的底部部分中的化学反应(例如交联)的量可以小于曝光部分100E的顶部部分中的化学反应的量,从而减少在与曝光部分100E的底部部分相邻的未曝光部分100U中的意外化学反应(例如部分交联)的频率。结果,在显影后,通过具有开口102的经图案化的金属氧化物抗蚀剂层100’来提供抗蚀剂图案,开口102由被明确限定的曝光部分100E限定,该曝光部分100E具有最少程度的抗蚀剂残留物或没有抗蚀剂残留物,从而改进图案保真度。在一些实施例中,如图所示,曝光部分100E具有基本平行的侧壁,并且曝光部分100E的宽度从顶部到底部基本上相同。在一些实施例中,金属氧化物抗蚀剂层100的低密度底部部分具有松散、随机和/或非均匀的原子结构,金属氧化物抗蚀剂层100的高密度顶部部分具有致密、有序和/或均匀的原子结构。
在一些实施例中,金属氧化物抗蚀剂层100的总体密度(例如平均密度)大于金属氧化物抗蚀剂层30的总体密度(例如平均密度),使得当被曝光于相同的曝光剂量时,金属氧化物抗蚀剂层100与金属氧化物抗蚀剂层30相比可以吸收更多的辐射,并且金属氧化物抗蚀剂层80比金属氧化物抗蚀剂层30更均匀地吸收这些辐射。在所描绘的实施例中,金属氧化物抗蚀剂子层100C的密度以及金属氧化物抗蚀剂子层100B的密度大于金属氧化物抗蚀剂层30的密度,而金属氧化物抗蚀剂子层100A的密度基本上等于或小于金属氧化物抗蚀剂层30的密度。在所描绘的实施例的进一步改进中,金属氧化物抗蚀剂子层100C的密度大于金属氧化物抗蚀剂子层100B的密度。在一些实施例中,金属氧化物抗蚀剂子层100C的密度和金属氧化物抗蚀剂子层100B的密度基本上相同。在一些实施例中,金属氧化物抗蚀剂子层100A–100C的密度都大于金属氧化物抗蚀剂层30的密度。金属氧化物子层100A–100C可以具有相应的密度分布,例如在总体上基本均匀的密度、从底表面到顶表面增加或减小的梯度密度、交变的密度、或其他合适的密度分布。在所示的实施例中,每个金属氧化物子层100A-100C具有基本均匀的密度。在一些实施例中,金属氧化物抗蚀剂子层100A的原子结构比金属氧化物抗蚀剂子层100B的原子结构更不有序和/或更不紧密地堆积,并且金属氧化物抗蚀剂子层100B的原子结构比金属氧化物抗蚀剂子层100C的原子结构更不有序和/或更不紧密地堆积。金属氧化物抗蚀剂子层100A–100C分别具有厚度t8、厚度t9和厚度t10,其中厚度t8、厚度t9和厚度t10之和等于目标厚度T。在所示的实施例中,厚度t8、厚度t9、以及厚度t10基本上相同。在一些实施例中,取决于期望的密度分布和/或密度特性,厚度t8、厚度t9和/或厚度t10是不同的或相同的。
图3B示出了根据本公开的各个方面的循环金属抗蚀剂沉积工艺C。在图3B中,循环金属抗蚀剂沉积工艺C包括三个周期,其中每个周期形成金属氧化物抗蚀剂子层100A–100C之一,每个循环包括沉积工艺,并且一些周期包括致密化工艺。例如,循环金属抗蚀剂沉积工艺C包括周期1、周期2和周期3。周期1包括执行沉积工艺110-1,以在材料层20上形成金属氧化物抗蚀剂子层100A,该金属氧化物抗蚀剂子层100A具有厚度t8和第一密度。在周期1期间不执行任何致密化工艺。周期2包括:执行沉积工艺110-2,以在金属氧化物抗蚀剂子层100A上形成具有厚度t9和第二密度的金属氧化物抗蚀剂子层100B’;以及对金属氧化物抗蚀剂子层100B’执行致密化工艺112-1,从而形成金属氧化物抗蚀剂子层100B,该金属氧化物抗蚀剂子层100B具有第三密度,该第三密度大于第二密度以及金属氧化物抗蚀剂子层100A的第一密度。周期3包括:执行沉积工艺110-3,以形成具有厚度t10和第四密度的金属氧化物抗蚀剂子层100C’;以及对金属氧化物抗蚀剂子层100C’执行致密化工艺112-2,从而形成具有第五密度的金属氧化物抗蚀剂子层100C,该第五密度大于第四密度以及金属氧化物抗蚀剂子层100B的第三密度。沉积工艺110-1至110-3类似于上述的沉积工艺50-1至50-4;致密化工艺112-1、112-2类似于致密化工艺52-1至52-4;并且沉积工艺110-1至110-3和致密化工艺112-1、112-2的参数可以被配置为实现金属氧化物抗蚀剂子层100B’、100C’、金属氧化物抗蚀剂子层100A-100C和金属氧化物抗蚀剂层100的期望密度分布和/或期望密度特性。在所描述的实施例中,第一密度、第三密度和第五密度(即在每个周期之后含金属和氧的抗蚀剂材料的密度)是不同的,使得金属氧化物抗蚀剂层100具有从顶部到底部降低的密度。在一些实施例中,第三密度和第五密度相同,但是在另一些实施例中,第三密度和第五密度不同并且大于第一密度。在一些实施例中,第一密度、第二密度和第四密度(即所沉积的含金属和氧的抗蚀剂材料的密度)基本上相同。在一些实施例中,第一密度、第二密度、和/或第四密度是不同的。在一些实施例中,第一密度、第二密度、和/或第四密度与金属氧化物抗蚀剂层30的密度相同。
图4示出了根据本公开各个方面的用于形成金属氧化物抗蚀剂层120的循环金属抗蚀剂沉积工艺D,该金属氧化物抗蚀剂层120可在光刻工艺中使用以改进图案保真度。金属氧化物抗蚀剂层120具有从底部到顶部的交变密度(例如松散-致密-松散-致密),例如从金属氧化物层120的底表面(例如与材料层20界交接)到金属氧化物抗蚀剂层120的顶表面的交变密度。例如,循环金属抗蚀剂沉积工艺D形成金属氧化物抗蚀剂子层120A、金属氧化物抗蚀剂子层120B、金属氧化物抗蚀剂子层120C、以及金属氧化物抗蚀剂子层120D,它们结合以形成具有厚度T的金属氧化物抗蚀剂层120。金属氧化物抗蚀剂子层120A–120D分别具有厚度t11、厚度t12、厚度t13和厚度t14,其中,厚度t11、厚度t12、厚度t13和厚度t14之和等于目标厚度T。与金属氧化物抗蚀剂层40(其中金属氧化物抗蚀剂子层40A-40D具有基本上相同的密度)作为对比,金属氧化物抗蚀剂子层120B的密度大于金属氧化物抗蚀剂子层120A的密度,金属氧化物抗蚀剂子层120C的密度小于金属氧化物抗蚀剂子层120B的密度,并且金属氧化物抗蚀剂子层120D的密度大于金属氧化物抗蚀剂子层120C的密度,使得金属氧化物抗蚀剂层120的密度从底部到顶部低-高交变和/或金属氧化物抗蚀剂层120的原子结构从底部到顶部松散-密集交变。交变的密度分布可以平衡各种图案化问题,以优化图案保真度。例如,将金属氧化物抗蚀剂层120配置为具有低密度底部部分(即金属氧化物抗蚀剂子层120A)减少了抗蚀剂浮渣和/或抗蚀剂底脚缺陷,而将金属氧化物抗蚀剂层120配置为具有高密度顶部部分(即金属氧化物抗蚀剂子层120D)使排气最小化,并且因此使由金属氧化物抗蚀剂层120引起的排气污染最小化。此外,将金属氧化物抗蚀剂层120配置为具有从顶部到底部降低的梯度密度中间部分(即金属氧化物抗蚀剂子层120B和金属氧化物抗蚀剂子层120C)可以增强对辐射的吸收,从而改进LER/LWR和/或临界尺寸均匀性。
在一些实施例中,金属氧化物抗蚀剂层120的总体密度(例如平均密度)大于金属氧化物抗蚀剂层30的总体密度(例如平均密度),使得当被曝光于相同的曝光剂量时,金属氧化物抗蚀剂层120与金属氧化物抗蚀剂层30相比可以吸收更多的辐射,并且金属氧化物抗蚀剂层120比金属氧化物抗蚀剂层30更均匀地吸收这些辐射。在所描述的实施例中,金属氧化物抗蚀剂子层120B-120D的密度大于金属氧化物抗蚀剂层30的密度,而金属氧化物抗蚀剂子层120A的密度基本上等于或小于金属氧化物抗蚀剂层30的密度。在一些实施例中,金属氧化物抗蚀剂子层120D的密度是金属氧化物抗蚀剂层120的最大密度,金属氧化物抗蚀剂子层120A的密度是金属氧化物抗蚀剂层120的最小密度,并且金属氧化物抗蚀剂子层的密度120℃在该最大密度和最小密度之间。在一些实施例中,金属氧化物抗蚀剂子层120B的密度与金属氧化物抗蚀剂子层120D的密度相同。在一些实施例中,金属氧化物抗蚀剂子层120B的密度小于金属氧化物抗蚀剂子层120D的密度,但大于金属氧化物抗蚀剂子层120C的密度。在一些实施例中,金属氧化物抗蚀剂子层120A–120D的密度都大于金属氧化物抗蚀剂层30的密度。金属氧化物子层120A–120D可以具有相应的密度分布,例如在总体上基本均匀的密度、从底表面到顶表面增加或减小的梯度密度、交变的密度、或其他合适的密度分布。在所示的实施例中,每个金属氧化物子层120A–120D具有基本均匀的密度。
在图4中,循环金属抗蚀剂沉积工艺D包括四个周期,其中每个周期形成金属氧化物抗蚀剂子层120A–120D之一,每个循环包括沉积工艺,并且一些周期包括致密化工艺。例如,循环金属抗蚀剂沉积工艺D包括周期1、周期2、周期3和周期4。周期1包括执行沉积工艺130-1,以在材料层20上形成金属氧化物抗蚀剂子层130A,该金属氧化物抗蚀剂子层130A具有厚度t11和第一密度。在周期1期间不执行任何致密化工艺。周期2包括:执行沉积工艺130-2,以在金属氧化物抗蚀剂子层120A上形成具有厚度t12和第二密度的金属氧化物抗蚀剂子层120B’;以及对金属氧化物抗蚀剂子层120B’执行致密化工艺132-1,从而形成金属氧化物抗蚀剂子层120B,该金属氧化物抗蚀剂子层120B具有第三密度,该第三密度大于第二密度以及金属氧化物抗蚀剂子层120A的第一密度。厚度t12大于厚度t11。周期3包括:执行沉积工艺130-3,以形成具有厚度t13和第四密度的金属氧化物抗蚀剂子层120C’;以及对金属氧化物抗蚀剂子层120C’执行致密化工艺132-2,从而形成具有第五密度的金属氧化物抗蚀剂子层120C,该第五密度大于第四密度并且小于金属氧化物抗蚀剂子层120B的第三密度。厚度t13小于厚度t12并且大于厚度t11。周期4包括:执行沉积工艺130-4,以形成具有厚度t14和第六密度的金属氧化物抗蚀剂子层120D’;以及对金属氧化物抗蚀剂子层120D’执行致密化工艺132-3,从而形成具有第七密度的金属氧化物抗蚀剂子层120D,该第七密度大于第六密度并且大于金属氧化物抗蚀剂子层120C的第五密度。厚度t14小于厚度t13。在所示的实施例中,第七密度与第三密度基本上相同。沉积工艺130-1至130-4类似于上述的沉积工艺50-1至50-4;致密化工艺132-1–132-3类似于致密化工艺52-1至52-4;并且沉积工艺130-1–130-4和致密化工艺132-1–132-3的参数可以被配置为实现金属氧化物抗蚀剂子层120B’–120D’、金属氧化物抗蚀剂子层120A–120D和金属氧化物抗蚀剂层120的期望密度分布和/或期望密度特性。在所描述的实施例中,第一密度、第三密度、第五密度和第七密度(即在每个周期之后含金属和氧的抗蚀剂材料的密度)是不同的,使得金属氧化物抗蚀剂层120具有从顶部到底部变化的密度。在一些实施例中,第一密度、第二密度、第四密度和/或第七密度(即所沉积的含金属和氧的抗蚀剂材料的密度)基本上相同。在一些实施例中,第一密度、第二密度、和/或第四密度是不同的。在一些实施例中,第一密度、第二密度、第四密度和/或第六密度与金属氧化物抗蚀剂层30的密度相同。为了清楚起见,已简化了图4,以更好地理解本公开的发明构思。可以在循环金属抗蚀剂沉积工艺D中添加其他特征,并且在循环金属抗蚀剂沉积工艺D的其他实施例中可以替换、修改或消除以下描述的一些特征。
图5示出了根据本公开各个方面的用于形成金属氧化物抗蚀剂层的循环金属抗蚀剂沉积工艺E,该金属氧化物抗蚀剂层可在光刻工艺中使用以改进图案保真度。循环金属抗蚀剂沉积工艺E与循环金属抗蚀剂沉积工艺A类似,不同之处在于,循环金属抗蚀剂沉积工艺E形成金属氧化物抗蚀剂层140并且调整致密化工艺以在金属氧化物抗蚀剂层140中引起部分交联。例如,金属氧化物抗蚀剂层140从底部到顶部均匀地、部分交联,例如从金属氧化物层140的底部表面(例如与材料层20交接)到金属氧化物抗蚀剂层140的顶部表面均匀地、部分交联。金属氧化物抗蚀剂层140中的交联度大于金属氧化物抗蚀剂层130中的交联度(其可以是零),使得可以用比金属氧化物抗蚀剂层30所需的曝光剂量小的曝光剂量来对金属氧化物抗蚀剂层140进行图案化。在图5中,循环金属抗蚀剂沉积工艺E形成金属氧化物抗蚀剂子层140A、金属氧化物抗蚀剂子层140B、金属氧化物抗蚀剂子层140C、以及金属氧化物抗蚀剂子层140D,它们结合以形成具有厚度T的金属氧化物抗蚀剂层140。金属氧化物抗蚀剂子层140A-140D分别具有厚度t1、厚度t2、厚度t3和厚度t4,其中,厚度t1、厚度t2、厚度t3和厚度t4之和等于目标厚度T。金属氧化物抗蚀剂子层140A中的部分交联度、金属氧化物抗蚀剂子层140B中的部分交联度、金属氧化物抗蚀剂子层140C中的部分交联度、以及金属氧化物抗蚀剂子层140D中的部分交联度基本上相同。在所示的实施例中,厚度t1、厚度t2、厚度t3和厚度t4基本上相同。在一些实施例中,取决于期望的部分交联分布,厚度t1、厚度t2、厚度t3和/或厚度t4不同或相同。为了清楚起见,已简化了图5,以更好地理解本公开的发明构思。可以在循环金属抗蚀剂沉积工艺E中添加其他特征,并且在循环金属抗蚀剂沉积工艺E的其他实施例中可以替换、修改或消除以下描述的一些特征。
转到图5所示,循环金属抗蚀剂沉积工艺E包括四个周期,其中每个周期形成金属氧化物抗蚀剂子层140A–140D之一,并且每个周期包括沉积工艺和致密化工艺。例如,循环金属抗蚀剂沉积工艺E包括周期1、周期2、周期3和周期4,其中周期1-4包括分别形成金属氧化物抗蚀剂子层40A’-40D’的沉积工艺50-1至50-4,如上参考图1A至图1C所述。周期1包括:执行致密化工艺152-1,以在金属氧化物抗蚀剂子层40A’中引起交联,从而提供具有第一交联度的金属氧化物抗蚀剂子层140A。周期2包括:执行致密化工艺152-2,以在金属氧化物抗蚀剂子层40B’中引起交联,从而提供具有第二交联度的金属氧化物抗蚀剂子层140B。周期3包括:执行致密化工艺152-3,以在金属氧化物抗蚀剂子层40C’中引起交联,从而提供具有第三交联度的金属氧化物抗蚀剂子层140C。周期4包括:执行致密化工艺152-4,以在金属氧化物抗蚀剂子层40D’中引起交联,从而提供具有第四交联度的金属氧化物抗蚀剂子层140D。第一交联度、第二交联度、第三交联度和第四交联度小于完全交联。在所示的实施例中,第一交联度、第二交联度、第三交联度和第四交联度基本上相同,这可以通过调整致密化工艺152-1至152-4的参数来实现。在一些实施例中,第一交联度、第二交联度、第三交联度和第四交联度是不同的或相同的,以实现具有不同交联分布的金属氧化物抗蚀剂层。在一些实施例中,金属氧化物抗蚀剂层140具有从顶部到底部变化的交联度。例如,第一交联度大于第二交联度、第三交联度和/或第四交联度。致密化工艺152-1至152-4与上述致密化工艺类似。例如,致密化工艺152-1至152-4可以是软烘烤、紫外线处理、IR处理/其他合适的处理、或它们的组合。
在执行本文所述的各种光刻工艺(例如光刻工艺A、光刻工艺B、光刻工艺C、和/或光刻工艺D)之后,使用本文所述的经图案化的金属氧化物抗蚀剂层(例如经图案化的金属氧化物抗蚀剂层30’、经图案化的金属氧化物抗蚀剂层40’、经图案化的金属氧化物抗蚀剂层80’、经图案化的金属氧化物抗蚀剂层100’、由金属氧化物光阻层120形成的经图案化的金属氧化物抗蚀剂层、和/或由金属氧化物抗蚀剂层140形成的经图案化的金属氧化物抗蚀剂层)作为掩模,对工件10(例如材料层20和/或晶圆15)执行制造工艺。例如,制造工艺仅应用于工件10的在经图案化的金属氧化物抗蚀剂层的开口内的部分,而工件10的被经图案化的金属氧化物抗蚀剂层覆盖(例如,被经图案化的金属氧化物抗蚀剂层的曝光部分覆盖)的其他部分被保护而不受制造工艺的影响。在一些实施例中,制造工艺包括使用经图案化的金属氧化物抗蚀剂层作为蚀刻掩模来蚀刻材料层20。因此,图案被从经图案化的金属氧化物抗蚀剂层转移到材料层20,从而形成经图案化的材料层。在材料层20是硬掩模层的实施例中,首先将图案从经图案化的金属氧化物抗蚀剂层转移到材料层20,然后将图案从经图案化的材料层20转移到晶圆15的材料层。在一些实施例中,制造工艺包括使用经图案化的金属氧化物抗蚀剂层作为注入掩模在材料层20上执行注入工艺,从而在材料层20中形成各种掺杂特征(区域)。在一些实施例中,制造工艺包括使用经图案化的金属氧化物抗蚀剂层作为沉积掩模在材料层20之上沉积材料,从而在材料层20之上形成各种材料特征(例如栅极和/或接触件)。此后,使用任何合适的工艺从工件10去除经图案化的金属氧化物抗蚀剂层。在一些实施例中,经图案化的金属氧化物抗蚀剂层可以在制造工艺期间(例如在蚀刻工艺期间)被部分消耗,使得经图案化的金属氧化物抗蚀剂层的任何剩余部分随后通过适当的工艺而被去除。
本公开提供了金属氧化物抗蚀剂层、用于形成金属氧化物抗蚀剂层的循环金属氧化物抗蚀剂沉积工艺、以及使用金属氧化物抗蚀剂层以改进光刻分辨率和/或抗蚀剂图案保真度的光刻技术。本公开设想,可以实施本文所述的循环金属氧化物抗蚀剂沉积工艺以形成任何类型的含金属的抗蚀剂层,例如金属氮化物抗蚀剂层、金属碳化物抗蚀剂层、和/或任何其他类型的含金属的光敏层。在这样的实施例中,对氧/氧化物的引用可以用对其他成分的引用代替,例如氮/氮化物、碳/碳化物、和/或其他含金属的抗蚀剂成分。在这样的实施例中,含金属的抗蚀剂层可以包括也可以不包括氧。上述先进的光刻工艺、方法和材料可用于许多应用,包括FinFET和/或GAA晶体管。例如,鳍可以被图案化为在特征之间产生相对紧密的间隔,上述公开非常适合于这些间隔。此外,可以根据以上公开来处理用于形成鳍的间隔件(也被称为心轴)。
示例性方法包括:通过执行沉积工艺在工件之上形成金属氧化物抗蚀剂层,以在工件之上形成金属氧化物抗蚀剂层的金属氧化物抗蚀剂子层;以及对至少一个金属氧化物抗蚀剂子层执行致密化工艺。每个沉积工艺形成金属氧化物抗蚀剂子层中的相应一个。致密化工艺使至少一个金属氧化物抗蚀剂子层的密度增加。可以调整沉积工艺的参数和/或致密化工艺的参数,以实现不同的密度分布、不同的密度特性、和/或不同的吸收特性,从而优化对金属氧化物抗蚀剂层的图案化。在一些实施例中,形成金属氧化物抗蚀剂层还包括:在执行致密化工艺之后,执行清除工艺。在一些实施例中,沉积工艺和致密化工艺是在同一工艺室中执行的。在一些实施例中,执行致密化工艺包括:将至少一个金属氧化物抗蚀剂子层曝光于等离子体。在一些实施例中,执行致密化工艺包括:对至少一个金属氧化物抗蚀剂子层进行软烘烤。在一些实施例中,执行致密化工艺包括:将至少一个金属氧化物抗蚀剂子层曝光于紫外线(UV)辐射。在一些实施例中,执行致密化工艺包括:将金属氧化物抗蚀剂子层中的至少一个曝光于红外(IR)辐射。在一些实施例中,致密化工艺是在每个沉积周期之后执行的,使得金属氧化物抗蚀剂子层中的每个金属氧化物抗蚀剂子层经受相应的致密化处理。在一些实施例中,该方法还包括:调整沉积工艺的沉积参数,调整致密化工艺的致密化参数,或调整沉积工艺的沉积参数和致密化工艺的致密化参数两者,以在金属氧化物抗蚀剂层中实现梯度密度。在一些实施例中,该方法还包括:对金属氧化物抗蚀剂层执行曝光工艺,并且对金属氧化物抗蚀剂层执行显影工艺,从而在工件之上形成经图案化的金属氧化物抗蚀剂层。
另一示例性方法包括:在工艺室中接收工件;在工艺室中执行至少两个沉积工艺,以在工件之上形成金属氧化物抗蚀剂层;以及在工艺室中执行处理工艺,以修改金属氧化物抗蚀剂层的密度分布。在一些实施例中,处理工艺是在至少两个沉积工艺中的每个沉积工艺之后执行的,使得金属氧化物抗蚀剂层从底部到顶部具有均匀的密度。在一些实施例中,处理工艺是在至少两个沉积工艺中的每个沉积工艺之后执行的,使得金属氧化物抗蚀剂层具有从底部到顶部变化的密度。在一些实施例中,处理工艺是在至少两个沉积工艺中的至少一个沉积工艺之后执行的,使得金属氧化物抗蚀剂层具有从底部到顶部增加的梯度密度。在一些实施例中,处理工艺是在至少两个沉积工艺中的至少一个沉积工艺之后执行的,使得金属氧化物抗蚀剂层具有从底部到顶部减小的梯度密度。在一些实施例中,处理工艺是在至少两个沉积工艺中的至少一个沉积工艺之后执行的,使得金属氧化物抗蚀剂层具有交变的密度。
示例性金属氧化物抗蚀剂层包括:第一金属氧化物抗蚀剂子层,设置在第一金属氧化物抗蚀剂子层之上的第二金属氧化物抗蚀剂子层,设置在第二金属氧化物抗蚀剂子层之上的第三金属氧化物抗蚀剂子层。第一金属氧化物抗蚀剂子层具有第一密度,第二金属氧化物抗蚀剂子层具有第二密度,并且第三金属氧化物抗蚀剂子层具有第三密度。在一些实施例中,第一密度、第二密度和第三密度基本上相同。在一些实施例中,第一密度、第二密度和第三密度是不同的。在一些实施例中,第一密度、第二密度和第三密度被配置为为金属氧化物抗蚀剂层提供从第一金属氧化物抗蚀剂子层到第三金属氧化物抗蚀剂子层的梯度密度。
另一示例性方法包括:通过执行包括多于一个沉积周期的沉积工艺在工件之上形成金属氧化物抗蚀剂层,使得金属氧化物抗蚀剂层含金属氧化物抗蚀剂子层的堆叠,对金属氧化物抗蚀剂子层中的至少一个金属氧化物抗蚀剂子层执行致密化工艺,其中,致密化工艺使金属氧化物抗蚀剂子层中的至少一个金属氧化物抗蚀剂子层的密度增加。在一些实施例中,沉积工艺和执行致密化工艺是在同一工艺室中执行的。在一些实施例中,执行致密化工艺包括:将至少一个金属氧化物抗蚀剂子层曝光于等离子体。在一些实施例中,执行致密化工艺包括:将至少一个金属氧化物抗蚀剂子层暴露于退火工艺。在一些实施例中,执行致密化工艺包括:将至少一个金属氧化物抗蚀剂子层曝光于紫外线(UV)辐射。在一些实施例中,执行致密化工艺包括:将金属氧化物抗蚀剂子层中的至少一个曝光于红外(IR)辐射。在一些实施例中,沉积工艺是化学气相沉积工艺、原子层沉积工艺、或它们的组合。在一些实施例中,致密化工艺是在每个沉积周期之后执行的,使得金属氧化物抗蚀剂子层的堆叠中的每个金属氧化物抗蚀剂子层都经受相应的致密化处理。在一些实施例中,该方法还包括:调整沉积工艺的执行,调整致密化工艺的执行,或调整沉积工艺的执行和致密化工艺的执行两者,以在金属氧化物抗蚀剂层中实现梯度密度。在一些实施例中,金属氧化物抗蚀剂子层的堆叠的最顶部金属氧化物抗蚀剂子层的第一密度大于金属氧化物抗蚀剂子层的堆叠的最底部金属氧化物抗蚀剂子层的第二密度。在一些实施例中,金属氧化物抗蚀剂子层的堆叠的最顶部金属氧化物抗蚀剂子层的第一密度小于金属氧化物抗蚀剂子层的堆叠的最底部金属抗蚀剂氧化物子层的第二密度。在一些实施例中,调整沉积工艺的执行包括:调节前驱物气体、前驱物气体流量、沉积压力、沉积温度、沉积功率、沉积时间、其他沉积参数、或前述项的组合。在一些实施例中,调整致密化工艺的执行包括:调节处理时间、处理功率、处理温度、其他处理参数、或前述项的组合。在一些实施例中,该方法还包括:调整沉积工艺的执行,调整致密化工艺的执行,或调整沉积工艺的执行和致密化工艺的执行两者,以在金属氧化物抗蚀剂子层的堆叠中获得交变的密度图案。在一些实施例中,该方法还包括:在执行致密化工艺之后,执行清除工艺。在一些实施例中,致密化工艺是第一致密化工艺,并且该方法还包括:在工件之上形成金属氧化物抗蚀剂层之前,执行第二致密化工艺。在一些实施例中,该方法还包括:对金属氧化物抗蚀剂层执行曝光工艺,并且对金属氧化物抗蚀剂层执行显影工艺,从而在工件之上形成经图案化的金属氧化物抗蚀剂层。在一些实施例中,致密化工艺是第一致密化工艺,并且该方法还包括:执行曝光工艺,该曝光工艺包括将金属氧化物抗蚀剂层曝光于经图案化的极紫外(EUV)辐射。在一些实施例中,该方法还包括:使用经图案化的金属氧化物抗蚀剂层分别作为蚀刻掩模、注入掩模或沉积掩模,对工件执行蚀刻工艺、注入工艺或沉积工艺。在一些实施例中,该方法包括:在蚀刻工艺、注入工艺或沉积工艺之后,去除经图案化的金属氧化物抗蚀剂层。在一些实施例中,该方法还包括:将经图案化的金属氧化物抗蚀剂层中的图案转移到设置在工件之上的牺牲层,从而形成经图案化的牺牲层,以及将经图案化的牺牲层中的图案转移到工件的材料层。在一些实施例中,该方法还包括:在形成金属氧化物抗蚀剂层之前,对工件执行致密化工艺。
上文概述了一些实施例的特征,以使本领域普通技术人员可以更好地理解本公开的各个方面。本领域的普通技术人员应认识到,他们可以容易地使用本公开作为用于设计或者修改用于执行相同目的和/或实现本文中所介绍的实施例的相同优点的其他过程和结构的基础。本领域普通技术人员还应当意识到,这些等同构造并不脱离本公开的精神和范围,并且它们可以在不脱离本公开的精神和范围的情况下进行各种改变、替代和变更。
示例
示例1.一种用于形成半导体结构的方法,包括:通过以下操作在工件之上形成金属氧化物抗蚀剂层:执行沉积工艺,以在所述工件之上形成所述金属氧化物抗蚀剂层的金属氧化物抗蚀剂子层,其中,每个沉积工艺形成所述金属氧化物抗蚀剂子层中的相应一个金属氧化物抗蚀剂子层;以及对所述金属氧化物抗蚀剂子层中的至少一个金属氧化物抗蚀剂子层执行致密化工艺,其中,所述致密化工艺使所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层的密度增加。
示例2.根据示例1所述的方法,其中,形成所述金属氧化物抗蚀剂层的还包括:在执行所述致密化工艺之后,执行清除工艺。
示例3.根据示例1所述的方法,其中,所述沉积工艺和所述致密化工艺是在同一工艺室中执行的。
示例4.根据示例1所述的方法,其中,执行所述致密化工艺包括:使所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层曝光于等离子体。
示例5.根据示例1所述的方法,其中,执行所述致密化工艺包括:对所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层的软烘烤。
示例6.根据示例1所述的方法,其中,执行所述致密化工艺包括:使所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层曝光于紫外线(UV)辐射。
示例7.根据示例1所述的方法,其中,执行所述致密化工艺包括:使所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层曝光于红外(IR)辐射。
示例8.根据示例1所述的方法,其中,所述致密化工艺是在每个沉积周期之后执行的,使得所述金属氧化物抗蚀剂子层中的每个金属氧化物抗蚀剂子层经受相应的致密化处理。
示例9.根据示例1所述的方法,还包括:调整所述沉积工艺的沉积参数,调整所述致密化工艺的致密化参数,或调整所述沉积工艺的沉积参数和所述致密化工艺的致密化参数两者,以在所述金属氧化物抗蚀剂层中实现梯度密度。
示例10.根据权利要求1所述的方法,还包括:对所述金属氧化物抗蚀剂层执行曝光工艺;以及对所述金属氧化物抗蚀剂层执行显影工艺,从而在所述工件之上形成经图案化的金属氧化物抗蚀剂层。
示例11.一种用于形成半导体结构的方法,包括:在工艺室中接收工件;在所述工艺室中执行至少两个沉积工艺,以在所述工件之上形成金属氧化物抗蚀剂层;以及在所述工艺室中执行处理工艺,以修改所述金属氧化物抗蚀剂层的密度分布。
示例12.根据示例11所述的方法,其中,所述处理工艺是在所述至少两个沉积工艺中的每个沉积工艺之后执行的,使得所述金属氧化物抗蚀剂层从底部到顶部具有均匀的密度。
示例13.根据示例11所述的方法,其中,所述处理工艺是在所述至少两个沉积工艺中的每个沉积工艺之后执行的,使得所述金属氧化物抗蚀剂层具有从底部到顶部变化的密度。
示例14.根据示例11所述的方法,其中,所述处理工艺是在所述至少两个沉积工艺中的至少一个沉积工艺之后执行的,使得所述金属氧化物抗蚀剂层具有从底部到顶部增加的梯度密度。
示例15.根据示例11所述的方法,其中,所述处理工艺是在所述至少两个沉积工艺中的至少一个沉积工艺之后执行的,使得所述金属氧化物抗蚀剂层具有从底部到顶部减小的梯度密度。
示例16.根据示例11所述的方法,其中,所述处理工艺是在所述至少两个沉积工艺中的至少一个沉积工艺之后执行的,使得所述金属氧化物抗蚀剂层具有交变的密度。
示例17.一种金属氧化物抗蚀剂层,包括:第一金属氧化物抗蚀剂子层,所述第一金属氧化物抗蚀剂子层具有第一密度;第二金属氧化物抗蚀剂子层,所述第二金属氧化物抗蚀剂子层设置在所述第一金属氧化物抗蚀剂子层之上,其中,所述第二金属氧化物抗蚀剂子层具有第二密度;以及第三金属氧化物抗蚀剂子层,所述第三金属氧化物抗蚀剂子层设置在所述第二金属氧化物抗蚀剂子层之上,其中,所述第三金属氧化物抗蚀剂子层具有第三密度。
示例18.根据示例17所述的金属氧化物抗蚀剂层,其中,所述第一密度、所述第二密度和所述第三密度基本上相同。
示例19.根据示例17所述的金属氧化物抗蚀剂层,其中,所述第一密度、所述第二密度和所述第三密度不同。
示例20.根据示例17所述的金属氧化物抗蚀剂层,其中,所述第一密度、所述第二密度和所述第三密度被配置为为所述金属氧化物抗蚀剂层提供从所述第一金属氧化物抗蚀剂子层到所述第三金属氧化物抗蚀剂子层的梯度密度。

Claims (10)

1.一种用于形成半导体结构的方法,包括:
通过以下操作在工件之上形成金属氧化物抗蚀剂层:
执行沉积工艺,以在所述工件之上形成所述金属氧化物抗蚀剂层的金属氧化物抗蚀剂子层,其中,每个沉积工艺形成所述金属氧化物抗蚀剂子层中的相应一个金属氧化物抗蚀剂子层;以及
对所述金属氧化物抗蚀剂子层中的至少一个金属氧化物抗蚀剂子层执行致密化工艺,其中,所述致密化工艺使所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层的密度增加。
2.根据权利要求1所述的方法,其中,形成所述金属氧化物抗蚀剂层的还包括:在执行所述致密化工艺之后,执行清除工艺。
3.根据权利要求1所述的方法,其中,所述沉积工艺和所述致密化工艺是在同一工艺室中执行的。
4.根据权利要求1所述的方法,其中,执行所述致密化工艺包括:使所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层曝光于等离子体。
5.根据权利要求1所述的方法,其中,执行所述致密化工艺包括:对所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层的软烘烤。
6.根据权利要求1所述的方法,其中,执行所述致密化工艺包括:使所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层曝光于紫外线(UV)辐射。
7.根据权利要求1所述的方法,其中,执行所述致密化工艺包括:使所述金属氧化物抗蚀剂子层中的所述至少一个金属氧化物抗蚀剂子层曝光于红外(IR)辐射。
8.根据权利要求1所述的方法,其中,所述致密化工艺是在每个沉积周期之后执行的,使得所述金属氧化物抗蚀剂子层中的每个金属氧化物抗蚀剂子层经受相应的致密化处理。
9.一种用于形成半导体结构的方法,包括:
在工艺室中接收工件;
在所述工艺室中执行至少两个沉积工艺,以在所述工件之上形成金属氧化物抗蚀剂层;以及
在所述工艺室中执行处理工艺,以修改所述金属氧化物抗蚀剂层的密度分布。
10.一种金属氧化物抗蚀剂层,包括:
第一金属氧化物抗蚀剂子层,所述第一金属氧化物抗蚀剂子层具有第一密度;
第二金属氧化物抗蚀剂子层,所述第二金属氧化物抗蚀剂子层设置在所述第一金属氧化物抗蚀剂子层之上,其中,所述第二金属氧化物抗蚀剂子层具有第二密度;以及
第三金属氧化物抗蚀剂子层,所述第三金属氧化物抗蚀剂子层设置在所述第二金属氧化物抗蚀剂子层之上,其中,所述第三金属氧化物抗蚀剂子层具有第三密度。
CN202110659598.5A 2020-09-30 2021-06-15 用于含金属的抗蚀剂层的原位沉积和致密化处理 Pending CN114334620A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063085610P 2020-09-30 2020-09-30
US63/085,610 2020-09-30
US17/231,702 US20220100088A1 (en) 2020-09-30 2021-04-15 In-Situ Deposition and Densification Treatment for Metal-Comprising Resist Layer
US17/231,702 2021-04-15

Publications (1)

Publication Number Publication Date
CN114334620A true CN114334620A (zh) 2022-04-12

Family

ID=80624782

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110659598.5A Pending CN114334620A (zh) 2020-09-30 2021-06-15 用于含金属的抗蚀剂层的原位沉积和致密化处理

Country Status (5)

Country Link
US (2) US20220100088A1 (zh)
KR (1) KR20220044085A (zh)
CN (1) CN114334620A (zh)
DE (1) DE102021110173A1 (zh)
TW (1) TWI798746B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11886120B2 (en) * 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04332118A (ja) * 1991-05-02 1992-11-19 Fujitsu Ltd パターンの形成方法
US7391948B2 (en) * 2002-02-19 2008-06-24 Richard Nagler Optical waveguide structure
US20060043536A1 (en) * 2004-08-31 2006-03-02 Chih-Chen Co Implanted photoresist to reduce etch erosion during the formation of a semiconductor device
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US7888267B2 (en) * 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
TWI403413B (zh) * 2009-04-27 2013-08-01 Univ Tatung 親疏水性可轉換複合膜及其製備方法
US8088685B2 (en) * 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP2015065430A (ja) * 2013-08-27 2015-04-09 三菱マテリアル株式会社 PNbZT薄膜の製造方法
US10520822B2 (en) * 2017-06-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography techniques for reducing resist swelling
US11018053B2 (en) * 2018-06-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with material modification and low resistance plug
US20200219765A1 (en) * 2019-01-03 2020-07-09 International Business Machines Corporation Interconnect structures containing patternable low-k dielectrics and anti-reflective coatings and method of fabricating the same
US11562904B2 (en) * 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films

Also Published As

Publication number Publication date
US20220100088A1 (en) 2022-03-31
US20240019778A1 (en) 2024-01-18
KR20220044085A (ko) 2022-04-06
DE102021110173A1 (de) 2022-03-31
TWI798746B (zh) 2023-04-11
TW202215171A (zh) 2022-04-16

Similar Documents

Publication Publication Date Title
JP7282830B2 (ja) 蒸着金属酸化物含有ハードマスクのeuvフォトパターニング
JP6603654B2 (ja) フィルムスタック上にハードマスクを形成するための方法
KR102405489B1 (ko) 반도체 디바이스 제조 방법
JP7382512B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
US20240019778A1 (en) In-Situ Deposition and Densification Treatment for Metal-Comprising Resist Layer
JP2022013909A (ja) 半導体デバイスの製造方法及びパターン形成方法
US20210217614A1 (en) Multiple patterning with selective mandrel formation
JP5295968B2 (ja) 半導体装置の製造方法および装置
KR102630481B1 (ko) 반도체 디바이스 제조 방법
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
CN113109995A (zh) 制造半导体器件的方法
CN113113292A (zh) 制造半导体器件的方法
TW202205374A (zh) 在光阻層中形成圖案的方法及半導體裝置的製造方法
TWI804806B (zh) 製造半導體元件的方法
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
US11915931B2 (en) Extreme ultraviolet lithography patterning method
TW202420411A (zh) 藉由化學氣相聚合作用形成感光性有機金屬氧化物的方法
KR20230170786A (ko) 저 노출 선량의 euv 방사선을 위한 고 양자 효율 건식 레지스트
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具
TW202314381A (zh) 乾式光阻系統及使用方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination