TWI804806B - 製造半導體元件的方法 - Google Patents

製造半導體元件的方法 Download PDF

Info

Publication number
TWI804806B
TWI804806B TW110103335A TW110103335A TWI804806B TW I804806 B TWI804806 B TW I804806B TW 110103335 A TW110103335 A TW 110103335A TW 110103335 A TW110103335 A TW 110103335A TW I804806 B TWI804806 B TW I804806B
Authority
TW
Taiwan
Prior art keywords
tin
layer
compound
ginseng
photoresist layer
Prior art date
Application number
TW110103335A
Other languages
English (en)
Other versions
TW202136895A (zh
Inventor
翁明暉
劉朕與
劉之誠
郭怡辰
魏嘉林
陳彥儒
李志鴻
鄭雅如
楊棋銘
李資良
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/071,004 external-priority patent/US11822237B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202136895A publication Critical patent/TW202136895A/zh
Application granted granted Critical
Publication of TWI804806B publication Critical patent/TWI804806B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

一種製造半導體元件的方法,其包括在基板上方形成光阻劑層,包括將呈蒸氣狀態的第一前驅物及第二前驅物結合以形成光阻劑材料,並且將光阻劑材料沉積在基板上方。在光阻劑層上方形成保護層。透過保護層,將光阻劑層選擇性地曝光於光化輻射以在光阻劑層中形成潛在的圖案。將保護層移除,並且藉由將顯影劑施加至選擇性曝光的光阻劑層,使潛在的圖案顯影以形成圖案。

Description

製造半導體元件的方法
本揭示案是關於一種製造半導體元件的方法。
隨著消費者裝置響應於消費者需求而變得愈來愈小,此等設備的各個部件亦必須減小尺寸。已迫使構成諸如行動電話、平板電腦的裝置的主要部件的半導體元件變得愈來愈小,並且亦相應地迫使半導體元件內的各個裝置(例如,電晶體、電阻器、電容器等)減小尺寸。
用於實現半導體元件的製造過程的一種技術為使用微影材料。將此等材料塗覆至待圖案化的層的表面,然後曝光於本身經圖案化的能量。此曝光改變光敏感性材料的曝光區域的化學及物理性質。可利用此改變,與光敏感性材料的未曝光的區域中的不存在改變,來移除一個區域而不移除另一個區域。
然而,隨著個別裝置的尺寸減小,微影處理的製程窗口變得愈來愈緊迫。因此,需要微影處理領域的進步來保持將裝置縮小的能力,並且需要進一步改良來滿足所需設計標準以使得可保持朝向愈來愈小的部件的邁進。
本揭示案提供一種製造半導體元件的方法,包含以下操作。在基板上方形成光阻劑層,包括將呈蒸氣狀態的第一前驅物及第二前驅物結合以形成光阻劑材料;以及將光阻劑材料沉積在基板上方。在光阻劑層上方形成保護層。透過保護層,將光阻劑層選擇性地曝光於光化輻射以在光阻劑層中形成潛在的圖案。移除保護層。藉由將顯影劑施加至選擇性地曝光的光阻劑層,將潛在圖案顯影以形成圖案。
本揭示案提供一種製造半導體元件的方法,包含以下操作。經由原子層沉積(ALD)或化學氣相沉積(CVD),將包含第一有機金屬化合物及第二化合物的光阻劑組合物沉積在基板表面上方以形成光阻劑層。在光阻劑層上方形成包含聚合物的保護層。透過保護層,將光阻劑層選擇性地曝光於光化輻射以形成潛在的圖案。移除保護層。藉由將顯影劑施加至選擇性地曝光的光阻劑層,使潛在的圖案顯影以形成圖案,圖案曝露基板表面的部分。藉由將顯影來移除曝露的基板表面的部分。
本揭示案提供一種製造半導體元件的方法,包含以下操作。在相同時間將第一化合物及第二化合物引入腔室中以形成第一化合物及第二化合物的組合物。經由化學氣相沉積(CVD),將第一化合物及第二化合物的組合物沉積在基板上方以形成第一化合物及第二化合物的組合物的層, 其中第一化合物為有機金屬化合物並且第二化合物為胺、硼烷、膦、或水中的至少一者。藉由將包含聚合物及溶劑的保護層組合物塗佈在第一化合物及第二化合物的組合物的層上方來形成保護層。透過保護層,將第一化合物及第二化合物的組合物的層呈圖案地曝光於光化輻射以在第一化合物及第二化合物的組合物的層中形成潛在的圖案。移除保護層。將組合物的呈圖案地曝光的層顯影以形成第一化合物與第二化合物的組合物的圖案化層。
10:基板
15:光阻劑層
20:保護層
30:光罩
35:不透明圖案
40:光罩基板
45:輻射
50:曝光區域
52:未曝光區域
55:開口
55’:凹槽
55”:凹槽
57:顯影劑
60:待圖案化的層(標靶層)
62:分配器
65:反射光罩
70:低熱膨脹玻璃基板
75:多層
80:覆蓋層
85:吸收層
90:後導電層
95:極紫外線輻射
97:輻射
100:處理流程
105:乾式顯影劑
200:光阻劑層沉積裝置
205:腔室
210:基板支撐台
220:第一前驅物或化合物氣體供應
225:載送/吹洗氣體供應
230:入口
230’:入口
235:氣體管線
235’:氣體管線
240:第二前驅物或化合物氣體供應
245:真空泵
250:出口
255:排出管線
260:控制器
S110:操作
S120:操作
S130:操作
S140:操作
S150:操作
S160:操作
S170:操作
S175:操作
S180:操作
S190:操作
本揭示案根據與附圖一起閱讀的以下實施方式來最佳地理解。應強調,根據行業中的標準實務,各個特徵未按比例繪製並且僅用於例示性目的。事實上,為了論述清楚,各個特徵的尺寸可任意增加或減小。
第1圖示出根據本揭示案的實施例的製造半導體元件的處理流程。
第2圖示出根據本揭示案的一實施例的順序操作的處理階段。
第3圖示出根據本揭示案的一實施例的順序操作的處理階段。
第4A圖及第4B圖示出根據本揭示案的一實施例的順序操作的處理階段。
第5圖示出根據本揭示案的一實施例的順序操作的處理階段。
第6A圖、第6B圖、及第6C圖示出根據本揭示案的一實施例的順序操作的處理階段。
第7圖示出根據本揭示案的一實施例的順序操作的處理階段。
第8圖示出根據本揭示案的一實施例的順序操作的處理階段。
第9A圖、第9B圖、及第9C圖示出根據本揭示案的實施例的有機金屬前驅物。
第10圖示出根據本揭示案的一些實施例的光阻劑沉積裝置。
第11圖示出根據本揭示案的一實施例,由於曝光於光化輻射及加熱,光阻劑層經歷的反應。
第12圖示出根據本揭示案的一實施例的順序操作的處理階段。
第13圖示出根據本揭示案的一實施例的順序操作的處理階段。
第14A圖及第14B圖示出根據本揭示案的一實施例的順序操作的處理階段。
第15圖示出根據本揭示案的一實施例的順序操作的處理階段。
第16A圖、第16B圖、及第16C圖示出根據本揭示案的一實施例的順序操作的處理階段。
第17圖示出根據本揭示案的一實施例的順序操作的處理階段。
第18圖示出根據本揭示案的一實施例的順序操作的處理階段。
應瞭解以下揭示內容提供實行本揭示案的不同特徵的許多不同實施例、或實例。以下描述部件及佈置的特定實施例或實例以便簡化本揭示案。當然,此等僅僅為實例並且不意欲具有限制性。例如,元件的尺寸不限於所揭示範圍或值,而是可取決於過程條件及/或裝置的所需性質。另外,在以下描述中,在第二特徵上方或之上形成第一特徵可包括其中第一及第二特徵直接接觸地形成的實施例,並且亦可包括其中額外特徵可在第一與第二特徵之間形成,以使得第一與第二特徵可不直接接觸的實施例。出於簡單及清楚目的,各個特徵可任意地以不同尺度來繪製。
此外,為了便於描述,可在本文中使用空間相對術語,諸如「在...之下」、「在...以下」、「下方」、「在...以上」、「上方」及其類似術語以便描述如在圖式中示出的一個元件或特徵與另外一個或多個元件或一個或多個特徵的關係。空間相對術語意欲涵蓋除了圖式中描述的取向以外的在使用或操作中的元件的不同取向。元件可以其他方式取向(旋轉90度或處於其他取向)並且本文使用的空間相對描述語可同樣地相應地解釋。另外,術語「由...製成」可意謂「包含」或「由...組成」。
隨著半導體工業在更高裝置密度、更高性能、及更 低成本的追求中進展至奈米技術製程結點,存在降低半導體特徵尺寸的難題。已開發極紫外線微影術(extreme ultraviolet lithography;EUVL)來形成更小半導體元件特徵尺寸及增加半導體晶圓上的裝置密度。為了改良EUVL,增加晶圓曝光產量為合乎需要的。晶圓曝光產量可經由增加曝光功率或增加光阻劑光速度(resist photospeed)(敏感度)來改良。
含有金屬的光阻劑用於極紫外線(extreme ultraviolet;EUV)微影術中,因為金屬具有極紫外線輻射的較高吸收能力並且由此增加光阻劑光速度。然而,含有金屬的光阻劑層可在處理期間逸氣(outgas),從而可隨著時間的推移導致光阻劑層品質變化並且可導致對於半導體元件處理腔室、傳送設備諸如前端開啟式統集盒(front opening unified pod;FOUP)、及其他半導體晶圓的污染,由此不利地影響微影性能,並且增加缺陷。
實質上減少或防止來自含有金屬的光阻劑的金屬對於處理腔室、基板傳送設備、及其他晶圓的金屬污染的光阻劑層形成及圖案化操作為合乎需要的。
在本揭示案的實施例中,以上問題藉由在基板上的含有金屬的光阻劑層上方形成保護層來解決。另外,本揭示案的實施例包括無溶劑光阻劑層的形成,由此提供更環保的製程。第1圖示出根據本揭示案的實施例的製造半導體元件的處理流程100。在一些實施例中,在操作S110中,在待圖案化的層的表面或基板10上塗佈光阻劑,以形 成光阻劑層15,如第2圖示出。在一些實施例中,光阻劑為藉由CVD或ALD形成的含有金屬的光阻劑。在一些實施例中,然後,光阻劑層15在沉積之後經歷第一加熱操作S120。在其他實施例中,含有金屬的光阻劑層藉由旋轉塗佈方法來形成。在一些實施例中,將光阻劑層加熱至約40℃與約150℃之間的溫度持續約10秒至約10分鐘。
在視情況選用之第一加熱操作S120或光阻劑沉積操作S110之後,在操作S130中,在光阻劑層15上方形成保護層20,如第3圖示出。在一些實施例中,藉由旋轉塗佈操作,在光阻劑層15上方形成保護層20。在一些實施例中,隨後在第二加熱操作S140中加熱保護層20以便移除溶劑或乾燥保護層。在一些實施例中,第二加熱操作S140在約40℃與約150℃之間的溫度下執行約10秒至約10分鐘。
隨後,在操作S150中,將光阻劑層15及保護層20選擇性地曝光於光化輻射45/97(參見第4A圖及第4B圖)。光阻劑層15透過保護層20來曝光於光化輻射45/97。在一些實施例中,光化輻射45/97實質上不被保護層20吸收。在一些實施例中,光阻劑層15選擇性地或呈圖案地曝光於紫外輻射。在一些實施例中,紫外線輻射為深紫外線輻射(deep ultraviolet radiation;DUV)。在一些實施例中,紫外線輻射為極紫外線(extreme ultraviolet;EUV)輻射。在一些實施例中,光阻劑層15選擇性地或呈圖案地曝光於電子束。在一些實施例中,光阻劑層15為對 於光化輻射45/97具有光敏感性的光阻劑層並且保護層20不為光阻劑層並且對於光化輻射45/97不具有光敏感性。根據本揭示案的光阻劑層為在吸收光化輻射後經歷化學反應,導致與光阻劑層的未曝光於光化輻射的部分相比,光阻劑層的曝光於光化輻射的部分改變在顯影劑中的溶解度的層。對於光化輻射不具有光敏感性的層在曝光於光化輻射後實質上不經歷改變該層在顯影劑中的溶解度的化學反應。
在一些實施例中,如第4A圖示出,曝光輻射45在照射光阻劑層15之前穿過光罩30。在一些實施例中,光罩30具有在光阻劑層15中複製的圖案。在一些實施例中,圖案由光罩基板40上的不透明圖案35形成。不透明圖案35可由對於紫外線輻射不透明的材料,諸如鉻來形成,而光罩基板40由對於紫外線輻射透明的材料,諸如熔融石英來形成。
在一些實施例中,使用極紫外線微影術來執行將光阻劑層15選擇性地或呈圖案地曝光以形成曝光區域50及未曝光區域52。在一些實施例中,如第4B圖示出,在極紫外線微影術操作中,反射光罩65用於形成圖案化曝光光。反射光罩65包含低熱膨脹玻璃基板70,Si及Mo的反射多層75在該基板上形成。覆蓋層80及吸收層85在反射多層75上形成。後導電層90在低熱膨脹基板70的背面形成。極紫外線輻射95以約6°的入射角朝向反射光罩65引導。極紫外線輻射的部分97藉由Si/Mo多層75朝向 光阻劑塗佈基板10反射,而極紫外線輻射的入射至吸收層85上的部分由光罩吸收。在一些實施例中,將額外光學器件,包括反射鏡,安置在反射光罩65與光阻劑塗佈基板10之間。
在一些實施例中,曝光於輻射藉由將光阻劑塗佈基板安置在微影工具中來執行。微影工具包含光罩30/65、光學器件、提供輻射45/97以供曝光的曝光輻射源、及用於支撐基板並且將基板在曝光輻射下方移動的可移動載台。
在一些實施例中,在微影工具中使用光學器件(未展示)以便在輻射45/97藉由光罩30/65來圖案化之前或之後,對輻射進行擴展、反射、或以其他方式控制。在一些實施例中,光學器件包括一或多個透鏡、反射鏡、濾光鏡、及其組合以便沿著輻射45/97的路徑對其進行控制。
在一些實施例中,輻射為電磁輻射,諸如g-線(約436nm的波長)、i-線(約365nm的波長)、紫外線輻射、遠紫外線輻射、極紫外線、電子束、或類似輻射。在一些實施例中,輻射源選自由以下組成之群組:水銀蒸汽燈、氙燈、碳弧燈、KrF準分子雷射燈(248nm的波長)、ArF準分子雷射燈(193nm的波長)、F2準分子雷射燈(157nm的波長)、或CO2雷射激發Sn電漿(極紫外線,13.5nm的波長)。
電磁輻射的量可藉由注量或劑量來表徵,該注量或劑量藉由在曝光時間內的整合輻射通量來獲得。合適輻射 通量在一些實施例中在約1mJ/cm2至約150mJ/cm2範圍內變化,在其他實施例中在約2mJ/cm2至約100mJ/cm2範圍內變化,並且在其他實施例中在約3mJ/cm2至約50mJ/cm2範圍內變化。熟習此項技術者認識到上述明確範圍內的輻射通量的額外範圍為可預期的並且在本揭示案內。
在一些實施例中,選擇性或呈圖案的曝光藉由掃描電子束來執行。藉由電子束微影術,電子束誘導二次電子,從而改質經照射的材料。高解析度可使用電子束微影術及本文揭示的含有金屬的光阻劑來達成。電子束可藉由波束的能量來表徵,並且合適能量在一些實施例中在約5V至約200kV(kilovolt;千伏特)範圍內變化,並且在其他實施例中在約7.5V至約100kV範圍內變化。30kV下的接近校正波束劑量在一些實施例中在約0.1μC/cm2至約5μC/cm2範圍內變化,在其他實施例中在約0.5μC/cm2至約1μC/cm2範圍內變化,並且在其他實施例中在約1μC/cm2至約100μC/cm2範圍內變化。熟習此項技術者可基於本文中的教導來計算其他波束能量下的對應劑量並且認識到上述明確範圍內的電子束性質的額外範圍為可預期的並且在本揭示案內。
光阻劑層的曝光於輻射的曝光區域50經歷化學反應,由此改變其對於在後續顯影操作S175中被移除的敏感性。在一些實施例中,光阻劑層的曝光於輻射的曝光區域50經歷反應,使得曝光部分在顯影操作S175期間更容 易地移除。在其他實施例中,光阻劑層的曝光於輻射的曝光區域50經歷反應,使得曝光部分對於在顯影操作S175期間難以移除。
隨後,光阻劑層15在操作S160中經歷第三加熱或曝光後烘烤(post-exposure bake;PEB)。在一些實施例中,將光阻劑層15加熱至約50℃至約250℃的溫度持續約20秒至約300秒。在一些實施例中,在約100℃至約230℃範圍內的溫度下,並且在其他實施例中在約150℃至約200℃範圍內的溫度下執行曝光後烘烤。在一些實施例中,曝光後烘烤操作S160導致第一化合物或第一前驅物及第二化合物或第二前驅物的反應產物交聯。
在一些實施例中,在曝光後烘烤操作S160之後,在操作S170中將保護層20移除,如第5圖示出。在一些實施例中,保護層20防止含有金屬的光阻劑層15的逸氣。例如,在曝光後烘烤操作S160期間,光阻劑層中的較小含有金屬的化合物可在曝光後烘烤操作期間變得易揮發並且自光阻劑層15逸氣。含有金屬的化合物可污染處理工具、半導體晶片傳送工具、或經歷處理的其他半導體晶圓。保護層20防止含有金屬的污染物自光阻劑層15逸氣。在一些實施例中,保護層20藉由合適溶劑移除。
選擇性曝光的光阻劑層隨後在操作S175中顯影。在一些實施例中,藉由將基於溶劑的顯影劑57施加至選擇性曝光的光阻劑層來使光阻劑層15顯影。如第6A圖示出,液體顯影劑57自分配器62供應至光阻劑層15。在一些 實施例中,由於曝光於光化輻射或曝光後烘烤,光阻劑的曝光部分50經歷交聯反應,並且光阻劑層52的未曝光部分藉由顯影劑57移除,從而在光阻劑層15中形成開口55的圖案以便曝露基板20,如第7圖示出。
在一些實施例中,光阻劑顯影劑57包含溶劑、及酸或鹼。在一些實施例中,基於光阻劑顯影劑的總重量,溶劑的濃度為約60wt.%至約99wt.%。基於光阻劑顯影劑的總重量,酸或鹼濃度為約0.001wt.%至約20wt.%。在某些實施例中,基於光阻劑顯影劑的總重量,顯影劑中的酸或鹼濃度為約0.01wt.%至約15wt.%。
在一些實施例中,使用旋塗過程將顯影劑57施加至光阻劑層15。在旋塗過程中,在光阻劑塗佈的基板旋轉的同時,自光阻劑層15上方,將顯影劑57施加至光阻劑層15,如第6A圖示出。在一些實施例中,在光阻劑塗佈的基板10以約100rpm與約2000rpm之間的速度旋轉的同時,以約5ml/min與約800ml/min之間的速率供應顯影劑57。在一些實施例中,顯影劑處於約10℃與約80℃之間的溫度下。在一些實施例中,顯影操作持續約30秒至約10分鐘之間。
在一些實施例中,顯影劑57為有機溶劑。有機溶劑可為任何合適溶劑。在一些實施例中,溶劑為選自以下的一或多者:丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate;PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether;PGME)、 1-乙氧基-2-丙醇(1-ethoxy-2-propanol;PGEE)、γ-丁內酯(γ-butyrolactone;GBL)、環己酮(cyclohexanone;CHN)、乳酸乙酯(ethyl lactate;EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、甲基乙基酮、二甲基甲醯胺(dimethylformamide;DMF)、異丙醇(isopropanol;IPA)、四氫呋喃(tetrahydrofuran;THF)、甲基異丁基甲醇(methyl isobutyl carbinol;MIBC)、乙酸正丁酯(n-butyl acetate;nBA)、2-庚酮(2-heptanone;MAK)、四氫呋喃(tetrahydrofuran;THF)、及二噁烷(dioxane)。
在一些實施例中,保護層20保留在光阻劑層15上直到顯影操作S175及保護層20在顯影操作S175期間移除為止,如第6B圖示出。在一些實施例中,保護層20可溶解於顯影劑57中。
雖然旋塗操作為在曝光之後使光阻劑層15顯影的一種合適方法,但是其意欲為示例性的並且不意欲限制實施例。而是,可替代地使用任何合適顯影操作,包括浸塗過程、濺塗過程、及噴塗方法。所有此等顯影操作包含在實施例的範圍內。
在一些實施例中,將乾式顯影劑105施加至選擇性曝光的光阻劑層15,如第6C圖示出。在一些實施例中,乾式顯影劑105為電漿或化學蒸氣,並且乾式顯影操作S180為電漿蝕刻或化學蝕刻操作。乾式顯影使用了與組成、交聯程度及膜密度相關的差異以便選擇性地移除光阻劑的 所需部分。在一些實施例中,乾式顯影過程在使呈蒸氣狀態乾式顯影化學物,諸如BCl3、BF3、或其他路易斯酸流動的同時,使用溫和電漿(高壓力、低功率)或在加熱真空腔室中的加熱過程。在一些實施例中,BCl3移除未曝光材料,留下曝光的膜的圖案,該圖案藉由基於電漿的蝕刻過程來轉移至下伏的層中。
在一些實施例中,乾式顯影包含電漿過程,包括變壓器耦合電漿(transformer coupled plasma;TCP)、電感耦合電漿(inductively coupled plasma;ICP)或電容耦合電漿(capacitively coupled plasma;CCP)。在一些實施例中,電漿過程在約5毫托至約20毫托的壓力範圍內的壓力下、在約250W至約1000W的功率位準下、約0℃至約300℃範圍內的溫度、及在約100至約1000sccm的流動速率下進行約1至約3000秒。
在顯影操作之後,在圖案化光阻劑層50在原位的同時,執行額外處理。例如,在一些實施例中執行使用乾式或濕式蝕刻的蝕刻操作,以便將光阻劑層50的圖案轉移至下伏的基板10,從而形成凹槽55’,如第8圖示出。基板10具有與光阻劑層15不同的蝕刻抗性。在一些實施例中,與光阻劑層15相比,蝕刻劑具有對於基板10的更大選擇性。
在一些實施例中,曝光的光阻劑層15在一些實施例中在蝕刻操作期間至少部分地移除。在其他實施例中,在藉由選擇性蝕刻來蝕刻基板10之後,曝光的光阻劑層 15使用合適光阻劑去除溶劑,或藉由光阻劑電漿灰化(ashing)操作來移除。
在一些實施例中,基板10包含至少在其表面部分上的單晶半導體層。基板10可包括單晶半導體材料諸如但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在一些實施例中,基板10為SOI(silicon-on insulator;絕緣體上矽)基板的矽層。在某些實施例中,基板10由結晶Si製成。
基板10可在其表面區域上包括一或多個緩衝層(未展示)。緩衝層可用來使晶格常數自基板的晶格常數逐漸地變化至隨後形成的源極/汲極區域的晶格常數。緩衝層可自磊晶生長單晶半導體材料形成,該些材料諸如但是不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP、及InP。在一實施例中,矽鍺(silicon germanium;SiGe)緩衝層在矽基板10上磊晶生長。SiGe緩衝層的鍺濃度可自最底部緩衝層的30原子%增加至最頂部緩衝層的70原子%。
在一些實施例中,基板10包含具有式MXa的至少一種金屬、金屬合金、及金屬/氮化物/硫化物/氧化物/矽化物的一或多個層,其中M為金屬並且X為N、S、Se、O、Si,並且a為約0.4至約2.5。在一些實施例中,基板10包含鈦、鋁、鈷、釕、氮化鈦、氮化鎢、氮化鉭、及 其組合。
在一些實施例中,基板10包含至少具有式MXb的矽或金屬氧化物或氮化物的介電質材料,其中M為金屬或Si,X為N或O,並且b在約0.4至約2.5範圍內變化。在一些實施例中,基板10包含二氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭、及其組合。
光阻劑層15為藉由曝光於光化輻射來圖案化的光敏感性層。通常,由入射輻射所到達的光阻劑區域的化學性質以取決於所使用光阻劑的類型的方式而變化。光阻劑層15為正型光阻劑或負型光阻劑。正型光阻劑是指在顯影時,光阻劑層的曝光於光化輻射諸如紫外線的部分經移除,而光阻劑的未曝光(或曝光較少)的區域在顯影操作之後保留在基板上的光阻劑材料。另一方面,負型光阻劑是指在顯影時,光阻劑的曝光於光化輻射的部分在顯影操作之後保留在基板上,而光阻劑的未曝光(或曝光較少)的區域在顯影操作期間被移除的光阻劑材料。
在一些實施例中,光阻劑層15由光阻劑組合物製成,該組合物包括以蒸氣狀態來結合的第一化合物或第一前驅物及第二化合物或第二前驅物。第一前驅物或第一化合物為具有如第9A圖示出的式MaRbXc的有機金屬,其中M為Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce、或Lu中之至少一者;並且R為經取代或未經取代烷基、烯基、或羧酸鹽基團。在一些實施例中,M選自由以下組成之群 組:Sn、Bi、Sb、In、Te、及其組合。在一些實施例中,R為C3-C6烷基、烯基、或羧酸鹽。在一些實施例中,R選自由以下組成之群組:丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、第二戊基、第三戊基、己基、異己基、第二己基、第三己基、及其組合。X為配位體、離子、或與第二化合物或第二前驅物反應的其他部分;並且在一些實施例中,1
Figure 110103335-A0305-02-0019-2
a
Figure 110103335-A0305-02-0019-3
2,b
Figure 110103335-A0305-02-0019-4
1,c
Figure 110103335-A0305-02-0019-5
1,並且b+c
Figure 110103335-A0305-02-0019-6
5。在一些實施例中,烷基、烯基、或羧酸鹽基團經一或多個氟基團取代。在一些實施例中,有機金屬前驅物為二聚體,如第9A圖示出,其中各單體單元藉由胺基團來連接。各單體具有式:MaRbXc,如上文定義。
在一些實施例中,R為烷基,諸如CnH2n+1其中n
Figure 110103335-A0305-02-0019-7
3。在一些實施例中,R經氟化,例如,具有式CnFxH((2n+1)-x)。在一些實施例中,R具有至少一個β-氫或β-氟。在一些實施例中,R選自由以下組成之群組:異丙基、正丙基、第三丁基、異丁基、正丁基、第二丁基、正戊基、異戊基、第三戊基、及第二戊基、及其組合。
在一些實施例中,X為容易藉由第二化合物或第二前驅物移置以產生M-OH部分的任何官能基,諸如選自由胺組成之群組的官能基,包括二烷基胺基及單烷基胺基;烷氧基;羧酸鹽、鹵素、及磺酸鹽。在一些實施例中,磺酸鹽基團經一或多個胺基團取代。在一些實施例中,鹵化物為選自由F、Cl、Br、及I組成之群組的一或多者。在 一些實施例中,磺酸鹽基團包含經取代或未經取代C1-C3基團。
在一些實施例中,第一有機金屬化合物或第一有機金屬前驅物包含金屬核心M+以及附接至金屬核心M+的配位體L,如第9B圖示出。在一些實施例中,金屬核心M+為金屬氧化物。在一些實施例中,配位體L包括C3-C12脂族或芳族基團。脂族或芳族基團可無分支或經分支具有含有1-9個碳的環狀、或非環狀飽和附掛基團,包括烷基基團、烯基基團、及苯基基團。分支基團可進一步經氧或鹵素取代。在一些實施例中,C3-C12脂族或芳族基團包括雜環基團。在一些實施例中,C3-C12脂族或芳族基團附接至金屬醚或酯鍵。在一些實施例中,C3-C12脂族或芳族基團包括亞硝酸鹽及磺酸鹽取代基。
在一些實施例中,有機金屬前驅物或有機金屬化合物包括第二己基參(二甲基胺基)錫、第三己基參(二甲基胺基)錫、異己基參(二甲基胺基)錫、正己基參(二甲基胺基)錫、第二戊基參(二甲基胺基)錫、第三戊基參(二甲基胺基)錫、異戊基參(二甲基胺基)錫、正戊基參(二甲基胺基)錫、第二丁基參(二甲基胺基)錫、第三丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、第二丁基參(二甲基胺基)錫、異丙基(參)二甲基胺基錫、正丙基參(二乙基胺基)錫及類似的烷基(參)(第三丁氧基)錫化合物,包括第二己基參(第三丁氧基)錫、第三己基參(第三丁氧基)錫、異己基參(第三丁氧基)錫、正己基參(第三 丁氧基)錫、第二戊基參(第三丁氧基)錫、第三戊基參(第三丁氧基)錫、異戊基參(第三丁氧基)錫、正戊基參(第三丁氧基)錫、第三丁基參(第三丁氧基)錫、異丁基參(丁氧基)錫、正丁基參(丁氧基)錫、第二丁基參(丁氧基)錫、異丙基(參)二甲基胺基錫或正丙基參(丁氧基)錫。在一些實施例中,有機金屬前驅物或有機金屬化合物經氟化。在一些實施例中,有機金屬前驅物或化合物具有少於約200℃的沸點。
在一些實施例中,第一化合物或第一前驅物包含一或多個不飽和鍵,該或該些不飽和鍵可與在基板或介入底層的表面上的官能基諸如羥基配位,以改良光阻劑層與基板或底層的附著力。
在一些實施例中,第二前驅物或第二化合物為胺、硼烷、膦、或水中之至少一者。在一些實施例中,胺具有式NpHnXm,其中當p為1時,0
Figure 110103335-A0305-02-0021-8
n
Figure 110103335-A0305-02-0021-9
3,0
Figure 110103335-A0305-02-0021-10
m
Figure 110103335-A0305-02-0021-11
3,n+m=3,並且當p為2時,n+m=4,並且各X獨立地為選自由F、Cl、Br、及I組成之群組的鹵素。在一些實施例中,硼烷具有式BpHnXm,其中當p為1時,0
Figure 110103335-A0305-02-0021-12
n
Figure 110103335-A0305-02-0021-13
3,0
Figure 110103335-A0305-02-0021-14
m
Figure 110103335-A0305-02-0021-15
3,n+m=3,並且當p為2時,n+m=4,並且各X獨立地為選自由F、Cl、Br、及I組成之群組的鹵素。在一些實施例中,膦具有式PpHnXm,其中當p為1時,0
Figure 110103335-A0305-02-0021-16
n
Figure 110103335-A0305-02-0021-17
3,0
Figure 110103335-A0305-02-0021-18
m
Figure 110103335-A0305-02-0021-19
3,n+m=3,或當p為2時,n+m=4,並且各X獨立地為選自由F、Cl、Br、及I組成之群組的鹵素。
在一些實施例中,第二前驅物或化合物為水、氨、或肼(hydrazine)。水、氨、或肼與有機金屬前驅物或化合物的反應產物可形成氫鍵,該些氫鍵增加反應產物的沸點並且防止排放金屬光阻劑材料,從而防止金屬污染。氫鍵亦可有助於防止對於光阻劑層品質的水分效應。
第9B圖示出在一些實施例中,金屬前驅物由於曝光於光化輻射而經歷的反應。由於曝光於光化輻射,配位體基團L自金屬前驅物的金屬核心M+分離,並且兩個或兩個以上金屬前驅物核心彼此鍵結。
第9C圖示出根據本揭示案的實施例的有機金屬前驅物的實例。在第9C圖中,Bz為苯基團。
在一些實施例中,沉積光阻劑組合物的操作S110藉由氣相沉積操作來執行。在一些實施例中,氣相沉積操作包含原子層沉積(atomic layer deposition;ALD)或化學氣相沉積(chemical vapor deposition;CVD)。在一些實施例中,ALD包含電漿增強原子層沉積(plasma-enhanced atomic layer deposition;PE-ALD),並且CVD包含電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition;PE-CVD),金屬有機物化學氣相沉積(metal-organic chemical vapor deposition;MO-CVD);常壓化學氣相沉積(atmospheric pressure chemical vapor deposition;AP-CVD),及低壓化學氣相沉積(low pressure chemical vapor deposition;LP-CVD)。
根據本揭示案的一些實施例的光阻劑層沉積裝置200在第10圖中示出。在一些實施例中,沉積裝置200為ALD或CVD裝置。沉積裝置200包含真空腔室205。真空腔室205中的基板支撐台210支撐基板10,諸如矽晶圓。在一些實施例中,基板支撐台210包含加熱器。在一些實施例中,第一前驅物或化合物氣體供應220及載送/吹洗氣體供應225經由氣體管線235來連接至腔室中的入口230,並且第二前驅物或化合物氣體供應240及載送/吹洗氣體供應225經由另一個氣體管線235’來連接至腔室中的另一個入口230’。將腔室排空,並且過量反應物及反應副產物藉由真空泵245經由出口250及排出管線255移除。在一些實施例中,前驅物氣體及載送/吹洗氣體的流動速率或脈衝、過量反應物及反應副產物的排空、真空腔室205內部的壓力、及真空腔室205或晶圓支撐台210的溫度藉由用以控制此等參數中的各者的控制器260來控制。
沉積光阻劑層包含將呈蒸氣狀態的第一化合物或第一前驅物及第二化合物或第二前驅物結合以形成光阻劑組合物。在一些實施例中,將光阻劑組合物的第一化合物或第一前驅物及第二化合物或第二前驅物在大約相同時間經由入口230、230’來引入沉積腔室205(CVD腔室)中。在一些實施例中,第一化合物或第一前驅物及第二化合物或第二前驅物經由入口230、230’以交替方式引入沉積腔室205(ALD腔室)中,亦即,首先引入一種化合物或前驅 物,然後引入第二種化合物或前驅物,然後隨後交替地重複引入一種化合物或前驅物繼之引入第二種化合物或前驅物。
在一些實施例中,在沉積操作期間,沉積腔室溫度在約30℃至約400℃範圍內變化,並且在其他實施例中在約50℃至約250℃之間。在一些實施例中,在沉積操作期間,沉積腔室中的壓力在約5毫托至約100托範圍內變化,並且在其他實施例中,在約100毫托至約10托之間。在一些實施例中,電漿功率小於約1000W。在一些實施例中,電漿功率在約100W至約900W範圍內變化。在一些實施例中,第一化合物或前驅物及第二化合物或前驅物的流動速率在約100sccm至約1000sccm範圍內變化。在一些實施例中,有機金屬化合物或前驅物與第二化合物或前驅物的流量的比率在約1:1至約1:5範圍內變化。在一些實施例中,在上述範圍以外的操作參數下,產生令人不滿意的光阻劑層。在一些實施例中,光阻劑層形成在單一腔室中發生(一步法層形成(one-pot layer formation))。
在根據本揭示案的一些實施例的CVD過程中,在分開入口230、氣體管線235及入口230’、氣體管線235’之路徑中,將有機金屬前驅物及第二前驅物的兩個或兩個以上氣體流引入CVD裝置的沉積腔室205,其中使其在氣相中混合並且反應,以形成反應產物。在一些實施例中,使用分開注射入口230、230’或雙重增壓噴灑頭 (dual-plenum showerhead)來引入物流。沉積裝置被組配成使得有機金屬前驅物及第二前驅物的流在腔室中混合,允許有機金屬前驅物及第二前驅物起反應以形成反應產物。不限制本揭示案的機理、功能、或效用,據信來自氣相反應的產物在分子量方面變得更重,並且然後凝聚或以其他方式沉積至基板10上。
在一些實施例中,ALD過程用於沉積光阻劑層。在ALD期間,藉由使基板的表面曝露於交替氣態化合物(或前驅物)來使層在基板10上生長。與CVD相反,將前驅物以一系列順序、不重疊脈衝形式引入。在此等脈衝中的各者中,前驅物分子以自限制方式與表面反應,以使得一旦表面上的所有反應性位點消耗,即終止反應。因此,在單次曝露於所有前驅物(所謂ALD週期)之後,在表面上沉積的材料的最大量藉由前驅物-表面相互作用的性質來決定。
在ALD過程的一實施例中,將有機金屬前驅物脈衝輸送以便在第一半反應中,將含有金屬的前驅物遞送至基板10表面。在一些實施例中,有機金屬前驅物與合適下伏的物質(例如在基板表面上的OH或NH官能基)反應以形成新的自飽和(self-saturating)表面。在一些實施例中,藉由使用真空泵245來向下排空泵送及/或藉由使惰性吹洗氣體流動,將過量未使用的反應物及反應副產物移除。在一些實施例中,然後,將第二前驅物諸如氨(ammonia;NH3)脈衝輸送至沉積腔室。NH3與基板上的有機金屬前驅 物反應以便在基板表面上獲得反應產物光阻劑。第二前驅物亦與下伏的反應性物質形成自飽和的鍵,以便提供另一個自限制及飽和的第二半反應。在一些實施例中,執行第二吹洗以便移除未使用的反應物及反應副產物。第一前驅物及第二前驅物的脈衝交替進行,並且在該些脈衝之間插入吹洗操作直到達成光阻劑層的所需厚度為止。
在一些實施例中,形成光阻劑層15達到約5nm至約50nm的厚度,並且在其他實施例中,達到約10nm至約30nm的厚度。熟習此項技術者認識到上述明確範圍內的厚度的額外範圍為可預期的並且在本揭示案內。可基於光阻劑層的光學性質,使用x射線反射率及/或橢圓偏振法的非接觸方法來評估厚度。在一些實施例中,各光阻劑層厚度為相對均勻的以便促進處理。在一些實施例中,沉積光阻劑層的厚度變化自平均厚度變化不超過±25%,在其他實施例中各光阻劑層厚度自平均光阻劑層厚度變化不超過±10%。在一些實施例中,諸如在較大基板上的高均勻性沉積,光阻劑層均勻性的評估可在排除1公分邊緣的情況下來進行評估,亦即,對於在邊緣1公分內的塗層部分,不評估層均勻性。熟習此項技術者認識到上述明確範圍內的額外範圍為可預期的並且在本揭示案內。
在一些實施例中,將第一及第二化合物或前驅物與載運氣體一起遞送至沉積腔室205中。載運氣體、吹洗氣體、沉積氣體、或其他處理氣體可含有氮、氫、氬、氖、氦、或其組合。
在一些實施例中,有機金屬化合物包含錫(Sn)、銻(Sb)、鉍(Bi)、銦(In)、及/或碲(Te)作為金屬組分,然而,本揭示案不限於此等金屬。在其他實施例中,額外合適金屬包括鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈷(Co)、鉬(Mo)、鎢(W)、鋁(Al)、鎵(Ga)、矽(Si)、鍺(Ge)、磷(P)、砷(As)、釔(Y)、鑭(La)、鈰(Ce)、鑥(Lu)、或其組合。額外金屬可作為Sn、Sb、Bi、In、及/或Te的替代物或附加物。
所使用的特定金屬可顯著影響輻射的吸收。因此,金屬組分可基於所需輻射及吸收橫斷面來選擇。錫、銻、鉍、碲、及銦提供13.5nm下的極紫外線的較強吸收。鉿提供電子束及極UV輻射的良好吸收。包括鈦、釩、鉬、或鎢的金屬組合物具有更長波長下的較強吸收,以便提供例如對於248nm波長紫外線的敏感性。
第11圖示出根據本揭示案的一實施例,由於曝光於光化輻射及加熱,光阻劑組合物組分經歷的反應。第11圖示出根據本揭示案的實施例的光阻劑圖案化方法的各個階段的光阻劑層(photoresist layer;PR)的示例性化學結構。如第11圖示出,光阻劑組合物包含有機金屬化合物,例如SnX2R2,及第二化合物,例如氨(ammonia;NH3)。當有機金屬化合物與氨結合時,有機金屬化合物與一些呈氣相的氨反應以形成反應產物,該反應產物具有附接至有機金屬化合物的金屬(Sn)的胺基團。在如此沉積的光阻劑層中的胺基團具有氫鍵,該些氫鍵可實質上增加沉積光阻 劑層的沸點並且防止含有金屬的光阻劑材料的逸氣,從而防止含有金屬的光阻劑中的金屬污染沉積腔室及半導體元件處理裝置。另外,胺基團的氫鍵可控制水分對於光阻劑層品質的影響。
當隨後曝光於極紫外線輻射時,有機金屬化合物吸收極紫外線輻射並且一或多個有機R基團自有機金屬化合物裂解以在輻射曝光區域中形成胺基金屬化合物。然後,在執行曝光後烘烤(post-exposure bake;PEB)時,胺基金屬化合物經由在一些實施例中的胺基團來交聯,如第11圖示出。在一些實施例中,由於曝光於極紫外線輻射,發生胺基金屬化合物的部分交聯。
在一些實施例中,保護層20包含聚合物。在一些實施例中,聚合物為以下中之至少一者:聚乙烯醇、聚丙烯酸、聚甲基丙烯酸甲酯、聚丙烯醯胺、聚四氟乙烯、聚乙烯、聚丙烯、聚苯乙烯、聚羥基苯乙烯、或聚甲基丙烯酸。在一些實施例中,聚合物具有約1000道爾頓(dalton)至約10,000道爾頓範圍內的分子量。在一些實施例中,聚合物具有約2,000道爾頓至約8,000道爾頓範圍內的分子量。具有少於約1000道爾頓的分子量的聚合物可能不足以抑制含有金屬的光阻劑逸氣。具有大於約10,000道爾頓的分子量的聚合物可難以隨後完全移除並且導致光阻劑圖案解析度降低。在一些實施例中,保護層20的厚度在約3nm至約100nm範圍內變化。在一些實施例中,保護層具有約15nm至約80nm範圍內的寬度。在低於 約3nm的厚度處,保護層可能不足以抑制含有金屬的光阻劑逸氣。在大於約100nm的厚度處,可能難以隨後完全移除保護層,導致光阻劑圖案解析度降低。
在一些實施例中,形成保護層20包含:將聚合物與溶劑結合以形成保護層組合物,在光阻劑層15上方沉積保護層組合物,及自保護層組合物中移除溶劑。在一些實施例中,沉積保護層組合物包含將保護層組合物在光阻劑層15上方旋轉塗佈。在一些實施例中,自保護層組合物移除溶劑包含在約40℃與約150℃範圍內的溫度下加熱保護層20持續約10秒至約10分鐘。
在一些實施例中,溶劑為以下中之至少一者:醚,包括丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate;PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether;PGME)、乙醚、二異戊醚(diisoamyl ether;DIAE)、及1-乙氧基-2-丙醇(1-ethoxy-2-propanol;PGEE);γ-丁內酯(γ-butyrolactone;GBL);環己酮(cyclohexanone;CHN);乳酸乙酯(ethyl lactate;EL);醇,包括甲醇、乙醇、丙醇、異丙醇(isopropanol;IPA)、正丁醇、4-甲基-2-戊醇;酮,包括丙酮、甲基乙基酮、及2-庚酮(2-heptanone;MAK);二甲基甲醯胺(dimethylformamide;DMF);四氫呋喃(tetrahydrofuran;THF);甲基異丁基甲醇(methyl isobutyl carbinol;MIBC);乙酸正丁酯(n-butyl acetate;nBA);四氫呋喃(tetrahydrofuran;THF);1,4-二噁烷;烷;及去離子水。在一些實施例中,聚合物溶解於溶劑中,並且下伏的光阻劑層不可溶解於溶劑中。
在一些實施例中,保護層20不為光阻劑層。在一些實施例中,保護層20的選擇性曝光於光化輻射的部分不經歷交聯反應。在一些實施例中,保護層的組合物對於用於選擇性曝光光阻劑層15的光化輻射不具有光敏感性。在一些實施例中,保護層20不影響光阻劑層15的光化輻射吸收。
在一些實施例中,溶劑為極性溶劑,包括去離子水、醇、或酮中之至少一者。在一些實施例中,極性溶劑包含IPA、PGME、DMSO、或水中之至少一者。在一些實施例中,保護層20組合物包含極性溶劑並且聚合物為親水性聚合物。在一些實施例中,親水性聚合物為聚乙烯醇、聚丙烯酸、聚甲基丙烯酸、聚丙烯醯胺、或聚羥基苯乙烯中之至少一者。親水性聚合物提供具有親水性頂表面的保護層。親水性聚合物可在用於移除保護層的溶劑中具有經改良的溶解度,並且實現光阻劑層的解析度改良。在一些實施例中,親水性聚合物保護層改良光阻劑層15的顯影操作並且由此改良圖案解析度。
在一些實施例中,溶劑為非極性溶劑,包括醚,諸如乙醚或DIAE;烷;或1,4-二噁烷中之至少一者並且聚合物為疏水性聚合物。在一些實施例中,疏水性聚合物為聚四氟乙烯、聚乙烯、聚丙烯、或聚苯乙烯中之至少一者。 在一些實施例中,疏水性聚合物提供疏水性表面,該表面防止環境中的水分進入光阻劑層,使光阻劑層性能降級。藉由光阻劑層15來吸收水分可導致光阻劑層15發生過度交聯,包括其中可能不需要交聯的光阻劑層的未曝光部分。因此,在一些實施例中,疏水性表面改良圖案解析度。
保護層20藉由本文揭示的溶劑中的任一者來移除。在其他實施例中,保護層藉由紫外線照射、熱處理、蝕刻、或光阻劑顯影劑來移除。
在一些實施例中,在形成光阻劑層之前,將待圖案化的層(標靶層)60安置在基板上方,如第12圖示出。在一些實施例中,待圖案化的層60為安置在金屬化層上方的金屬化層或介電質層,諸如鈍化層。在其中待圖案化的層60為金屬化層的實施例中,待圖案化的層60使用金屬化過程,及金屬沉積技術,包括化學氣相沉積、原子層沉積、及物理氣相沉積(濺鍍)來由導電材料形成。同樣地,若待圖案化的層60為介電質層,則待圖案化的層60藉由介電質層形成技術,包括熱氧化、化學氣相沉積、原子層沉積、及物理氣相沉積來形成。
在一些實施例中,光阻劑層15然後在約40℃與約150℃之間的溫度下,經歷視情況選用之第一加熱操作持續約10秒至約10分鐘。在視情況選用之第一加熱操作或光阻劑層形成之後,在光阻劑層15上方形成保護層20,如第13圖示出。保護層20與參照第3圖描述的保護層相同。在一些實施例中,保護層20隨後在約40℃與約150℃ 之間的溫度下、在第二加熱操作中加熱以便移除溶劑或使保護層乾燥,持續約10秒至約10分鐘。
光阻劑層15及保護層20隨後選擇性曝光於光化輻射45以在光阻劑層中形成曝光區域50及未曝光區域52,如第14A圖及第14B圖示出,並且在本文中關於第4A圖及第4B圖所描述。在一些實施例中,如在本文中解釋,光阻劑為負型光阻劑。
隨後,光阻劑層15及保護層20經歷第三加熱或曝光後烘烤(post-exposure bake;PEB)。在一些實施例中,將光阻劑層15及保護層20加熱至約50℃至約250℃的溫度持續約20秒至約300秒。在一些實施例中,曝光後烘烤在約100℃至約230℃範圍內的溫度下,並且在其他實施例中在約150℃至約200℃範圍內的溫度下執行。
在一些實施例中,在曝光後烘烤操作之後,隨後將保護層20移除,如第15圖示出。
未曝光區域52藉由自分配器62施配顯影劑57來顯影,如第16A圖示出。在一些實施例中,在顯影操作期間移除保護層20,如第16B圖示出。在其他實施例中,未曝光光阻劑區域藉由乾式顯影操作來顯影,如第16C圖示出。顯影操作形成光阻劑開口55,如第17圖示出。顯影操作與在本文中參考第6A圖、第6B圖、第6C圖、及第7圖來解釋的顯影操作類似。
然後如第18圖示出,使用蝕刻操作,將光阻劑層 15中的開口55轉移至待圖案化的層60並且將光阻劑層移除,以在待圖案化的層60中形成凹槽55”,如參考第8圖解釋。
其他實施例包括在如上所述的操作之前、期間、或之後的其他操作。在一些實施例中,所揭示的方法包括形成鰭場效應電晶體(FinFET)結構。在一些實施例中,複數個主動鰭(active fins)在半導體基板上形成。此等實施例進一步包括蝕刻基板穿過經圖案化硬遮罩的開口以在基板中形成溝槽;用介電質材料填充溝槽;執行化學機械研磨(chemical mechanical planarization;CMP)過程以形成淺溝槽隔離(shallow trench isolation;STI)特徵;及對STI特徵進行磊晶生長或開槽以形成鰭樣有源區域。在一些實施例中,一或多個閘極電極在基板上形成。一些實施例包括形成閘極分隔物、摻雜源極/汲極區域、閘極/源極/汲極特徵的觸點等。在其他實施例中,在多層互連結構中,形成呈金屬接線形式的標靶圖案。例如,金屬接線可在基板的層間介電質(inter-layer dielectric;ILD)層中形成,該層經蝕刻以形成複數個溝槽。溝槽可用導電材料諸如金屬填充;並且導電材料可使用諸如化學機械平坦化(CMP)的過程來研磨以便曝露圖案化ILD層,由此在ILD層中形成金屬接線。以上為可使用本文所述方法來製成及/或改良的裝置/結構的非限制性實例。
在一些實施例中,根據本揭示案的實施例,形成主 動部件(active component),諸如二極體、場效應電晶體(field-effect transistor;FET)、金屬氧化物半導體場效應電晶體(metal-oxide semiconductor field effect transistor;MOSFET)、互補金屬氧化物半導體(complementary metal-oxide semiconductor;CMOS)電晶體、雙極電晶體、高電壓電晶體、高頻率電晶體、FinFET、其他三維(three-dimensional;3D)FET、金屬氧化物半導體場效應電晶體(metal-oxide semiconductor field effect transistor;MOSFET)、互補金屬氧化物半導體(complementary metal-oxide semiconductor;CMOS)電晶體、雙極電晶體、高電壓電晶體、高頻率電晶體、其他記憶體元件、及其組合。
本揭示案的實施例提供在半導體基板的表面上沉積的均勻的光阻劑膜。在一些實施例中,防止來自含有金屬之光阻劑中的金屬污染沉積腔室及半導體基板操作設備。藉由根據本揭示案的實施例的方法來防止光阻劑水分敏感性問題。藉由本揭示案之實施例來提供經改良的圖案解析度。本揭示案的實施例提供經改良的線寬粗糙度。
本揭示案的實施例為製造半導體元件的方法,包括在基板上方形成光阻劑層,包括將呈蒸氣狀態的第一前驅物及第二前驅物結合以形成光阻劑材料,及將光阻劑材料沉積在基板上方。在光阻劑層上方形成保護層。將光阻劑層透過保護層來選擇性地曝光於光化輻射以在光阻劑層中形成潛在的圖案。將保護層移除,並且藉由將顯影劑施加 至選擇性曝光的光阻劑層,使潛在的圖案顯影以形成圖案。在一實施例中,保護層包含親水性聚合物。在一實施例中,保護層包含疏水性聚合物。在一實施例中,保護層包含選自由以下組成之群組的聚合物:聚乙烯醇、聚丙烯酸、聚甲基丙烯酸甲酯、聚丙烯醯胺、聚四氟乙烯、聚乙烯、聚丙烯、聚苯乙烯、聚羥基苯乙烯、聚甲基丙烯酸、及其組合。在一實施例中,保護層包含聚合物。在一實施例中,聚合物具有1000道爾頓至10,000道爾頓範圍內的分子量。在一實施例中,在光阻劑層上方形成保護層包含在基板上方旋轉塗佈聚合物及溶劑的混合物。在一實施例中,第一前驅物為具有式MaRbXc的有機金屬,其中M為Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce、或Lu中之至少一者;R為經取代或未經取代烷基、烯基、或羧酸鹽基團;X為鹵化物或磺酸鹽基團;並且1
Figure 110103335-A0305-02-0035-20
a
Figure 110103335-A0305-02-0035-21
2,b
Figure 110103335-A0305-02-0035-22
1,c
Figure 110103335-A0305-02-0035-24
1,並且b+c
Figure 110103335-A0305-02-0035-25
5;並且第二前驅物為胺、硼烷、膦、或水中之至少一者。在一實施例中,該方法包含在使光阻劑層選擇性地曝光於光化輻射以形成潛在的圖案之後並且在移除保護層之前,加熱光阻劑層。在一實施例中,該方法包含在光阻劑層上方形成保護層之後並且在使光阻劑層選擇性地曝光於光化輻射之前,加熱光阻劑層。在一實施例中,在使潛在的圖案顯影期間,將保護層移除。在一實施例中,藉由原子層沉積(atomic layer deposition;ALD)或化學氣相沉積(chemical vapor deposition;CVD), 將光阻劑材料沉積在基板上方。
本揭示案之另一實施例為製造半導體元件的方法,包括經由原子層沉積(atomic layer deposition;ALD)或化學氣相沉積(chemical vapor deposition;CVD),將包括第一有機金屬化合物及第二化合物的光阻劑組合物沉積在基板表面上方以形成光阻劑層。在光阻劑層上方形成包括聚合物的保護層。光阻劑層透過保護層來選擇性地曝光於光化輻射以形成潛在的圖案。將保護層移除,並且藉由將顯影劑施加至選擇性曝光的光阻劑層,使潛在的圖案顯影以形成圖案,該圖案曝露基板表面的一部分。將藉由顯影來曝露的基板表面的一部分移除。在一實施例中,第一有機金屬化合物為以下中的至少一者:第二己基參(二甲基胺基)錫、第三己基參(二甲基胺基)錫、異己基參(二甲基胺基)錫、正己基參(二甲基胺基)錫、第二戊基參(二甲基胺基)錫、第三戊基參(二甲基胺基)錫、異戊基參(二甲基胺基)錫、正戊基參(二甲基胺基)錫、第二丁基參(二甲基胺基)錫、第三丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、第二丁基參(二甲基胺基)錫、異丙基(參)二甲基胺基錫、正丙基參(二乙基胺基)錫及類似的烷基(參)(第三丁氧基)錫化合物,包括第二己基參(第三丁氧基)錫、第三己基參(第三丁氧基)錫、異己基參(第三丁氧基)錫、正己基參(第三丁氧基)錫、第二戊基參(第三丁氧基)錫、第三戊基參(第三丁氧基)錫、異戊基參(第三丁氧基)錫、正戊基參(第三丁氧基)錫、第 三丁基參(第三丁氧基)錫、異丁基參(丁氧基)錫、正丁基參(丁氧基)錫、第二丁基參(丁氧基)錫、異丙基(參)二甲基胺基錫或正丙基參(丁氧基)錫;並且第二化合物為胺、硼烷、膦、或水中之至少一者。在一實施例中,藉由顯影來移除基板表面的一部分包含蝕刻基板表面。在一實施例中,該方法包含在透過保護層將光阻劑層選擇性地曝光於光化輻射以形成潛在的圖案之後並且在移除保護層之前,在100℃至200℃範圍內的溫度下加熱光阻劑層。在一實施例中,聚合物為親水性聚合物或疏水性聚合物中之至少一者。在一實施例中,聚合物為聚乙烯醇、聚丙烯酸、聚甲基丙烯酸甲酯、聚丙烯醯胺、聚四氟乙烯、聚乙烯、聚丙烯、聚苯乙烯、聚羥基苯乙烯、或聚甲基丙烯酸中之至少一者。在一實施例中,顯影劑為乾式顯影劑。在一實施例中,該方法包含在光阻劑層上方形成保護層之後並且在透過保護層將光阻劑層選擇性地曝光於光化輻射之前,在40℃至150℃範圍內的溫度下加熱保護層及光阻劑層。在一實施例中,保護層包含:將聚合物及溶劑混合以形成保護層組合物,將保護層組合物旋轉塗佈在光阻劑層上方,及自保護層組合物中移除溶劑。在一實施例中,光化輻射為極紫外線輻射。在一實施例中,該方法包含在形成保護層之前,加熱光阻劑層。
本揭示案之另一實施例為製造半導體元件的方法,包括在相同時間將第一化合物及第二化合物引入腔室中以形成第一化合物及第二化合物的組合物。經由化學氣相沉 積(chemical vapor deposition;CVD),將第一化合物及第二化合物的組合物沉積在基板上方以形成第一化合物及第二化合物的組合物的層。第一化合物為有機金屬化合物並且第二化合物為胺、硼烷、膦、或水中之至少一者。藉由將包括聚合物及溶劑的保護層組合物塗佈在第一化合物及第二化合物的組合物的層上方來形成保護層。透過保護層,將第一化合物及第二化合物的組合物的層呈圖案地曝光於光化輻射以在第一化合物及第二化合物的組合物的層中形成潛在的圖案。將保護層移除,並且將組合物的呈圖案地曝光的層顯影以形成第一化合物及第二化合物的組合物的圖案化層。在一實施例中,保護層組合物對於光化輻射不具有光敏感性。在一實施例中,在透過保護層,將第一化合物及第二化合物的組合物的層選擇性地曝光於光化輻射以形成潛在的圖案之後並且在移除保護層之前,在100℃至200℃範圍內的溫度下加熱第一化合物及第二化合物的組合物的層。在一實施例中,溶劑為極性溶劑並且聚合物為親水性聚合物。在一實施例中,溶劑為非極性溶劑並且聚合物為疏水性聚合物。在一實施例中,溶劑為極性溶劑並且聚合物為聚乙烯醇、聚丙烯酸、聚甲基丙烯酸、聚丙烯醯胺、或聚羥基苯乙烯中之至少一者。在一實施例中,溶劑為非極性溶劑並且聚合物為聚四氟乙烯、聚乙烯、聚丙烯、或聚苯乙烯中之至少一者。在一實施例中,光化輻射為極紫外線輻射。在一實施例中,該方法包含在形成保護層之前,加熱第一化合物及第二化合物的組合物的層。 在一實施例中,該方法包含將藉由顯影來曝露的基板的一部分移除。在一實施例中,藉由顯影來移除基板的一部分包含蝕刻基板。在一實施例中,顯影為乾式顯影操作。
本揭示案之另一實施例為將光阻劑層圖案化的方法,包括藉由原子層沉積(atomic layer deposition;ALD)或化學氣相沉積(chemical vapor deposition;CVD),將光阻劑層沉積在基板表面上方。光阻劑層包含有機金屬化合物及胺、硼烷、膦、及水中之至少一者的反應產物。在光阻劑層上方形成保護層。在形成保護層之後,光阻劑層呈圖案地交聯以在光阻劑層中形成潛在的圖案。將保護層移除,並且藉由將顯影劑施加至呈圖案地交聯的光阻劑層,使潛在的圖案顯影以形成圖案,該圖案曝露基板表面的一部分。在一實施例中,該方法包含將基板表面的曝光部分在顯影之後移除。在一實施例中,有機金屬化合物包含選自由Sn、Bi、Sb、In、及Te組成之群組的金屬。在一實施例中,在使光阻劑層呈圖案地交聯期間,保護層不交聯。在一實施例中,形成保護層包含:將聚合物及溶劑組合以形成保護層組合物,將保護層組合物旋轉塗佈在光阻劑層上方,及自保護層組合物中移除溶劑。在一實施例中,溶劑為極性溶劑並且聚合物為聚乙烯醇、聚丙烯酸、聚甲基丙烯酸、聚丙烯醯胺、或聚羥基苯乙烯中之至少一者。在一實施例中,溶劑為非極性溶劑並且聚合物為聚四氟乙烯、聚乙烯、聚丙烯、或聚苯乙烯中之至少一者。在一實施例中,胺、硼烷、或膦包含鹵化物取代基。 在一實施例中,使光阻劑層呈圖案地交聯包含使光阻劑層呈圖案地曝光於極紫外線輻射,及加熱呈圖案地曝光的光阻劑層及保護層。在一實施例中,將呈圖案地曝光的光阻劑層在100℃至200℃範圍內的溫度下加熱。
本揭示案之另一實施例為在基板表面上方形成圖案化層的方法,包括將蒸氣相有機金屬化合物及第二蒸氣相化合物的反應產物沉積在基板上方以在基板表面上方形成光阻劑層。有機金屬化合物具有式MaRbXc,其中M為Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce、或Lu中之至少一者;R為經取代或未經取代烷基、烯基、或羧酸鹽基團;X為鹵化物或磺酸鹽基團;並且1
Figure 110103335-A0305-02-0040-26
a
Figure 110103335-A0305-02-0040-27
2,b
Figure 110103335-A0305-02-0040-28
1,c
Figure 110103335-A0305-02-0040-30
1,並且b+c
Figure 110103335-A0305-02-0040-31
5。第二氣相化合物為胺、硼烷、膦、或水中之至少一者。在光阻劑層上方形成保護層。光阻劑層呈圖案地交聯以在光阻劑層中形成潛在圖案。在使光阻劑層呈圖案地交聯之後,將保護層移除,並且藉由將顯影劑施加至呈圖案地交聯的光阻劑層,使潛在圖案顯影以形成圖案,圖案曝露基板表面的一部分。在一實施例中,胺、硼烷、或膦包含鹵化物取代基。在一實施例中,在使潛在圖案顯影期間,將保護層移除。在一實施例中,使光阻劑層呈圖案地交聯包含透過保護層使光阻劑層呈圖案地曝光於極紫外線輻射,及加熱呈圖案地曝光的光阻劑層及保護層。在一實施例中,將呈圖案地曝光的光阻劑層在100℃至200℃範圍內的溫度下加熱。在一實施例中,該 方法包含將藉由顯影來曝露的基板的一部分移除。在一實施例中,該方法包含在使光阻劑層呈圖案地交聯之前,將光阻劑層在40℃至150℃範圍內的溫度下加熱。在一實施例中,形成保護層包含:將聚合物與溶劑組合以形成保護層組合物,在光阻劑層上方沉積保護層組合物,及自保護層組合物中移除溶劑。在一實施例中,溶劑為極性溶劑並且聚合物為聚乙烯醇、聚丙烯酸、聚甲基丙烯酸、聚丙烯醯胺、或聚羥基苯乙烯中之至少一者。在一實施例中,溶劑為非極性溶劑並且聚合物為聚四氟乙烯、聚乙烯、聚丙烯、或聚苯乙烯中之至少一者。
本揭示案之另一實施例為將光阻劑層圖案化的方法,包括藉由氣相沉積操作,將光阻劑層沉積在基板上方。光阻劑層包含有機金屬化合物及第二化合物的反應產物,其中第二化合物為胺、硼烷、膦、或水中之至少一者。將包括聚合物及溶劑的保護層組合物塗佈在光阻劑層上方以形成保護層。將光阻劑層透過保護層來選擇性地曝光於光化輻射以在光阻劑層中形成潛在圖案。將保護層移除,並且將光阻劑層的未曝光於光化輻射的部分移除以形成光阻劑層的剩餘部分的圖案,該些剩餘部分在選擇性曝光光阻劑層期間曝光於光化輻射。在一實施例中,該方法包含將藉由移除光阻劑層的部分來曝光的基板的部分移除。在一實施例中,移除基板的部分包含乾式蝕刻基板。在一實施例中,移除光阻劑層的部分包含將電漿施加至光阻劑層。在一實施例中,氣相沉積操作包含原子層沉積或化學氣相 沉積。在一實施例中,保護層對於光化輻射不具有光敏感性。在一實施例中,該方法包含在將光阻劑層選擇性地曝光於光化輻射之後,在100℃至200℃範圍內的溫度下加熱光阻劑層及光阻劑層。在一實施例中,在移除未曝光於光化輻射的光阻劑層的部分期間,將保護層移除。在一實施例中,方法包含在使光阻劑層選擇性曝光於光化輻射之前,在40℃至150℃的溫度下加熱保護層及光阻劑層。在一實施例中,胺為氨或肼。
前述概述多個實施例或實例的特徵以使得熟習此項技術者可更好理解本揭示案的態樣。熟習此項技術者應認識到其可容易使用本揭示案作為設計或改進執行相同目的及/或達成本文介紹的實施例或實例的相同優勢的其他過程及結構的基礎。熟習此項技術者應亦認識到此類等效構建不脫離本揭示案的精神及範圍,並且其可在本文中進行各種變化、取代、及變更而不脫離本揭示案的精神及範圍。
100:處理流程
S110:操作
S120:操作
S130:操作
S140:操作
S150:操作
S160:操作
S170:操作
S175:操作
S180:操作
S190:操作

Claims (10)

  1. 一種製造一半導體元件的方法,包括:在一基板上方形成一光阻劑層,包括:將呈一蒸氣狀態的一第一前驅物及一第二前驅物結合以形成一光阻劑材料,其中該第一前驅物為具有下式之一有機金屬:MaRbXc其中M為Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce、或Lu中的至少一者,R為經取代或未經取代的烷基、烯基、或羧酸鹽基團,X為鹵化物或磺酸鹽基團,及1
    Figure 110103335-A0305-02-0046-32
    a
    Figure 110103335-A0305-02-0046-33
    2,b
    Figure 110103335-A0305-02-0046-34
    1,c
    Figure 110103335-A0305-02-0046-35
    1,且b+c
    Figure 110103335-A0305-02-0046-38
    5;及該第二前驅物為胺、硼烷、膦、或水中的至少一者;及將該光阻劑材料沉積在該基板上方;在該光阻劑層上方形成一保護層;透過該保護層,將該光阻劑層選擇性地曝光於光化輻射以在該光阻劑層中形成一潛在的圖案;移除該保護層;及藉由將一顯影劑施加至該選擇性地曝光的光阻劑層,將該潛在圖案顯影以形成一圖案。
  2. 如請求項1所述之方法,其中該保護層包含 一疏水性聚合物。
  3. 如請求項1所述之方法,其中該保護層包含選自由以下組成之群組的聚合物:聚乙烯醇、聚丙烯酸、聚甲基丙烯酸甲酯、聚丙烯醯胺、聚四氟乙烯、聚乙烯、聚丙烯、聚苯乙烯、聚羥基苯乙烯、聚甲基丙烯酸、及其組合。
  4. 如請求項1所述之方法,其中在該潛在的圖案顯影期間,移除該保護層。
  5. 如請求項1所述之方法,進一步包括,在將該光阻劑層選擇性地曝光於光化輻射以形成該潛在的圖案之後並且在移除該保護層之前,加熱該光阻劑層。
  6. 一種製造一半導體元件的方法,包括:經由原子層沉積(ALD)或化學氣相沉積(CVD),將包含一第一有機金屬化合物及一第二化合物的一光阻劑組合物沉積在一基板表面上方以形成一光阻劑層;在該光阻劑層上方形成包含一聚合物的一保護層;透過該保護層,將該光阻劑層選擇性地曝光於光化輻射以形成一潛在的圖案;在透過該保護層將該光阻劑層選擇性地曝光於光化輻射以形成該潛在的圖案之後,在100℃至200℃範圍內的一 溫度下加熱該光阻劑層;在加熱該光阻劑層之後,移除該保護層;藉由將一顯影劑施加至該選擇性地曝光的光阻劑層,使該潛在的圖案顯影以形成一圖案,該圖案曝露該基板表面的一部分;及藉由將該顯影來移除曝露的該基板表面的該部分。
  7. 如請求項6所述之方法,其中該第一有機金屬化合物為以下中的至少一者:第二己基參(二甲基胺基)錫、第三己基參(二甲基胺基)錫、異己基參(二甲基胺基)錫、正己基參(二甲基胺基)錫、第二戊基參(二甲基胺基)錫、第三戊基參(二甲基胺基)錫、異戊基參(二甲基胺基)錫、正戊基參(二甲基胺基)錫、第二丁基參(二甲基胺基)錫、第三丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、第二丁基參(二甲基胺基)錫、異丙基(參)二甲基胺基錫、正丙基參(二乙基胺基)錫及類似的烷基(參)(第三丁氧基)錫化合物,包括第二己基參(第三丁氧基)錫、第三己基參(第三丁氧基)錫、異己基參(第三丁氧基)錫、正己基參(第三丁氧基)錫、第二戊基參(第三丁氧基)錫、第三戊基參(第三丁氧基)錫、異戊基參(第三丁氧基)錫、正戊基參(第三丁氧基)錫、第三丁基參(第三丁氧基)錫、異丁基參(丁氧基)錫、正丁基參(丁氧基)錫、第二丁基參(丁氧基)錫、異丙基(參)二甲基胺基錫或正丙基參(丁氧基)錫;及 該第二化合物為胺、硼烷、膦、或水中的至少一者。
  8. 如請求項6所述之方法,其中藉由該顯影來移除曝露的該基板表面的該部分包括蝕刻該基板表面。
  9. 一種製造一半導體元件的方法,包括:在相同時間將一第一化合物及一第二化合物引入一腔室中以形成該第一化合物及該第二化合物的一組合物;經由化學氣相沉積(CVD),將該第一化合物及該第二化合物的該組合物沉積在一基板上方以形成該第一化合物及該第二化合物的該組合物的一層,其中該第一化合物為一有機金屬化合物並且該第二化合物為胺、硼烷、膦、或水中的至少一者;藉由將包含一聚合物及一溶劑的一保護層組合物塗佈在該第一化合物及該第二化合物的組合物的該層上方來形成一保護層;透過該保護層,將該第一化合物及該第二化合物的該組合物的該層呈圖案地曝光於光化輻射以在該第一化合物及該第二化合物的該組合物的該層中形成一潛在的圖案;在形成該潛在的圖案之後,在100℃至200℃範圍內的一溫度下加熱該光阻劑層;在加熱該光阻劑層之後,移除該保護層;及將該組合物的該呈圖案地曝光的層顯影以形成該第一化合物與該第二化合物的該組合物的一圖案化層。
  10. 如請求項9所述之方法,其中該保護層組合物對於光化輻射不具有光敏感性。
TW110103335A 2020-03-30 2021-01-28 製造半導體元件的方法 TWI804806B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063002264P 2020-03-30 2020-03-30
US63/002,264 2020-03-30
US202063025956P 2020-05-15 2020-05-15
US63/025,956 2020-05-15
US17/071,004 2020-10-15
US17/071,004 US11822237B2 (en) 2020-03-30 2020-10-15 Method of manufacturing a semiconductor device

Publications (2)

Publication Number Publication Date
TW202136895A TW202136895A (zh) 2021-10-01
TWI804806B true TWI804806B (zh) 2023-06-11

Family

ID=76508246

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110103335A TWI804806B (zh) 2020-03-30 2021-01-28 製造半導體元件的方法

Country Status (4)

Country Link
US (1) US20230375920A1 (zh)
CN (1) CN113050369A (zh)
DE (1) DE102020129681B4 (zh)
TW (1) TWI804806B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI456352B (zh) * 2011-10-11 2014-10-11 Shinetsu Chemical Co 圖案形成方法
TW202007691A (zh) * 2018-07-31 2020-02-16 南韓商三星Sdi股份有限公司 半導體抗蝕劑組成物及使用所述組成物形成圖案的方法及系統
JP2020038320A (ja) * 2018-09-05 2020-03-12 東京エレクトロン株式会社 レジスト膜の製造方法
TW202011455A (zh) * 2018-09-07 2020-03-16 台灣積體電路製造股份有限公司 半導體結構的製作方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3889159B1 (en) 2014-10-23 2024-06-05 Inpria Corporation Organometallic solution based high resolution patterning compositions
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US10741410B2 (en) 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI456352B (zh) * 2011-10-11 2014-10-11 Shinetsu Chemical Co 圖案形成方法
TW202007691A (zh) * 2018-07-31 2020-02-16 南韓商三星Sdi股份有限公司 半導體抗蝕劑組成物及使用所述組成物形成圖案的方法及系統
JP2020038320A (ja) * 2018-09-05 2020-03-12 東京エレクトロン株式会社 レジスト膜の製造方法
TW202011455A (zh) * 2018-09-07 2020-03-16 台灣積體電路製造股份有限公司 半導體結構的製作方法

Also Published As

Publication number Publication date
DE102020129681A1 (de) 2021-09-30
DE102020129681B4 (de) 2023-03-23
TW202136895A (zh) 2021-10-01
US20230375920A1 (en) 2023-11-23
CN113050369A (zh) 2021-06-29

Similar Documents

Publication Publication Date Title
US11822237B2 (en) Method of manufacturing a semiconductor device
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
TWI773415B (zh) 製造半導體裝置的方法
TWI781629B (zh) 半導體裝置的製造方法
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
TWI804806B (zh) 製造半導體元件的方法
TW202205374A (zh) 在光阻層中形成圖案的方法及半導體裝置的製造方法
KR102630481B1 (ko) 반도체 디바이스 제조 방법
TWI796661B (zh) 半導體裝置之製造方法
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
TWI780715B (zh) 製造半導體裝置的方法及顯影劑組成物
US12002675B2 (en) Photoresist layer outgassing prevention
TWI831108B (zh) 製造半導體裝置的方法
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具