TW202011455A - 半導體結構的製作方法 - Google Patents

半導體結構的製作方法 Download PDF

Info

Publication number
TW202011455A
TW202011455A TW108131980A TW108131980A TW202011455A TW 202011455 A TW202011455 A TW 202011455A TW 108131980 A TW108131980 A TW 108131980A TW 108131980 A TW108131980 A TW 108131980A TW 202011455 A TW202011455 A TW 202011455A
Authority
TW
Taiwan
Prior art keywords
layer
silicon
patterned
intermediate layer
etching
Prior art date
Application number
TW108131980A
Other languages
English (en)
Inventor
劉中偉
藍錦坤
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202011455A publication Critical patent/TW202011455A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal

Abstract

一實施例為半導體結構的製作方法。方法 包括:沉積硬遮罩。沉積多層結構於硬遮罩上。多層結構包括底層、底層上的第一中間層、第一中間層上的第二中間層、與第二中間層上的頂層。第一中間層包括矽-矽鍵含量為約0.5%至約5%的碳氫氧化矽材料。圖案化多層結構以形成圖案化的第一中間層,且圖案化的第一中間層具有多個開口。經由圖案化的第一中間層的開口蝕刻硬遮罩。

Description

半導體結構的製作方法
本發明實施例關於半導體結構的製作方法,更特別關於圖案化硬遮罩的方法。
半導體積體電路產業已經歷快速成長。積體電路材料與設計中的技術進展,使每一代的積體電路比其前一代的積體電路具有更小且更複雜的電路。然而這些進展會增加處理與形成積體電路的複雜度。為實現這些進展,處理與形成積體電路的方法亦需類似進展。在積體電路演進中,積體密度(如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(如採用的製作製程所產生的最小構件)縮小而增加。
幾何尺寸縮小會造成多種製作困難。舉例來說,一般採用三層結構以圖案化半導體製程中的圖案層。然而隨著裝置尺寸越越小,採用三層結構可能或過蝕刻結構層或蝕刻不足結構層,其可能劣化半導體裝置效能甚至造成裝置失效。
本發明一實施例提供之半導體結構的製作方法, 包括:沉積硬遮罩於基板上;沉積多層結構於硬遮罩上,多層結構包括底層、底層上的第一中間層、第一中間層上的第二中間層、與第二中間層上的頂層,其中第一中間層包括矽-矽鍵含量為約0.5%至約5%的碳氫氧化矽材料;以及圖案化多層結構以形成圖案化的第一中間層,且圖案化的第一中間層具有多個開口;以及經由圖案化的第一中間層的開口蝕刻硬遮罩。
本發明一實施例提供之半導體結構的製作方法,包括:形成多層結構於硬遮罩層上,多層結構包括底層、底層上的第一中間層、與第一中間層上的頂層,其中頂層包括光阻材料;以光微影製程圖案化頂層以形成開口;蝕刻第一中間層以形成圖案化的第一中間層,且圖案化的第一中間層中的開口具有約70°至約90°的側壁斜角;以及經由圖案化的第一中間層中的開口蝕刻硬遮罩層。
本發明一實施例提供之半導體結構的製作方法,包括:形成多層硬遮罩,包括金屬間層與金屬間層上的含矽層;形成多層結構於多層硬遮罩上,多層結構包括底層、底層上的第一中間層、與第一中間層上的頂光阻層,且第一中間層的厚度為約50 Å至約300 Å;以光微影製程圖案化頂光阻層,以形成圖案化的頂光阻層;在圖案化的頂光阻層上進行除渣製程;經由圖案化的頂光阻層蝕刻第一中間層,以形成圖案化的第一中間層,且圖案化的第一中間層具有開口至底層,其中第一中間層包括的材料與底層相比,在蝕刻第一中間層時的蝕刻選擇性大於或等於5;經由圖案化的第一中間層中的開口蝕刻底層,以形成圖案化的底層,且圖案化的底層具有開口至含矽層;經由第一中間層中的開口與底層中的開口蝕刻含矽層,以形成圖案化的含矽層,且圖案化的含矽層具有開口至金屬間層;以及經由圖案化的含矽層中的開口蝕刻金屬間層,以形成圖案化的金屬間層。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件、與配置的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。另一方面,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
此處所述的一些實施例關於圖案化具有小間距的導電結構所用的多重圖案化方法。可提供多層結構如四層結構。多層結構包括含碳氫氧化矽材料的緻密中間層。緻密中間層與多層結構的底層相較具有高蝕刻選擇性。多層結構可用於圖案化硬遮罩(如多層硬遮罩)。多層結構包括緻密中間層,以降低具有不同結構密度的基板之多種區域上的多層結構的負載差異所造成的過蝕刻及/或蝕刻不足的問題。因此一些實施例可改善不同寬度的結構之圖案化方法。多層結構包括緻密中間層,以減少圖案橋接或後續圖案化步驟的圖案損失。
圖1係在多個蝕刻製程中形成多層結構以圖案化硬遮罩的製程10的例示性流程圖,如圖2至10所示的多層結構與多層硬遮罩。圖2至10係一些實施例中,對應製程10的多種階段之基板的一部份之剖視圖。製程10可用於形成任何合適結構,包括圖2至10所示的結構,或其他可行的半導體結構。
如圖2所示的一些實施例,結構包含基板20,其可為裝置晶粒的一部份。基板20可為或包含基體半導體基板、絕緣層上半導體基板、或另一基板。基板20的半導體材料可包含或可為矽(如結晶矽,比如Si>100>或Si>111>)、矽鍺、鍺、砷化鎵、或另一半導體材料。半導體材料可摻雜或未摻雜,比如摻雜p型或n型摻質。在基板20採用絕緣層上半導體基板的一些實施例中,基板20可包含半導體材料位於絕緣層上,其可為絕緣層埋置於半導體基板中,或可為玻璃或藍寶石基板。在這些實施例中,基板20為矽晶圓。舉例來說,基板20可為圓形基板,其直徑可為200mm、300mm、450mm、或其他尺寸。在其他實施例中,基板20可為任何特定尺寸、形狀、或材料。舉例來說,基板20亦可為任何多邊形、方形、矩形、弧形、或其他非圓形的工件。
基板20可包含裝置22如電晶體、二極體、電容、電感、電阻、其他主動裝置、及/或其他被動裝置。裝置22可形成於基板20上、可延伸至基板20中、及/或可延伸穿過基板20。
介電層24可為層間介電層或金屬間介電層,其形成於裝置22上。介電層24包含介電材料,比如氧化矽、未摻雜的矽酸鹽玻璃、摻雜的氧化矽(如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、或硼矽酸鹽玻璃)、及/或其他合適的介電材料。介電層24的沉積方法可為電漿輔助化學氣相沉積製程、高密度電漿化學氣相沉積製程、或其他合適的沉積製程。舉例來說,介電層24可包含採用四乙氧基矽烷前驅物的電漿輔助化學氣相沉積製程所形成的氧化矽。
導電結構26如閘極結構、金屬線路、金屬接點插塞、金屬通孔、及/或其他結構形成於介電層24中。舉例來說,每一導電結構26可包含擴散阻障層,以及擴散阻障層上的金屬填充層。擴散阻障層的例子包括鈦、氮化鈦、鉭、氮化鉭、其他合適的阻障材料、與上述之多層。金屬填充層的例子包括銅、鎢、或其他導電材料。導電結構26的沉積方法可為物理氣相沉積製程、電化學沉積製程、化學氣相沉積製程、其他合適的沉積製程、或上述之組合。
蝕刻停止層28可形成於介電層24上。蝕刻停止層28與相鄰的層狀物或構件具有不同的蝕刻選擇性,以在形成接點、通孔、或其他結構時提供停止蝕刻製程的機制。蝕刻停止層28可包含或可為氮化鋁、氮化矽、碳氮化矽、碳氧化矽、氮化碳、類似物、或上述之組合。蝕刻停止層28的沉積方法可為電漿輔助化學氣相沉積製程、高密度電漿化學氣相沉積製程、原子層沉積製程、或其他合適的沉積製程。
在圖1的製程10的步驟11中,介電層30形成於蝕刻停止層28上,如圖2所示。在一些實施例中,介電層30包含低介電常數的介電材料。介電層30可包含氧化矽、未摻雜的矽酸鹽玻璃、摻雜的氧化矽(如硼磷矽酸鹽玻璃、氟化矽酸鹽玻璃、磷矽酸鹽玻璃、或硼矽酸鹽玻璃)、及/或其他合適的介電材料。介電層30的沉積方法可為電漿輔助化學氣相沉積製程、高密度電漿化學氣相沉積製程、或其他合適的沉積製程。舉例來說,介電層30可包含採用四乙氧基矽烷前驅物的電漿輔助化學氣相沉積製程所形成的氧化矽。
抗反射塗層32可形成於介電層30上。抗反射塗層32可包含碳氧化矽、氧化矽、無氮材料、或其他合適材料。抗反射塗層32的沉積方法可為電漿輔助化學氣相沉積或其他合適的沉積製程。
步驟12形成硬遮罩34於抗反射塗層32上。硬遮罩34的沉積方法可為化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程、旋轉塗佈植程、其他合適的沉積製程、或上述之組合。在這些實施例中,硬遮罩34包含多層硬遮罩。在這些實施例中,多層的硬遮罩34包含金屬間層35,與金屬間層35之上的含矽層37。金屬間層35可包含氮化鈦層、氧化鈦層、氮化鉭層、其他合適的金屬層、或上述之組合。在這些實施例中,金屬間層35為氮化鈦層。含矽層37可包含氧化矽層、碳氧化矽層、碳氮化矽層、碳化矽層、氮化矽層、聚合物光阻層、或上述之組合。在這些實施例中,含矽層37為氧化矽層。在這些實施例中,含矽層37的沉積方法為採用四乙氧基矽烷作為製程氣體的化學氣相沉積製程。
步驟13形成多層結構40於硬遮罩34上。在這些實施例中,多層結構40包括底層42、底層42上的緻密中間層44、與緻密中間層44上的頂層48。此處的用語「緻密中間層」指的是相對於所有矽鍵(如矽-氧鍵、矽-碳鍵、與矽-矽鍵)的矽-矽鍵含量為約0.5%至約5%的碳氫氧化矽層。在這些實施例中,多層結構40更包括軟性中間層46位於緻密中間層44之上與頂層48之下,如圖2所示。此處的用語「軟性中間層」指的矽-矽鍵含量低於0.5%的碳氫氧化矽層。
多層結構40的底層42形成於硬遮罩34上。底層42包含碳氫氧化物材料、氧化矽、碳氧化矽、聚合物材料、或其他合適材料。底層42的沉積方法可為化學氣相沉積製程、蒸鍍製程、旋轉塗佈製程、其他合適的沉積製程、或上述之組合。
緻密中間層44形成於底層42上。緻密中間層44包含化學氣相沉積製程或原子層沉積製程(如電漿輔助化學氣相沉積製程或電漿輔助原子層沉積製程)所沉積的碳氫氧化矽材料。在這些實施例中,緻密中間層44的碳氫氧化矽材料中相對於所有矽鍵(如矽-氧鑑、矽-碳鍵、與矽-矽鍵)的矽-矽鍵含量為約0.5%至約5%、矽-氧鍵含量為約83%至約90%、且矽-碳鍵含量為約10%至約18%。
在化學氣相沉積製程時,沉積碳氫氧化矽的緻密中間層44的步驟包括使矽前驅物、碳前驅物、與氧前驅物流入。矽前驅物可為矽烷、乙矽烷、丙矽烷、或上述之組合。碳前驅物可為四乙氧基矽烷、二甲基二乙氧基矽烷、甲烷、乙烷、丙烷、α-萜品烯、三甲基矽烷、四甲基矽烷、苯、其他碳前驅物、或上述之組合。氧前驅物可為氧氣、二氧化碳、一氧化二氮、或上述之組合。額外載氣或製程氣體亦可流入,比如氬氣、氮氣、氦氣、及/或氫氣。在這些實施例中,緻密中間層44的沉積方法為電漿輔助化學氣相沉積,其製程溫度為約50℃至約350℃。若採用的溫度低於50℃,則緻密中間層44的沉積速率可能太低。若電漿輔助化學氣相沉積採用的溫度高於350℃,則緻密中間層44的品質可能不良。製程壓力為約0.5torr至約20torr。若電漿輔助化學氣相沉積製程採用的製程壓力低於0.5torr,則緻密中間層44的沉積速率可能太低。若電漿輔助化學氣相沉積製程採用的製程壓力高於20torr,則電漿可能不穩定。
在原子層沉積製程中,沉積緻密中間層44的方法包括流入前驅物的多個循環。舉例來說,每一循環包括提供第一前驅物脈衝與第二前驅物脈衝。第一前驅物脈衝與第二前驅物脈衝之間的淨化氣體脈衝,有助於自基板表面移除多餘前驅物以利控制成長。除了淨化氣體脈衝之外,在第一前驅物脈衝與第二前驅物脈衝之間抽氣足夠的時間,亦有助於移除基板表面上的多餘前驅物以利控制成長。在這些實施例中,亦可提供電漿。舉例來說,第一前驅物包含雙(二乙基胺基)矽烷或其他碳矽前驅物。第二前驅物包含氧氣、一氧化二氮、或其他氧前驅物。可提供第二前驅物如電漿,比如採用遠端電漿源。第二程序可提供歷時約0.1秒至約5秒的電漿,以用於原子層沉積循環的每一脈衝。淨化氣體包括氬氣、氮氣、氦氣、氫氣、或其他氣體。在這些實施例中,每一循環可大致形成碳氫氧化矽的單層或原子層。在其他實施例中,每一循環可形成少於一單層,因為前驅物只部份吸附或部份反應。在其他實施例中,每一循環可形成超過一單層,因為只部份移除或未移除基板表面上的多餘前驅物。
在這些實施例中,緻密中間層44包括的碳氫氧化矽材料之矽-矽鍵含量為約0.5%至約5%。在這些實施例中,碳氫氧化矽材料的矽-矽鍵含量小於或等於約5%,其與矽-矽鍵含量大於約5%的碳氫氧化矽材料相較,可降低微影製程時的對準失效並減少分層/剝落。在這些實施例中,碳氫氧化矽材料的矽-矽鍵含量大於或等於約0.%,其與底層42相比具有良好的蝕刻選擇性。若碳氫氧化矽材料的矽-矽鍵含量小於0.5%或無矽-矽鍵含量,可能在蝕刻緻密中間層44時造成底層42出現不想要的過蝕刻。
在這些實施例中,緻密中間層44包含碳氫氧化矽材料,其碳原子%含量為約0.5%至約10%,可提供流動性更高的膜以填入間隙。若碳氫氧化矽層中的碳原子%小於0.5%或為0,則會造成不想要的孔洞。若碳氫氧化矽層中的碳原子%含量大於10%,與底層42相比的碳氫氧化矽層將具有低蝕刻選擇性。在這些實施例中,緻密中間層44包括碳氫氧化矽材料,其沉積厚度為約50Å至約300Å。若碳氫氧化矽層的厚度小於50Å,在作為遮罩時會被移除而造成不良的圖案轉移。若碳氫氧化矽層的厚度大於300Å,則會形成過高深寬比的開口而難以形成窄間隙的後續結構(如導電結構)。
在這些實施例中,軟性中間層46包含碳氫氧化矽材料。軟性中間層46的沉積方法可為化學氣相沉積製程、蒸鍍製程、旋轉塗佈製程、其他合適的沉積製程、或上述之組合。軟性中間層46包含的碳氫氧化矽材料所具有的矽-矽鍵含量低於0.5%,比如0至0.2%。在這些實施例中,軟性中間層46的碳氫氧化矽材料中,相對於所有矽鍵(如矽-氧鍵、矽-碳鍵、與矽-矽鍵)的矽-矽鍵含量為約0%至約0.5%、矽-氧鍵含量為約80%至約90%、而矽-碳鍵含量為約10%至約20%。
頂層48包括碳氫氧材料與光敏成份,比如光酸產生劑或光鹼產生劑。在這些實施例中,頂層48包含碳氫氧化矽材料。在這些實施例中,頂層48的碳氫氧化矽材料包括含矽的烷基。在這些實施例中,頂層48的碳氫氧化矽材料具有矽-氧-矽的骨架。在這些實施例中,頂層48的碳氫氧化矽材料中,相對於所有矽鍵(如矽-氧鍵、矽-碳鍵、與矽-矽鍵)的矽-矽鍵含量為約0.5%至約5%之間。光酸產生劑的例子包含但不限於氟化三嗪,鎓鹽,重氮鹽,芳族重氮鹽、或上述之組合。頂層48的沉積方法可為旋轉塗佈製程、化學氣相沉積製程、原子層沉積製程、蒸鍍製程、或任何合適的沉積技術。
步驟14圖案化多層結構40。在這些實施例中,多層結構40包括底層42、底層42上的緻密中間層44、與緻密中間層44上的頂層48。圖案化多層結構40的方法可包括微影製程以圖案化頂層48、第一蝕刻製程以圖案化緻密中間層44、以及第二蝕刻製程以圖案化底層42。
在多層結構40包含底層42、底層42上的緻密中間層44、緻密中間層44上的軟性中間層46、與軟性中間層46上的頂層48的這些實施例中,多層結構40的圖案化方法包括光微影製程以圖案化頂層、第一蝕刻製程以圖案化軟性中間層46與緻密中間層44、以及第二蝕刻製程以圖案化底層42。在其他實施例中,圖案化多層結構40的方法包括光微影製程以圖案化頂層48、除渣製程以圖案化軟性中間層46、第一蝕刻製程以圖案化緻密中間層44、以及第二蝕刻製程以圖案化底層42。在其他實施例中,圖案化多層結構40的方法包括光微影製程以圖案化頂層48、第一蝕刻製程以圖案化軟性中間層46、第二蝕刻製程以圖案化緻密中間層44、與第三蝕刻製程以圖案化底層42。
在一些實施例中,圖案化(如曝光與顯影)頂層48以形成開口49於圖案化的頂層48’中,如圖3所示。虛線指的是移除頂層48以形成開口49的部份。開口49可具有後續形成於介電層30中的通孔、接點、或另一結構之圖案。圖案化的頂層48’可包括不同寬度的圖案48’W1 與48’W2 。舉例來說,圖案48’W1 的寬度大於圖案48’W2 的寬度,如圖3所示。由於圖案48’W2 的寬度較窄,圖案48’W2 的高度可小於圖案48’W1 的高度。
頂層48的圖案化方法可採用任何合適的光微影技術。舉例來說,可將光罩(未圖示)置於頂層48上,接著以射線束曝光頂層48。射線束包含紫外線或準分子雷射(如來自氟化氪準分子雷射的248nm射線束或來自氟化氬準分子雷射的193nm射線束)。在另一例中,可採用反射式極紫外線光罩,以將穿過光學元件的極紫外線光源導向極紫外線光罩所產生的圖案,反射至基板以將圖案曝光頂層48上。可對頂層48進行烘烤製程如曝光前烘烤以移除沉積時採用的溶劑,及/或曝光後烘烤以促進曝光引發的交聯及/或黏著性。舉例來說,曝光前軟烘烤的溫度可小於或等於250℃,以移除溶劑而不引發交聯。
顯影劑用於移除頂層48的露出部份或未露出部份,端視採用的光阻為正型光阻或負型光阻而定。正型光阻材料照射射線的部份轉變為可溶於顯影劑。負型剛阻材料照射射線的部份轉變為不溶於顯影劑。顯影劑可含淬息劑以淬息光酸產生劑產生的酸(或光鹼產生劑產生的鹼)。
在一些實施例中,可在圖案化的頂層48’上進行除渣製程52,如圖4所示。除渣製程52的目的為移除殘留材料、未顯影的光阻材料、及/或光阻殘渣,其會造成自頂層48轉移至蝕刻的層狀物之圖案不良。不良的圖案轉移會造成不想要的線路邊緣粗糙度與其他不想要的微影問題。除渣製程52可包含氧電漿或其他電漿,以揮發並移除殘留材料、未顯影的光阻材料、與光阻殘渣。在這些實施例中,除渣製程52提供的氧氣流速介於約10sccm至約35sccm之間、腔室壓力介於10mTorr至125mTorr之間、而電漿源功率為約25W至約125W。除渣製程可包含氣體流速、腔室壓力、電漿源功率、與其他製程參數所用的不同合適參數。
在這些實施例中,除渣製程52可部份地蝕刻軟性中間層46。在圖4所示的這些實施例中,除渣製程52可蝕穿軟性中間層46,以形成圖案化的軟性中間層46’,其具有開口47。經由圖案化的頂層48’中的開口49蝕刻軟性中間層46,以形成開口47露出緻密中間層44。由於緻密中間層44的矽-矽鍵較多,除渣製程52對軟性中間層46的蝕刻選擇性高於對緻密中間層44的蝕刻選擇性。虛線指的是移除軟性中間層46以形成開口47的部份。圖案化的軟性中間層46’可包含不同寬度的圖案46’W1 與圖案46’W2 。舉例來說,圖案46’W1 的寬度大於圖案46’W2 的寬度,如圖4所示。
在一些實施例中,採用圖案化的頂層48’作為蝕刻遮罩,並在緻密中間層44上進行蝕刻製程 54,如圖5A所示。在一些實施例中,圖案化(如蝕刻)緻密中間層44以形成開口45於圖案化的緻密中間層44’中。經由圖案化的頂層48’中的開口49蝕刻緻密中間層44,以形成開口45於圖案化的緻密中間層44’中並露出底層42。虛線指的是移除緻密中間層44以形成開口45的部份。在這些實施例中,若除渣製程52只部份地蝕刻軟性中間層46,則蝕刻製程54蝕穿軟性中間層46以形成圖案化的緻密中間層44’。在緻密中間層44的蝕刻製程54時,可部份或完全消耗圖案化的頂層48’。圖案化的緻密中間層44’可包含不同寬度的圖案44’W1 與44’W2 。舉例來說,圖案44’W1 的寬度大於圖案44’W2 的寬度,如圖5A與5B所示。
蝕刻製程54可關於電漿製程,比如感應耦合電漿、平行板電漿、離子束蝕刻、或反應性離子束蝕刻電漿製程。蝕刻製程54包含蝕刻氣體如氧氣、氮氣、氬氣、六氟乙烷、四氟甲烷、氟仿、二氟甲烷、八氟丙烷、八氟環丁烷、六氟化硫、三氟化氮、氯氣、氯化氫、三氯化硼、其他合適的反應性氣體、或上述之組合。蝕刻製程可為非等向蝕刻,以形成圖案化的緻密中間層44’中的開口45的實質上垂直側壁。舉例來說,在蝕刻時施加偏壓至基板及/或將離子束蝕刻或反應性離子束蝕刻的蝕刻離子垂直地導向基板,即可達非等向蝕刻。在這些實施例中,蝕刻製程54包括提供壓力為約5mTorr至約20mTorr的蝕刻氣體、約400W至約1000W的電漿功率、約50V至約500V的基板偏功率、與約20℃至約80℃的基板溫度。蝕刻製程54可包含蝕刻腔室壓力、電漿源功率、基板偏功率、基板溫度、與其他製程參數所用的不同合適參數。
緻密中間層44在蝕刻緻密中間層44的蝕刻製程54時,相對於底層42具有高蝕刻選擇性。在這些實施例中,緻密中間層44在蝕刻緻密中間層44的蝕刻製程54時,相對於底層42的蝕刻選擇性可為5或更高,比如15或更高、或比如40或更高。緻密中間層44作為圖案化底層42所用的蝕刻遮罩。在這些實施例中,緻密中間層44的高蝕刻選擇性有助於減少蝕刻製程54時,緻密中間層44及/或底層42的過蝕刻及/或蝕刻不足。在一些例子中,若緻密中間層44具有低蝕刻選擇性,之後形成開口45於緻密中間層44中的步驟亦可能造成底層45出現不想要的蝕刻。在其他例子中,若緻密中間層44具有低蝕刻選擇性,之後形成開口45於緻密中間層44中的步驟可能不完全或蝕刻不足,且因圖案轉移不完全而無法依需求露出底層42。
圖5B係圖5A的放大圖。由於軟性中間層46不具有矽-矽鍵含量或具有低矽-矽鍵含量,圖案化的軟性中間層46’中的開口47的側壁斜角46A小於或等於約65°。由於緻密中間層44的矽-矽鍵含量,圖案化的緻密中間層44’中的開口45的側壁斜角44A為約70°至約90°,比如約80°至約90°。緻密中間層44的矽-矽鍵含量有助於蝕刻製程54非等向地蝕刻緻密中間層44。若圖案化的緻密中間層44’的側壁斜角44A小於70°,則會負面地影響後續圖案化步驟的圖案轉移。
在一些實施例中,採用圖案化的緻密中間層44’作為蝕刻遮罩並進行蝕刻製程56,如圖6所示。蝕刻製程56可關於電漿製程,比如感應耦合電漿、平行板電漿、離子束蝕刻、或反應性離子束蝕刻電漿製程。在這些實施例中,蝕刻製程56包含提供蝕刻氣體,比如溴化氫、氯氣、氧氣、氮氣、或上述之組合。在這些實施例中,蝕刻製程56包含提供蝕刻氣體,其壓力介於約5mTorr至約20mTorr之間,電漿功率介於約400W至約1000W之間、基板偏功率介於約50V至約500V之間、且晶圓溫度介於約20℃至約80℃之間。蝕刻製程56可包含蝕刻腔室壓力、電漿源功率、基板偏功率、基板溫度、與其他製程參數所用的不同合適參數。
在一些實施例中,圖案化(如蝕刻)底層42以形成開口43於圖案化的底層42’中。經由圖案化的緻密中間層44’中的開口蝕刻底層42,以形成露出硬遮罩34的開口43。虛線指的是移除底層42以形成開口43的部份。在底層42的蝕刻製程56時,可部份或完全消耗圖案化的軟性中間層46’。舉例來說,由於圖案46’W2 的寬度較窄,圖案46’W2 的高度可小於圖案46’W1 的高度。在蝕刻製程56時,保留圖案化的緻密中間層44’。圖案化的底層42’可包含不同寬度的圖案42’W1 與42’W2 。舉例來說,圖案42’W1 的寬度大於圖案42’W2 的寬度,如圖6所示。
在步驟15中,圖案化硬遮罩34。在硬遮罩34包含金屬間層35與金屬間層35之上的含矽層37之實施例中,圖案化硬遮罩的步驟包括第一蝕刻製程以圖案化含矽層37,以及第二蝕刻製程以圖案化金屬間層35。
在一些實施例中,採用圖案化的緻密中間層44’與圖案化的底層42’作為蝕刻遮罩,並在含矽層37上進行蝕刻製程58,如圖7所示。蝕刻製程58可關於電漿製程,比如感應耦合電漿、平行板電漿、離子束蝕刻、或反應性離子束蝕刻電漿製程。蝕刻製程58的一例為採用四氟化碳、六氟丁二烯、及/或八氟環丁烷的蝕刻氣體之電漿蝕刻,其腔室壓力介於約10mTorr至約50mTorr之間,源射頻功率介於約100W至約1000W之間、偏功率介於約50V至約500V之間、晶圓溫度介於約20℃至約80℃之間、且高頻功率與低頻功率之間的比例介於約2:1至約10:1之間。在一些實施例中,圖案化(如蝕刻)含矽層37以形成開口38於圖案化的含矽層37’中。經由圖案化的緻密中間層44’中的開口45與圖案化的底層42’中的開口43蝕刻含矽層37,以形成開口38於圖案化的含矽層37’中並露出金屬間層35。虛線指的是移除含矽層37以形成開口38的部份。在含矽層37的蝕刻製程58時,完全消耗圖案化的緻密中間層44’。在含矽層37的蝕刻製程58時,可部份或完全消耗圖案化的底層42’。舉例來說,由於圖案42’W2 的寬度較窄,圖案42’W2 的高度可小於圖案42’W1 的高度。圖案化的含矽層37’可包含不同寬度的圖案37’W1 與37’W2 。舉例來說,圖案37’W1 的寬度大於圖案37’W2 的寬度,如圖7所示。
在一些實施例中,採用圖案化的含矽層37’作為蝕刻遮罩,並在金屬間層35上進行蝕刻製程60以形成圖案化的硬遮罩34’,如圖8所示。蝕刻製程可關於電漿製程,比如感應耦合電漿、平行板電漿、離子束蝕刻、或反應性離子束蝕刻電漿製程。蝕刻製程58的一例為電漿蝕刻,其具有含氟氣體如四氟化碳及/或氟仿、含碳氣體如甲烷、以及含氯氣體如氯氣。蝕刻製程58的蝕刻腔室條件的例子包括腔室壓力為約10mTorr至約60mTorr、源射頻功率為約100W至約2000W、偏功率為約0V至約500V、且晶圓溫度為約20℃至約80℃。在一些實施例中,圖案化(如蝕刻)金屬間層35以形成開口36於圖案化的金屬間層35’。經由圖案化的含矽層37’中的開口38蝕刻金屬間層35,以形成開口36於圖案化的金屬間層35’中並露出下方層(如抗反射塗層32),如圖8所示。虛線指的是移除金屬間層35以形成開口36的部份。在金屬間層35的蝕刻製程60時,可部份或完全消耗圖案化的含矽層37’。舉例來說,由於圖案37’W2 的寬度較窄,圖案37’W2 的高度可小於圖案37’W1 的高度。圖案化的金屬間層35’可包含不同寬度的圖案35’W1 與35’W2 。舉例來說,圖案35’W1 的寬度大於圖案35’W2 的寬度,如圖8所示。步驟16經由圖案化的硬遮罩34’蝕刻介電層30。在一些實施例中,採用圖案化的硬遮罩34’作為蝕刻遮罩並進行蝕刻製程62,如圖9所示。蝕刻製程62蝕刻穿過抗反射塗層32與介電層30,以形成開口50穿過抗反射塗層32與介電層30。在蝕刻製程62時,可完全消耗任何殘留的含矽層37。在蝕刻製程62時,可部份或完全消耗圖案化的金屬間層35’。舉例來說,由於圖案35’W2 的寬度較窄,圖案35’W2 的高度可能低於圖案35’W1 的高度。可進行額外蝕刻製程以蝕穿蝕刻停止層28。
如圖10所示的這些實施例中,導電結構80如通孔與接點可形成於開口50中。導電結構80可包含襯墊層82如擴散阻障層、黏著層、及/或類似物。襯墊層82的例子包括鈦、氮化鈦、鉭、氮化鉭、或其他類似物。導電結構80包括步驟17所沉積的金屬填充層84。金屬填充層84的例子包括銅、銀、金、鎢、鋁、或上述之合金。襯墊層82與金屬填充層84的沉積方法可為物理氣相沉積、電化學沉積、化學氣相沉積、其他合適的沉積製程、或上述之組合。進行平坦化製程如化學機械研磨或蝕刻製程,使導電結構80的表面齊平並自介電層30的上表面移除多餘的導電材料。平坦化製程或平坦化製程之後的蝕刻,可移除圖案化的金屬間層35’。多層結構40可用於圖案化硬遮罩34以形成導電結構26,其間距80P小於或等於約40nm,比如約35nm至約10nm。
圖1的製程亦可包含額外步驟,比如移除層狀物或部份殘留的圖案化層之灰化製程。舉例來說,灰化製程可包含氧電漿(如氧氣電漿或臭氧電漿),以移除含碳的部份殘留圖案化層,比如圖案化的頂層48’及/或圖案化的底層42’。
此處所述的一些實施例關於形成小間距的導電結構所用的多重圖案化方法。提供多層結構如四層結構。多層結構包括含碳氫氧化矽材料的緻密中間層。緻密中間層與多層結構的底層相較具有高蝕刻選擇性。多層結構可用於圖案化硬遮罩(如多層硬遮罩)。多層結構包括緻密中間層,以降低具有不同結構密度的基板之多種區域上的多層結構的負載差異所造成的過蝕刻及/或蝕刻不足的問題。綜上所述,一些實施例可改善不同寬度的圖案之圖案化方法。多層結構包括緻密中間層,可減少圖案橋接或後續圖案化步驟的圖案損失。
一實施例為半導體結構的製作方法。方法包括沉積硬遮罩於基板上。沉積多層結構於硬遮罩上。多層結構包括底層、底層上的第一中間層、第一中間層上的第二中間層、與第二中間層上的頂層。第一中間層包括矽-矽鍵含量為約0.5%至約5%的碳氫氧化矽材料。圖案化多層結構以形成圖案化的第一中間層,且圖案化的第一中間層具有多個開口。經由圖案化的第一中間層的開口蝕刻硬遮罩。
在一實施例中,第一中間層的沉積方法為電漿輔助化學氣相沉積,其製程溫度為約50℃至約250℃,且製程壓力為約0.5torr至約20torr之間。
在一實施例中,第一中間層的沉積方法為化學氣相沉積,且化學氣相沉積包括流入矽前驅物、碳前驅物、與氧前驅物。
在一實施例中,矽前驅物係矽烷、乙矽烷、丙矽烷、或上述之組合。
在一實施例中,碳前驅物係四乙氧基矽烷、二甲基二乙氧基矽烷、甲烷、乙烷、丙烷、α-萜品烯、三甲基矽烷、四甲基矽烷、苯、或上述之組合。
在一實施例中,第一中間層的沉積方法為原子層沉積製程,且原子層沉積製程包含在第一脈衝中流入碳矽前驅物,以及在第二脈衝中流入氧前驅物。
在一實施例中,碳矽前驅物包括雙(二乙基胺基)矽烷。
在一實施例中,原子層沉積製程包括電漿輔助原子層沉積製程。
另一實施例為半導體結構的另一製作方法。方法包括形成多層結構於硬遮罩層上。多層結構包括底層、底層上的第一中間層、與第一中間層上的頂層。頂層包括光阻材料;以光微影製程圖案化頂層以形成開口。蝕刻第一中間層以形成圖案化的第一中間層,且圖案化的第一中間層中的開口具有約70°至約90°的側壁斜角。經由圖案化的第一中間層中的開口蝕刻硬遮罩層。
在一實施例中,圖案化的頂層包括矽-氧-矽骨架。
在一實施例中,第一中間層包括電漿輔助化學氣相沉積或電漿輔助原子層沉積所沉積的碳氫氧化矽材料。
在一實施例中,頂層的沉積方法為旋轉塗佈製程。
在一實施例中,底層的沉積方法為旋轉塗佈製程。
在一實施例中,頂層與底層包括碳氫氧化物材料。
又一實施例為半導體結構的又一製作方法。方法包括形成多層硬遮罩,其包括金屬間層與金屬間層上的含矽層。形成多層結構於多層硬遮罩上。多層結構包括底層、底層上的第一中間層、與第一中間層上的頂光阻層,且第一中間層的厚度為約50 Å至約300 Å。以光微影製程圖案化頂光阻層,以形成圖案化的頂光阻層。在圖案化的頂光阻層上進行除渣製程。經由圖案化的頂光阻層蝕刻第一中間層,以形成圖案化的第一中間層,且圖案化的第一中間層具有開口至底層。第一中間層包括的材料與底層相比,在蝕刻第一中間層時的蝕刻選擇性大於或等於5。經由圖案化的第一中間層中的開口蝕刻底層,以形成圖案化的底層,且圖案化的底層具有開口至含矽層。經由第一中間層中的開口與底層中的開口蝕刻含矽層,以形成圖案化的含矽層,且圖案化的含矽層具有開口至金屬間層。經由圖案化的含矽層中的開口蝕刻金屬間層,以形成圖案化的金屬間層。
在一實施例中,蝕刻底層的步驟之後,保留圖案化的第一中間層的至少一部份。
在一實施例中,方法更包括經由圖案化的金屬間層的開口蝕刻介電層,以形成圖案化的介電層,且圖案化的介電層具有開口。
在一實施例中,圖案化的介電層中的開口露出導電結構。
在一實施例中,方法更包括沉積金屬填充層於圖案化的介電層中的開口中。
在一實施例中,金屬填充層的間距小於或等於約40nm。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
10:製程 11、12、13、14、15、16、17:步驟 20:基板 22:裝置 24:介電層 26、80:導電結構 28:蝕刻停止層 30:介電層 32:抗反射塗層 34:硬遮罩 34’:圖案化的硬遮罩 35:金屬間層 35’:圖案化的金屬間層 35’W1、35’W2、37’W1、37’W2、42’W1、42’W2、44’W1、44’W2、46’W1、46’W2、48’W1、48’W2:圖案 37:含矽層 37’:圖案化的含矽層 40:多層結構 42:底層 42’:圖案化的底層 36、38、43、45、47、49、50:開口 44:緻密中間層 44A、46A:側壁斜角 44’:圖案化的緻密中間層 46:軟性中間層 46’:圖案化的軟性中間層 48:頂層 48’:圖案化的頂層 52:除渣製程 54、56、58、60、62:蝕刻製程 80P:間距 82:襯墊層 84:金屬填充層
圖1係一些實施例中,在多個蝕刻製程中形成多層結構以圖案化硬遮罩的例示性流程圖。 圖2係一些實施例中,具有多層結構於多層硬遮罩上的基板之部份剖視圖。 圖3、4、5A、5B、與6至10係一些實施例中,實施圖案化的多層結構之製程時的中間階段的剖視圖。
44’W1、44’W2:圖案
45:開口
44A、46A:側壁斜角
44’:圖案化的緻密中間層
46’:圖案化的軟性中間層
48’:圖案化的頂層
54:蝕刻製程

Claims (1)

  1. 一種半導體結構的製作方法, 包括: 沉積一硬遮罩於一基板上; 沉積一多層結構於該硬遮罩上,該多層結構包括一底層、該底層上的一第一中間層、該第一中間層上的一第二中間層、與該第二中間層上的一頂層,其中該第一中間層包括矽-矽鍵含量為約0.5%至約5%的碳氫氧化矽材料; 圖案化該多層結構以形成一圖案化的第一中間層,且該圖案化的第一中間層具有多個開口;以及 經由該圖案化的第一中間層的該些開口蝕刻該硬遮罩。
TW108131980A 2018-09-07 2019-09-05 半導體結構的製作方法 TW202011455A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/124,324 2018-09-07
US16/124,324 US10510586B1 (en) 2018-09-07 2018-09-07 Multi-layer structure having a dense middle layer

Publications (1)

Publication Number Publication Date
TW202011455A true TW202011455A (zh) 2020-03-16

Family

ID=68841549

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108131980A TW202011455A (zh) 2018-09-07 2019-09-05 半導體結構的製作方法

Country Status (3)

Country Link
US (2) US10510586B1 (zh)
CN (1) CN110890321A (zh)
TW (1) TW202011455A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI800282B (zh) * 2021-05-13 2023-04-21 台灣積體電路製造股份有限公司 內連線結構之形成方法
TWI804806B (zh) * 2020-03-30 2023-06-11 台灣積體電路製造股份有限公司 製造半導體元件的方法
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
KR20220146239A (ko) 2021-04-23 2022-11-01 삼성전자주식회사 하드 마스크 구조체를 포함하는 반도체 소자

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4761464A (en) * 1986-09-23 1988-08-02 Zeigler John M Interrupted polysilanes useful as photoresists
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US9070639B2 (en) * 2011-03-23 2015-06-30 Globalfoundries Inc. Shrinkage of critical dimensions in a semiconductor device by selective growth of a mask material
US9159581B2 (en) * 2012-11-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a semiconductor device using a bottom antireflective coating (BARC) layer

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI804806B (zh) * 2020-03-30 2023-06-11 台灣積體電路製造股份有限公司 製造半導體元件的方法
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
TWI800282B (zh) * 2021-05-13 2023-04-21 台灣積體電路製造股份有限公司 內連線結構之形成方法

Also Published As

Publication number Publication date
US10510586B1 (en) 2019-12-17
CN110890321A (zh) 2020-03-17
US11139200B2 (en) 2021-10-05
US20200111703A1 (en) 2020-04-09

Similar Documents

Publication Publication Date Title
US8173357B2 (en) Method of forming etching mask, etching method using the etching mask, and method of fabricating semiconductor device including the etching method
US9911646B2 (en) Self-aligned double spacer patterning process
TWI698929B (zh) 半導體裝置的圖案化方法
TW202011455A (zh) 半導體結構的製作方法
TWI544517B (zh) 半導體裝置的製作方法
USRE41697E1 (en) Method of forming planarized coatings on contact hole patterns of various duty ratios
TWI735934B (zh) 半導體裝置的形成方法
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
US20040092098A1 (en) Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US8835324B2 (en) Method for forming contact holes
US11605539B2 (en) Defect correction on metal resists
TW202109618A (zh) 圖案化半導體裝置的方法
TW201923834A (zh) 半導體結構的形成方法
WO2007116964A1 (ja) 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
US8647991B1 (en) Method for forming dual damascene opening
TWI728392B (zh) 半導體結構的製造方法
US7192880B2 (en) Method for line etch roughness (LER) reduction for low-k interconnect damascene trench etching
CN109309042B (zh) 半导体器件及其形成方法
TW202203295A (zh) 半導體裝置的形成方法及其用於製造積體電路的方法
TW202123335A (zh) 形成半導體裝置結構的方法
JP3683570B2 (ja) 半導体装置の製造方法
KR20090068929A (ko) 반도체 소자의 금속 배선 제조 방법
TWI840706B (zh) 製造半導體裝置和圖案化半導體結構的方法
JP4768732B2 (ja) 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
TW202312275A (zh) 製造半導體裝置和圖案化半導體結構的方法