TWI735934B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI735934B
TWI735934B TW108130359A TW108130359A TWI735934B TW I735934 B TWI735934 B TW I735934B TW 108130359 A TW108130359 A TW 108130359A TW 108130359 A TW108130359 A TW 108130359A TW I735934 B TWI735934 B TW I735934B
Authority
TW
Taiwan
Prior art keywords
layer
mask
upper layer
forming
metal
Prior art date
Application number
TW108130359A
Other languages
English (en)
Other versions
TW202013451A (zh
Inventor
張競予
許仲豪
王偉任
潘興強
李資良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013451A publication Critical patent/TW202013451A/zh
Application granted granted Critical
Publication of TWI735934B publication Critical patent/TWI735934B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

本發明實施例提供一種圖案化製程。對光阻層進行圖案化。藉由金屬氧化物的沉積製程,將至少部分的光阻層從有機材料轉化為無機材料。全部或一些的圖案化的光阻層可被轉化成碳-金屬-氧化物。可於圖案化的光阻層上形成金屬氧化物殼。在轉化之後,圖案化的光阻層作為蝕刻下層的蝕刻遮罩。

Description

半導體裝置的形成方法
本發明實施例係有關於一種半導體裝置的形成方法,且特別關於一種圖案化製程。
隨著半導體裝置持續地微縮化,各種製程技術(例如微影)也隨之改良用以製造尺寸越來越小的裝置。舉例來說,隨著閘極密度的增加,在裝置上各種部件的製程(如上方的內連線部件)也須跟著改良以與整體裝置部件的微縮化相容。然而,隨著半導體製程的製程寬裕度變小,這些裝置的製造已經接近甚至超越微影設備的理論限制。隨著半導體裝置繼續縮小,裝置中元件間的間距(例如節距),比使用傳統光學遮罩以及微影設備所能製造的節距還要小。
本發明實施例提供一種半導體裝置的形成方法,其包括圖案化光阻層以形成遮罩層,遮罩層包括有機材料;將一部分的遮罩層轉化為無機材料;以及利用遮罩層蝕刻第一層。
本發明實施例提供一種半導體裝置的形成方法,其包括形成光阻層在目標層上;圖案化光阻層以形成一遮罩,遮罩包含有機材料;施加含金屬的第一前驅物在遮罩上;以及施加含氧的第二前驅物在遮罩上,其中在施加了第一前驅物和第二前驅物之後,遮罩包括無機材料。
本發明實施例提供一種半導體裝置的形成方法,其包括形成圖案化後的蝕刻遮罩在目標層上方;將一部分的蝕刻遮罩轉化為無機材料;蝕刻目標層,於蝕刻蝕刻遮罩和目標層之間的一或多個膜層時,利用無機材料以保護蝕刻遮罩;以及形成複數個導電部件於目標層中。
以下內容提供了很多不同的實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件之上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
再者,此處可能使用空間上的相關用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」和其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。當裝置被轉至其他方位時(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
所述的各種實施例是關於單一圖案化製程。然而,各種實施例亦可針對其他圖案化製程,例如自對準雙重圖案化(Self-Aligned Double Patterning,SADP)、自對準四重圖案化(Self-Aligned Quadruple Patterning,SAQP)等等。
為了在單一圖案化製程中直接獲得窄線寬(narrow line width),可以在微影製程中使用極紫外光(extreme ultraviolet ,EUV)作為光源。舉例來說,極紫外光(EUV)可以提供13.5nm的光源,其藉由在極紫外光源腔體中的二氧化碳(CO2 )雷射蒸發錫液滴,收集由蒸發所產生的散射極紫外光(EUV)光子輻射,聚焦極紫外光(EUV)輻射,將其透過圖案投射到固定在半導體裝置的平台。然而,在這個製程中面臨到的一個課題為光阻塌陷(photoresist cllapse)的可能性。如果光阻層太厚,則圖案會塌陷,這會在所欲的圖案中產生斷裂。為了避免光阻在具有高深寬比(高對寬)的圖案開口中塌陷,光阻的厚度應盡可能地薄。然而,薄的光阻會降低蝕刻寬裕度(etching window),造成圖案橋接(pattern bridge)的風險。相較於使用藉由旋轉塗佈製程所形成的有機犧牲材料的典型微影製程,實施例將全部或部分的有機光阻遮罩轉化為無機遮罩,增加蝕刻寬裕度,使得目標層中的缺陷減少。因此,可以以提高產率製造精細節距的半導體結構。
根據一些實施例提供了一種半導體裝置和方法。尤其是,執行圖案化製程以圖案化半導體裝置中的線。微影製程用於圖案化下方的目標層。下方的目標層可以用於各種目的的膜層。例如,目標層可以是低介電常數(low-k)介電層,其使用遮罩層圖案化開口。隨後,可以在低介電常數介電層的開口中填入導電材料以定義出內連線。
第1-17圖係根據各種實施例繪示出在半導體裝置100上的目標層102中,形成部件的中間階段的剖面示意圖。根據本揭露的實施例,目標層102是將要形成多個圖案的膜層。在一些實施例中,半導體裝置100以較大晶圓的一部分被處理。在這樣的實施例中,在形成半導體裝置100的各種部件(例如主動裝置、內連線結構等等)之後,切割製程可適用於晶圓的切割線區域以從晶圓中分離出單獨的半導體晶粒 (亦稱為切割(singulation))。
在一些實施例中,目標層102為金屬間介電(inter-metal dielectric,IMD)層。在這樣的實施例中,目標層102包括介電常數(k值)低於3.8、或低於約3.0、或低於約2.5 的低介電常數介電材料(low-k dielectric material)。在替代實施例中,目標層102是包括具有k值高於3.8的高介電常數介電材料(high-k dielectric material)的金屬間介電(IMD)層。以實施例的製程可以在目標層102中圖案化開口,並且能以下面所述之方式在開口中形成導線及/或導孔。
在其他實施例中,目標層102為半導體基板。半導體基板可由如矽、矽鍺等等的半導體材料形成。在一些實施例中,半導體基板為晶體半導體基板,如晶體矽基板、晶體矽碳基板、晶體矽鍺基板、III-V化合物半導體基板等等。以實施例製程圖案化半導體基板,且後續製程步驟可用於基板中形成淺溝槽隔離(shallow trench isolation,STI)區域。半導體鰭片可從已形成的淺溝槽隔離(STI)區域之間突出。在半導體鰭片中可以形成源極/汲極區域,並且在鰭片的通道區域上方可以形成閘極介電質和電極層,從而形成如鰭式場效電晶體(fin field effect transistors,finFETs)的半導體裝置。
甚至在其他實施例中,目標層102為毯覆式沉積(blanket deposit)的導電層,如金屬層或多晶矽層。可以將實施例的圖案化製程應用於目標層102,以圖案化鰭式場效電晶體的半導體閘極及/或虛置閘極。藉由使用實施例製程以圖案化導電目標層102,可以減少相鄰閘極間的間距並增加閘極密度。
在第1圖中,在半導體裝置100中形成包含目標層102的膜層堆疊。在一些實施例中,可以在半導體基板104上形成目標層102。半導體基板104可以由半導體材料如摻雜或未摻雜的矽、或絕緣體上半導體(semiconductor-on-insulator,SOI)基板的主動層形成。半導體基板104可包含其他半導體材料,如鍺;化合物半導體包含碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦(InSb);合金半導體包含矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)及/或磷砷化銦鎵(GaInAsP)或其組合。也可以使用其他基板,如多層基板或梯度基板。可以在半導體基板104的主動表面中及/或上形成裝置(未顯示)如電晶體、二極體、電容、電阻等等。在目標層102是用於形成鰭式場效電晶體的半導體基板的其他實施例中,可以省略半導體基板104。
雖然第1圖描述目標層102與半導體基板104之間有物理接觸,但在目標層102和半導體基板104之間可以設置任意數量的中介層(intervening layer)。這些中介層可包含具低介電常數介電質的層間介電層(inter-layer dielectric,ILD),並在其中形成接觸插塞,或具有形成於其中的導線及/或導孔的其他的金屬間介電(IMD)層,或一或多個中間層(例如蝕刻停止層、黏合層等等),或其組合等等。例如,可選的(optional)蝕刻停止層(未顯示)可以直接設置在目標層102之下。蝕刻停止層可作為後續作用在目標層102的蝕刻製程的停止。用於形成蝕刻停止層的材料和製程可取決於目標層102的材料。在一些實施例中,蝕刻停止層可由氮化矽、氮氧化矽(SiON)、碳氮氧化矽(SiCON)、碳化矽(SiC)、碳氧化矽(SiOC)、碳氮化矽(SiCx Ny )、氧化矽(SiOx )、其他介電質、或其組合等等,並可由電漿加強化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition ,PECVD)、低壓化學氣相沉積(Low Pressure Chemical Vapor Deposition,LPCVD)、物理氣相沉積(Physical Vapor Deposition,PVD)等等形成。
膜層堆疊更包含在目標層102上形成的抗反射層(anti-reflective coating,ARC)106。在圖案化光阻層的期間,抗反射層106有助於曝光和聚焦在上方的光阻層(於下文討論)。在一些實施例中,抗反射層106可由氮氧化矽(SiON)、碳化矽、摻雜氧(O)和氮(N)的材料等等形成。在一些實施例中,抗反射層106基本上不含氮,並且可由氧化物形成。在這樣的實施例中,抗反射層106也可稱為無氮抗反射層 (nitrogen-free ARC,NFARC)。抗反射層106可由電漿增強化學氣相沉積(PECVD)、高密度電漿(High-Density Plasma,HDP)沉積等等形成。
膜層堆疊更包含在抗反射層106和目標層102上形成的硬罩幕層108。硬罩幕層108可由包含金屬(例如氮化鈦、鈦、氮化鉭、鉭、摻雜金屬的碳化物(如碳化鎢)等等)及/或類金屬(metalloid)(例如氮化矽、氮化硼、碳化矽等等)的材料形成,並可由物理氣相沉積(Physical Vapor Deposition,PVD)、射頻物理氣相沉積(Radio Frequency PVD,RFPVD)、原子層沉積(Atomic Layer Deposition,ALD)等等形成。在後續的製程步驟中,以實施例圖案化製程在硬罩幕層108上形成圖案。硬罩幕層108接著用作蝕刻幕罩以蝕刻目標層102,而將硬罩幕層108的圖案轉移到目標層102上。
膜層堆疊更包含在硬罩幕層108上形成的介電層110。介電層110可以是氧化矽,也可以由硼磷矽酸鹽四乙氧基矽烷(borophosphosilicate tetraethylorthosilicate,BPTEOS)或未摻雜的四乙氧基矽烷(tetraethylorthosilicate,TEOS)形成,或由化學氣相沉積(CVD)、原子層沉積(ALD)、旋轉塗佈等等形成。在一些實施例中,介電層110在圖案化雙層或三層光阻遮罩的底層時作為蝕刻停止層。在包含多個圖案化製程的一些實施例中,介電層110作為蝕刻停止層,以圖案化在介電層110上的心軸/間隔物層(未顯示)中隨後形成的心軸及/或間隔物。在一些實施例中,介電層110亦作為抗反射層。
在介電層110上的膜層堆疊上形成三層光阻120。三層光阻120包含底層114、底層114上的中層116和中層116上的上層118。底層114和上層118可由包含有機材料的光阻(如感光材料)形成。在一些實施例中,底層114也可為底部抗反射(bottom anti-reflective coating,BARC)層。
中層116可包括無機材料,其可以是氮化物(如氮化矽)、氮氧化物(如氮氧化矽)、氧化物(如氧化矽)或其組合等等。中層116相對於上層 118(改良後如下所述)和底層114可具有高蝕刻選擇性。三層光阻120的各種膜層可以是依序使用如旋轉製程的毯覆式沉積。亦可以使用其他適合的沉積製程,如化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)等等。
雖然討論了三層光阻120,但在其他實施例中,光阻120可以是單層或雙層(例如,僅包括底層114和上層118而不包括中層116)光阻。所使用的光阻類型(如單層、雙層或三層)可取決於用於圖案化目標層102或介電層110上方的心軸/間隔物層的微影製程。
使用微影製程圖案化上層118,下文將對此進行更詳細的討論。接著,上層118以實施例製程被轉化(如參見第2A-2C圖、第6A-6C圖和第10A-10C圖),並用作圖案化中層116的蝕刻遮罩(如參見第3A-3C圖、第7A-7C圖和第11A-11C圖)。中層116後續作為圖案化底層114的蝕刻遮罩(如參見第3A-3C圖、第7A-7C圖和第11A-11C圖)。底層114隨後用來圖案化介電層110(參見第13圖),介電層110隨後用來圖案化硬罩幕層108(參見第14圖),硬罩幕層108隨後用來圖案化抗反射層106(參見第15圖)和目標層102(參見第16圖)。
儘管三層光阻(如三層光阻120)有優於雙層光阻或單層光阻之處,實施例製程仍可藉由將部分或全部的上層118的光可圖案化有機材料轉化為無機材料,在精細節距的圖案中提供更好的精確度以蝕刻目標層(如目標層102)。
使用任何適當的微影製程圖案化上層118以在其中形成開口122。為了在單一圖案化製程中直接獲得窄線寬,可用極紫外光(EUV)作為微影製程中的光源。以在上層118中圖案化開口122作為例子,可以在輻射光束和上層118之間設置光罩(未顯示)。上層118可以接著暴露於輻射光束中。在一些實施例中,當光罩遮蔽上層118的區域時,輻射光束可包含輻射源,例如極紫外光(EUV)、紫外線(ultraviolet,UV)或是準分子雷射(excimer laser),例如從氪氟化物(KrF)準分子雷射的248nm光束、從氬氟化物(ArF)準分子雷射中的193nm光束或從F2 準分子雷射中的157nm光束等等。可以使用浸潤式微影系統執行上層118的曝光,以提高解析度並且減少可實現的最小節距。可執行烘烤和固化操作以硬化上層118,並且可根據所使用的光阻為正光阻或負光阻來使用顯影劑,以去除上層118曝光或未曝光的部分。
開口122在俯視圖中可以為條狀(未顯示)。開口122的節距P1 可以是僅使用微影製程就可實現的最小節距。例如, 在一些實施例中,開口122的節距P1 介於大約10nm和100nm之間,例如約20nm。亦可考慮開口122的其他節距P1 。開口122的寬度W1 介於大約5nm和50nm之間,例如10nm左右,且上層118的分離的剩餘部分的寬度W2 可介於大約5nm和50nm之間,例如約10nm。亦可考慮W1 和W2 的其他值。
在圖案化上層118之後,可以處理上層118,以將部分或全部的上層118轉化為無機材料。或者,換句話說,無機材料可以添加到上層118中。在轉化上層118之後,蝕刻寬裕度會增加,且上層118和中層116之間的選擇性也會增加。可選地,在將部分或全部的上層118轉化為無機材料之前,可以執行去殘膠(de-scum)製程(例如參見第5圖)或修邊(trim)製程(例如參見第9圖)。
第2A至2C圖係根據製程實施例繪示出上層118的三種不同形態。第2A圖繪示出上層118的一種形態,其中上層118被轉化為包括金屬氧化物光阻材料的均勻形態的遮罩層220。第2B圖繪示出上層118的一種形態,其中在上層118形成金屬氧化物殼222並與上層118的光阻材料有均勻交聯。第2C圖繪示出上層118的一種形態,其中上層118被轉化為遮罩層224,前述遮罩層224具有外層224B,其從上層118轉化成金屬氧化物光阻材料,以及內層224A,其為上層118的未轉化部分。
在第2A圖中,熱原子層沉積製程可用於沉積金屬基複合材料到上層118的光阻材料上或其中,從而將上層118轉化為遮罩層220。光阻中形成的金屬複合材料(MeOx)可選自氧化鋁(AlOx)、氧化鈦(TiOx)、氧化鋯(ZrOx)、氧化鋅(ZnOx)、氧化錫(SnOx)、氧化矽(SiOx)或其組合的氧化物變體(oxide variants)。
在熱原子層沉積製程中,金屬前驅物與有機光阻材料中的碳氧(C=O)鍵反應以產生包括金屬氧化物的鍵結,產生碳-金屬-氧化物(carbon-metal-oxide)無機材料。原子層沉積製程使用交替的前驅物來達到薄膜沉積。如第2A圖所示,薄膜沉積可以穿透整個上層118。第一前驅物被選用為只和上層118的材料反應,而不與中層116的材料反應。第二前驅物可以與中層116有最小的反應,然而因第一前驅物不與中層116反應,所以不會在中層116的暴露部分(通過開口122)上形成膜層。
第一反應前驅物的候選者包含三甲基鋁(trimethylaluminum,TMA,Al(CH3 )3 )、二乙基鋅(diethylzinc,DEZ)、四(二甲胺基)鈦(tetrakis (dimethylamino) titanium,TDMAT) 、異丙醇鈦(titanium isopropoxide,TTIP) 、四氯化鈦(TiCl4 )、雙(第三丁基胺基)矽烷(bis(tertiary-butyl-amino) silane,BTBAS)、雙(二乙基胺基)矽烷(bis(diethylamino) silane,BDEAS)、三(二甲基胺基)矽烷(tris(dimethylamino) silane,3DMAS)、四(二甲胺基)鋯(Zr(NMe2 )4 )、或四氯化鋯(ZrCl4 )、或四(二甲胺基)錫(tetrakis-dimethy-amine tin,TDMASn)。亦可使用其他適合的第一反應前驅物。第二反應前驅物的反應氣體的候選者包含氧源(oxygen sourse)(例如水(H2 O)、氧氣(O2 )、臭氧(O3 )、過氧化氫(H2 O2 )) 或其他適合與第一前驅物反應的化合物。
製程溫度可以低於約250°C,以促使材料中分子斷鍵(debonding)和再鍵結(rebonding),並將上層118轉化為遮罩220。在一些實施例中,應維持足夠低溫(例如低於約200°C),以避免上層118的材料分解。可以調控製程溫度來得到上層118的期望形態。例如,較高的溫度可有助於達到和在第2A圖中所示相似或接近的上層118的形態。
第一前驅物的製程流速可以介於約100sccm和約3000sccm之間,例如約500sccm。可以調控製程流速來得到上層118的期望形態。例如,較低流速下的第一前驅物可有助於達到和在第2A圖中所示相似或接近的上層118的形態。第二前驅物的製程流速可以介於約100sccm和約3000sccm之間,例如約500sccm。也可以調控第二前驅物的製程流速,其類似於第一前驅物的製程流速。
第一前驅物的進料時間可以介於約0.05秒和10秒之間,例如約1秒。第二前驅物的進料時間可以介於約0.05秒和10秒之間,例如約1秒。製程壓力可以維持在約100mTorr和約10000mTorr之間,例如約1000mTorr。在一些實施例中,可以進行第一前驅物和第二前驅物一些重複脈衝,直到達到期望形態。用於達到如第2A圖所示的形態的重複脈衝的數量可以介於約1到100之間,例如約10。可以用其他可調控的參數以達到上層118的期望形態。
第2B圖繪示出了上層118的一種形態,其中於上層118上形成金屬氧化物殼222,且前述金屬氧化物殼222與上層118的光阻材料有均勻交聯223。熱原子層沉積(ALD)製程可用於沉積金屬基複合材料到上層118的光阻材料的表面部分中,從而在上層118的光阻材料和金屬氧化物之間形成均勻交聯223。
類似於上述關於第2A圖的製程,在熱原子層沉積(ALD)製程中,金屬前驅物與有機光阻材料中的碳氧(C=O)鍵反應,以產生包含金屬氧化物的鍵結,得到碳-金屬-氧化物無機材料。然而,在第2B圖的實施例中,碳-金屬-氧化物無機材料是在上層118的表面的均勻交聯。原子層沉積(ALD)製程使用交替的前驅物來達到薄膜沉積。如第2B圖所示,薄膜沉積可以穿透上層118的表面以將其表面轉化為碳-金屬-氧化物無機材料。
製程溫度可以低於約250°C,以促使材料中分子斷鍵和再鍵結,並將上層118轉化為遮罩222。在一些實施例中,應維持足夠低溫(例如低於約200°C),以避免上層118的材料分解。可以調控製程溫度來得到上層118的期望形態。
第一前驅物的製程流速可以介於約100sccm和約3000sccm之間,例如約500sccm。可以調控製程流速來得到上層118的期望形態。第二前驅物的製程流速可以介於約100sccm和約3000sccm之間,例如約500sccm。也可以調控第二前驅物的製程流速,其類似於第一前驅物的製程流速。
第一前驅物的進料時間可以介於約0.05秒和10秒之間,例如約1秒。第二前驅物的進料時間可以介於約0.05秒和10秒之間,例如約1秒。製程壓力可以維持在約100mTorr和約10000mTorr之間,例如約1000mTorr。在一些實施例中,可以進行第一前驅物和第二前驅物一些重複脈衝,直到達到期望形態。用於達到如第2B圖所示的形態的重複脈衝的數量可以介於約1到100之間,例如約10。可以用其他可調控的參數以達到上層118的期望形態。
繼續原子層沉積(ALD)製程以沉積金屬氧化物的薄膜,從而形成金屬氧化物殼222。金屬氧化物殼222的厚度可以介於約0.1nm和20nm之間,例如約10nm。在金屬氧化物殼222和上層118的材料之間的交聯,其厚度可以介於約0.1nm和20nm之間,例如約10nm。亦可考慮其他尺寸。金屬氧化物殼222的側壁厚度侵入(encroach)至開口122而形成開口122’。金屬氧化物殼222有效地將開口122的寬度從W1 縮減到W1 ’,以及與之相應,圖案的有效寬度從W2 增加到W2 ’。在一些實施例中,W1 ’可以介於約3nm和約48nm之間,例如約8nm,W2 ’可以介於約7nm和約52nm之間,例如約12nm。亦可考慮其他尺寸。
在一些實施例中,金屬氧化物殼222可以形成在上層118的光阻材料上,而不具有均勻交聯。換言之,金屬殼可以設置在上層118的表面上,而不將任何上層118轉化為碳-金屬-氧化物無機材料。在其他實施例中,上層118的部分可以以不均勻的方式轉化為碳-金屬-氧化物無機材料。
在一些實施例中,在殼222和上層118之間的交聯均勻性,使得殼222與上層118之間形成梯度,其中形成碳-金屬-氧化物無機材料的濃度梯度,其中前述濃度隨著深入上層118的表面而逐漸減少。例如,殼222的外介面和交聯的深度可以在約0.1nm和20nm,例如約10nm。在殼222的外介面和交聯處,碳-金屬-氧化物的濃度可以實質上地接近100%。上層118的材料之內介面和交聯的深度可以在約0.1nm和20nm之間,例如約10nm。在上層118的內介面和交聯處,碳-金屬-氧化物的濃度可以實質上地接近0%。在殼222和交聯223的外介面以及上層118的材料和交聯223的內介面之間大約一半的距離處,碳-金屬-氧化物的濃度可以接近 50%,而剩餘的材料實質上包括上層118的材料。
在一些實施例中,殼222和上層118之間的交聯均勻性,使得對於交聯223的整個深度,碳-金屬-氧化物無機材料的濃度,從交聯223和殼222的介面到交聯223和上層118的介面之間,基本上地為定值。
第2C圖繪示出了上層118進入遮罩層224的一種形態,其中藉由轉化上層118的表面區域為碳-金屬-氧化物無機材料形成金屬氧化物殼224B,而上層118的外部區域224A維持不變。形成金屬氧化物殼224B的製程與關於第2B圖中所述的交聯223的形成類似。熱原子層沉積(ALD)製程可用於沉積金屬基複合材料到上層118的光阻材料的表面部分中,從而形成遮罩224的碳-金屬-氧化物殼224B從上層118的光阻材料和上層118的剩餘未轉化部分224A。
類似於上述關於第2A圖的製程,在熱原子層沉積(ALD)製程中,金屬前驅物與有機光阻材料中的碳氧(C=O)鍵反應,以產生包含金屬氧化物的鍵結,得到碳-金屬-氧化物無機材料。然而,在第2C圖所示的實施例中,碳-金屬-氧化物無機材料是在上層118的表面處形成,以形成殼224B。原子層沉積(ALD)製程使用交替的前驅物來達到薄膜沉積。如第2C圖所示,薄膜沉積可以穿透上層118的表面以將其表面轉化為碳-金屬-氧化物無機材料。碳-金屬-氧化物殼224B的厚度可以介於約0.1nm和約20nm之間,例如約10nm。可考慮其他厚度且可基於不同製程環境變化。
製程溫度可以低於約250°C,以促使材料中分子斷鍵和再鍵結,並將上層118轉化為遮罩224。在一些實施例中,應維持足夠低溫(例如低於約200°C),以避免上層118的材料分解。可以調控製程溫度來得到上層118的期望形態。
第一前驅物的製程流速可以介於約100sccm和約3000sccm之間,例如約500sccm。可以調控製程流速來得到上層118的期望形態。第二前驅物的製程流速可以介於約100sccm和約3000sccm之間,例如約500sccm。也可以調控第二前驅物的製程流速,其類似於第一前驅物的製程流速。
第一前驅物的進料時間可以介於約0.05秒和10秒之間,例如約1秒。第二前驅物的進料時間可以介於約0.05秒和10秒之間,例如約1秒。製程壓力可以維持在約100mTorr和約10000mTorr之間,例如約1000mTorr。在一些實施例中,可以進行第一前驅物和第二前驅物一些重複脈衝,直到達到期望形態。用於達到如第2C圖所示的形態的重複脈衝的數量可以介於約1到100之間,例如約10。可以用其他可調控的參數以達到上層118的期望形態。
可以使用類似於第2A圖所述之製程和材料來執行原子層沉積(ALD)製程,這此不再贅述。產生第2C圖中所示的形態的上層118的不同的所得形態可起因於所使用的光阻材料的不同、所選擇的前驅物、如上所述之可調控的製程條件、和其他局部因子,例如在上層118中特定部分處的圖案或在製程腔室中製程條件的變化。
在一些實施例中,碳-金屬-氧化物殼224B具有碳-金屬-氧化物的濃度梯度,其中前述濃度隨著深入遮罩224的表面而逐漸減少。例如,在碳-金屬-氧化物殼224B的表面處,碳-金屬-氧化物的濃度可以實質上地接近100%。在遮罩224的碳-金屬-氧化物殼224B和未轉化部分224A之間的介面處,在深度介於約10nm和約40nm之間,碳-金屬-氧化物的濃度可以實質上地接近0%,例如約20nm。在碳-金屬-氧化物殼224B的表面和碳-金屬-氧化物殼224B與未轉化部分224A的介面之間大約一半距離處,碳-金屬-氧化物的濃度可以實質上地接近50%,而剩餘的材料實質上包括上層118的材料。
在轉化上層118之後,製程實施例可產生的種類如第2A圖所討論的遮罩220、如第2B圖所討論的具有殼222的上層118,如第2C圖所討論的遮罩224、或其組合。實施例使用金屬基複合材料來改良上層118的光阻材料的組成,以縮小半導體裝置100的臨界尺寸(critical dimension,CD)及/或以提高在改良的上層118(參見第2A-2C圖)和中層116之間的蝕刻選擇性。
在上層118的圖案化以及將金屬複合材料添加到上層118的轉化之後,第3A-3C圖繪示出了在蝕刻製程中轉化到中層116之後上層118的圖案的轉移。
第3A圖繪示出將遮罩220的圖案轉移到中層116。第3B圖繪示出將金屬氧化物殼222的圖案轉移到中層116。第3C圖繪示出將遮罩224的圖案轉移到中層116。由於蝕刻製程是非等向性的,使得開口122(與第3B圖一致的實施例則為開口122’)延伸穿過中層116,並在中層116中具有與覆蓋層(例如遮罩220、金屬氧化物殼222、遮罩224、或其組合)大致相同的尺寸。
在第4A-4C圖中,執行蝕刻過程,以將中層116的圖案轉移到底層114,從而延伸開口122(與第4B圖一致的實施例則為開口122’)穿過底層114。底層114的蝕刻製程是非等向性的,使得中層116中的開口122 (或122 ‘)延伸穿過底層114,並且在底層114中具有與中層116大致相同的尺寸。作為蝕刻底層114的一部分,可以消耗遮罩220、碳-金屬-氧化物殼222和上層118、或遮罩224(參見第2A-2C圖)。在一些實施例中,可在蝕刻底層114之前或之後藉由分別的製程(separate process)移除遮罩220、碳-金屬-氧化物殼222和上層118、或遮罩224。
參考第5圖,第5圖繪示出了在圖案化上層118(如第1圖所示)之後,在半導體裝置100上的可選的去殘膠製程(de-scum process)128。去殘膠製程128均勻地去除從上層118和中層116暴露部分的少量材料,以產生改性的上層218和改性的中層216。去殘膠製程128提供上層118的垂直光阻輪廓(resist profile),並且去除上層118在圖案化之後保留在開口122中的殘留物。去殘膠製程可以使用濕或乾蝕刻製程以易於去除上層118和中層116的材料。用於濕式去殘膠製程的適合的化學蝕刻劑包含氫氧化四甲銨(TMAH)、硫酸(H2 SO4 )、氫氧化鉀(KOH)、氫氧化硼(BOH)、其他適合的酸或氫氧化物、或其組合。用於乾式去殘膠製程的適合的製程氣體包含氧氣、含氟氣體、含氯氣體、O2 基氣體或其組合。去殘膠製程128可包括一個或多個製程步驟。
由於去殘膠製程128,開口122擴大成開口124中。開口124的寬度W3 可以在約6nm和約51nm之間,例如約11nm。相應地,圖案218的部分在其最窄處的寬度W4 可以在約4nm和約49nm之間,例如約9nm。開口124可以延伸到中層216中約1nm至約10nm,例如約5nm。
第6A圖、第6B圖和第6C圖係根據製程實施例繪示出去殘膠製程之後上層118的三種不同形態。第6A圖繪示出了上層218的一種形態,其中上層218被轉化為包括金屬-氧化物-光阻材料的均勻形態的遮罩層320。第6B圖繪示出了上層218的一種形態,其中形成了金屬氧化物殼322,其與上層218的光阻材料具有均勻交聯323。第6C圖繪示出了上層218的一種形態,其中上層218被轉化為具有外層324B和內層324A的遮罩層324,前述外層324B是從上層218轉化為金屬-氧化物-光阻材料,前述內層324A是上層218的未轉化部分。
在第6A圖中,可以使用熱原子層沉積(ALD)製程沉積金屬基複合材料到上層218的光阻材料中,從而將上層218轉化為遮罩層320。可以使用類似於上面關於第2A圖所描述的那些製程和材料,將上層218轉化為遮罩層320。
第6B圖繪示出了上層218的一種形態,其中形成了金屬氧化物殼322,其與上層218的光阻材料具有均勻交聯323。熱原子層沉積製程可用於沉積金屬基複合材料到上層218的光阻材料的表面部分中,從而形成從上層218的光阻材料到金屬氧化物殼322的均勻交聯323。可以使用類似於上面關於第2B圖所描述的那些製程和材料,來形成金屬氧化物殼322和交聯323。金屬氧化物殼322的側壁厚度侵入至開口124中以形成開口124’。金屬氧化物殼322有效地將開口122的寬度從W3 (參見第5圖)減小到W3 ’,並相應地增加了圖案的有效寬度從W4 (參見第5圖)到W4 ’。在一些實施例中,W3 ’可以在約4nm和約49nm之間,例如約9nm,並且W4 ’可以在約6nm和約51nm之間,例如約11nm。亦可考慮其他尺寸。
在一些實施例中,金屬氧化物殼322可以形成在上層218的光阻材料上,而不具有均勻交聯。換言之,金屬氧化物殼322可以設置在上層218的表面上,而不將任何上層218轉化成碳-金屬-氧化物無機材料。在其他實施例中,上層218的部分可以以不均勻的方式轉化為碳-金屬-氧化物無機材料。
第6C圖繪示出了上層218進入遮罩層324的一種形態,其中藉由將上層218的表面區域轉化為碳-金屬-氧化物無機材料而形成金屬氧化物殼324B,同時上層218的內部區域324A保持未轉化。可以使用熱原子層沉積(ALD)製程沉積金屬基複合材料到上層218的光阻材料的表面部分中,從而從上層218的光阻材料和上層218的剩餘的未轉化部分324A形成遮罩324的碳-金屬-氧化物殼324B。可以使用類似於上面關於第2C圖所描述的那些製程和材料,來形成碳-金屬-氧化物殼324B。
在轉化上層218之後,製程實施例可產生的種類如關於第6A圖所討論的遮罩320,如關於第6B圖所討論的具有殼322的上層218,如關於第6C圖所討論的遮罩324,或其組合。實施例使用金屬基複合材料來改良上層218的光阻材料的成分,以縮小半導體裝置100的臨界尺寸(CD)並提高在改性的上層218(參見第6A-6C圖)和中層216之間的蝕刻選擇性。
在上層118的圖案化,去殘膠製程128和將金屬複合材料添加到上層218的轉化之後,第7A圖、第7B圖和第7C圖繪示出了在蝕刻製程中轉化到中層116之後上層118的圖案的轉移。
第7A圖繪示出轉移遮罩320的圖案到中層216。第7B圖繪示出轉移金屬氧化物殼322的圖案到中層216。第7C圖繪示出轉移遮罩324的圖案到中層216。蝕刻製程是非等向性的,使得開口124(與第6B圖一致的實施例則為開口124’)延伸穿過中層216,並在中層216中具有與覆蓋層(例如遮罩320、金屬氧化物殼322、遮罩324、或其組合)大致相同的尺寸。
在第8A圖、第8B圖和第8C圖中,執行蝕刻製程,以將中層216的圖案轉移到底層114,從而延伸開口124(與第8B圖一致的實施例則為開口124’)穿過底層114。蝕刻製程是非等向性的,使得中層216中的開口124(或124’)延伸穿過底層114,並且在底層114中的開口具有與在中層216中的開口大致相同的尺寸。作為蝕刻底層114的一部分,可以消耗遮罩320、碳-金屬-氧化物殼322和上層218、或遮罩324(參見第6A-6C圖)。在一些實施例中,可在蝕刻底層114之前或之後藉由分別的製程去除遮罩320、碳-金屬-氧化物殼322和上層218、或遮罩324。
參考第9圖,第9圖繪示出了在圖案化上層118(如第1圖所示)之後,在半導體裝置100上可選的修整製程(trimming process)130,以增加上層118中開口122的尺寸,以產生改性的上層318和擴大的開口126。可以執行修整製程130以縮小臨界尺寸(CD)並修復側壁粗糙度。
在一個實施例中,可選的修整製程130是非等向性電漿蝕刻製程,其中包括O2 、CO2 、N2 O、N2 /H2 、H2 、或其組合等等、或適合修整上層118的任何其他氣體的製程氣體。修整可以增加開口122的寬度W1 到開口126的寬度W5 ,並且減少開口126之間的上層118的部分的寬度W2 到寬度W6 。開口126的寬度W5 可以在約6nm和約51nm之間,例如約11nm。相應地,圖案318的部分在其最窄處的寬度W6 可以在約4nm和約49nm之間,例如約9nm。
第10A圖、第10B圖和第10C圖係根據製程實施例繪示出修整製程之後上層318的三種不同形態。第10A圖繪示出了上層318的一種形態,其中上層318被轉化為包括金屬-氧化物–光阻材料的均勻形態的遮罩層420。第10B圖繪示出了上層318的一種形態,其中形成了金屬氧化物殼422,其與上層318的光阻材料具有均勻交聯423。第10C圖繪示出了上層318的一種形態,其中上層 318被轉化為具有外層424B和內層424A的遮罩層324,前述外層424B是從上層318轉化為金屬-氧化物-光阻材料,前述內層424A是上層318的未轉化部分。
在第10A圖中,可以使用熱原子層沉積(ALD)製程沉積金屬基複合材料到上層318的光阻材料中,從而將上層318轉化為遮罩層420。可以使用類似於上面關於第2A圖所描述的那些製程和材料,將上層318轉化為遮罩層420。
第10B圖繪示出了上層318的一種形態,其中形成了金屬氧化物殼422,其與上層318的光阻材料具有均勻交聯423。熱原子層沉積製程可用於沉積金屬基複合材料到上層318的光阻材料的表面部分中,從而形成從上層318的光阻材料到金屬氧化物殼422的均勻交聯423。可以使用類似於上面關於第2B圖所描述的那些類似的製程和材料,來形成金屬氧化物殼422和交聯423。金屬氧化物殼422的側壁厚度侵入至開口126中以形成開口126’。金屬氧化物殼422有效地將開口122的寬度從W5 (參見第9圖)減小到W5 ’,並相應地增加了圖案的有效寬度從W6 (參見第9圖)到W6 ’。在一些實施例中,W5 ’可以在約4nm和約49nm之間,例如約9nm,並且W6 ’可以在約6nm和約51nm之間,例如約11nm。亦可考慮其他尺寸。
在一些實施例中,金屬氧化物殼422可以形成在上層318的光阻材料上,而不具有均勻交聯。換言之,金屬氧化物殼422可以設置在上層318的表面上,而不將任何上層318轉化成碳-金屬-氧化物無機材料。在其他實施例中,上層318的部分可以以不均勻的方式轉化為碳-金屬-氧化物無機材料。
第10C圖繪示出了上層318進入遮罩層424的一種形態,其中藉由將上層318的表面區域轉化為碳-金屬-氧化物無機材料而形成金屬氧化物殼424B,同時上層318的內部區域424A保持未轉化。可以使用熱原子層沉積(ALD)製程沉積金屬基複合材料到上層318的光阻材料的表面部分中,從而由上層318的光阻材料和上層318的剩餘的未轉化部分424A形成遮罩424的碳-金屬-氧化物殼424B。可以使用類似於上面關於第2C圖所描述的那些製程和材料,來形成碳-金屬-氧化物殼424B。
在轉化上層318之後,製程實施例可產生的種類如關於第10A圖所討論的遮罩420,如關於第10B圖所討論的具有殼422的遮罩318,如關於第10C圖所討論的遮罩424,或其組合。實施例使用金屬基複合材料來改良上層318的光阻材料的成分,以縮小半導體裝置100的臨界尺寸(CD)及/或提高在改性的上層318(參見第10A-10C圖) 和中層116之間的蝕刻選擇性。
在上層118的圖案化,修整製程130和將金屬複合材料添加到上層318的轉化之後,第11A圖、第11B圖和第11C圖繪示出了在蝕刻過程中轉化到中層116之後上層318的圖案的轉移。
第11A圖繪示出轉移遮罩420的圖案到中層116。第11B圖繪示出轉移金屬氧化物殼422的圖案到中間層116。第11C圖繪示出轉移遮罩424的圖案到中層116。蝕刻製程是非等向性的,使得開口126(與第10B圖一致的實施例則為開口124’)延伸穿過中層116,並在中層116中具有與覆蓋層(例如遮罩420、金屬氧化物殼422、遮罩424、或其組合)大致相同的尺寸。
在第12A圖、第12B圖和第12C圖中,執行蝕刻製程,以將中層116的圖案轉移到底層114,從而延伸開口126(與第12B圖一致的實施例則為開口126’)穿過底層114。蝕刻製程是非等向性的,使得中層116中的開口126(或126’)延伸穿過底層114,並且在底層114中具有與在中層216中大致相同的尺寸。作為蝕刻底層114的一部分,可以消耗遮罩420、碳-金屬-氧化物殼422、和上層318、或遮罩424(參見第10A-10C圖)。在一些實施例中,可在蝕刻底層114之前或之後藉由分別的製程去除遮罩420、碳-金屬-氧化物殼422和上層318、或遮罩424。
第13圖至第15圖繪示出了藉由將在覆蓋層中的圖案轉移到下面的膜層,來將後續層繼續圖案化到目標層102。第16圖繪示出了在目標層102中沉積部件。第17圖是在目標層102中沉積部件之後的俯視圖。
在第13圖中,使用蝕刻製程將底層114的圖案轉移到介電層110。底層114中的開口134包括開口122或122’(參見第2A-2C圖)、開口124或124’(參見第6A-6C圖)、或開口126或126’(參見第10A-10C圖),其取決於用於處理底層114的實施例。介電層110的蝕刻製程是非等向性的,使得底層114中的開口134延伸穿過介電層110,並且在介電層110中具有與在底層114中大致相同的尺寸。在蝕刻介電層110的期間,消耗中層116,並且可以至少部分地消耗底層114。在實施例中,當蝕刻介電層110時且沒有完全消耗底層114的情況下,可以執行灰化製程(ashing process)以去除底層114的剩餘殘留物。
在第14圖中,使用介電層110作為蝕刻遮罩來蝕刻硬硬罩幕層108。在一些實施例中,蝕刻硬罩幕層108包括非等向性乾蝕刻及/或濕蝕刻。在圖案化硬罩幕層108之後,可以執行濕式清洗(wet clean)以去除介電層110的任何剩餘部分。
在第15圖中,使用硬罩幕層108作為蝕刻遮罩,依序蝕刻抗反射層(ARC)106和目標層102,以延伸開口134到目標層102中,以形成開口140。蝕刻目標層102可包括非等向性乾蝕刻製程及/或濕蝕刻製程,其依序蝕刻穿過抗反射層(ARC)106到目標層102。在圖案化開口134以形成開口140之後,可以執行濕式清洗以去除硬罩幕層108和抗反射層(ARC)106的任何剩餘部分。
在目標層102中圖案化開口140之後,可以在開口中形成部件。在一實施例中,目標層102是低介電常數介電質,並且圖案化的目標層102提供用於內連線結構的金屬間介電(IMD)。如第16圖所示,可以在金屬間介電(IMD)層中形成導電部件,如銅線、銅導孔及/或鈷插塞。形成導電部件可以包含沿著開口140(參見第15圖)的側壁和底表面沉積一個或多個襯層142。襯層142可以包括TiO、TiN、TaO、TaN等等,並且可以為導電部件提供擴散阻擋層、黏合層和/或晶種層。可以使用任何適合的製程沉積襯層,例如物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)等等。
在沉積襯層142之後,可以使用例如物理氣相沉積(PVD),電鍍等等用導電材料144(例如銅)填充開口的剩餘部分。可以首先沉積導電材料144以使開口140過度填充(參見第15圖),並且執行平坦化製程以去除目標層102上的導電材料144的多餘部分。因此,可以在目標層102中形成導電部件。
參考第17圖,其為在目標層102中已經形成部件之後的裝置的俯視圖。第17圖是由襯層142圍繞導電材料144的圖案的局部俯視圖。
藉由採用本文所討論的實施例,可以在單一圖案化製程中以較少的製造缺陷和較高的產率,可靠地形成間距為30nm或更小的導線。在一些實施例中,可以使用本文所討論的技術來使用多步驟圖案化製程以圖案化心軸層(未顯示),例如覆蓋介電層110,然後將其用於產生用作蝕刻遮罩的心軸,以進一步減少節距。
在目標層102是導電層或半導體層的其他實施例中,可以使用關於第15圖如上所述的類似製程,在目標層102中圖案化硬罩幕層108的反向圖案。例如,可以在硬罩幕層108周圍沉積另外的硬罩幕(未顯示)。然後去除硬罩幕層108,並且使用另外的硬罩幕來圖案化目標層102。所得到的圖案化的目標層102具有負像圖案而不是硬罩幕108的圖案。
上述各種實施例提供了圖案化製程,其使用有機光阻層到無機光阻層的轉化,以在光阻層和後續層之間提供更好的蝕刻選擇性。這提供了更平滑的線輪廓,具有更少的線擺動(line wiggle),並且提供了在單一圖案化製程中實現窄間隔導電圖案的能力,更能防止缺陷,以便更可靠地形成圖案化的目標層。因此,可以在目標層中形成精細圖案化部件,具有更少的缺陷和較高的產率。
根據本揭露的一些實施例,一種半導體裝置的形成方法,包括圖案化光阻層以形成遮罩層,遮罩層包括有機材料;將一部分的遮罩層轉化為無機材料;以及利用遮罩層蝕刻第一層。
在一實施例中,更包括在轉化部分的遮罩層之前,執行去殘膠製程,去殘膠製程去除遮罩層的側壁部分的材料並且去除第一層所露出部分的材料。在一實施例中,更包括在轉化部分的遮罩層之前,執行修邊(trim)製程,修邊製程去除遮罩層的側壁表面以及上表面之材料。在一實施例中,其中轉化部分的遮罩層包括:藉由原子層沉積製程沉積金屬氧化物到遮罩層中。
在一實施例中,其中轉化部分的遮罩層包括:改變遮罩層以轉化遮罩層為碳金屬氧化物(carbon-metal-oxide)材料。在一實施例中,其中轉化部分的遮罩層包括形成金屬氧化物殼在遮罩層上。在一實施例中,更包括在金屬氧化物殼和遮罩層之介面形成碳金屬氧化物的均勻交聯。在一實施例中,其中轉化部分的遮罩層包括:形成碳金屬氧化物殼在遮罩層的表面。
根據本揭露的一些實施例,一種半導體裝置的形成方法,包括形成光阻層在目標層上;圖案化光阻層以形成一遮罩,遮罩包含有機材料;施加含金屬的第一前驅物在遮罩上;以及施加含氧的第二前驅物在遮罩上,其中在施加了第一前驅物和第二前驅物之後,遮罩包括無機材料。
在一實施例中,其中第一前驅物包括三甲基鋁(TMA,Al(CH3 )3 )、二乙基鋅 (DEZ)、四(二甲胺基)鈦(TDMAT)、異丙醇鈦(TTIP)、四氯化鈦(TiCl4 )、雙(第三丁基胺基)矽烷(BTBAS)、雙(二乙基胺基)矽烷(BDEAS)、三(二甲基胺基)矽烷(3DMAS)、四(二甲胺基)鋯(Zr(NMe2)4)、四氯化鋯(ZrCl4)、或四(二甲胺基)錫(TDMASn)。在一實施例中,其中第二前驅物包括水(H2 O)、氧氣(O2 )、臭氧(O3 )、或過氧化氫(H2 O2 )。在一實施例中,更包括在施加第一前驅物之前,對遮罩執行去殘膠製程。
在一實施例中,更包括在施加第一前驅物之前,對遮罩執行修邊製程。在一實施例中,更包括形成金屬氧化物殼在遮罩的表面上。在一實施例中,更包括在金屬氧化物殼和遮罩的有機部分之間形成交聯。在一實施例中,其中在施加第一前驅物和第二前驅物之後,遮罩的表面包括碳金屬氧化物。
根據本揭露的一些實施例,一種半導體裝置的形成方法,包括形成圖案化後的蝕刻遮罩在目標層上方;引入無機材料至蝕刻遮罩;蝕刻目標層,於蝕刻蝕刻遮罩和目標層之間的一或多個膜層時,利用無機材料以保護蝕刻遮罩;以及形成複數個導電部件於目標層中。
在一實施例中,其中轉化部分的蝕刻遮罩包括沉積金屬氧化物到蝕刻遮罩中;以及將蝕刻遮罩中的碳-氧(carbon-oxygen)鍵轉化成碳-金屬-氧(carbon-metal-oxygen)鍵。在一實施例中,其中蝕刻遮罩包含無機材料的部分包括整個蝕刻遮罩。在一實施例中,其中蝕刻遮罩包含無機材料的部分包括蝕刻遮罩的表面,其中在無機材料之下的一部分的蝕刻遮罩不具有無機材料。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100:半導體裝置; 102:目標層; 104:半導體基板; 106:抗反射層; 108:硬罩幕層/硬罩幕; 110:介電層; 114:底層; 116:中層; 118:上層/遮罩; 120:三層光阻; 122/122’/124/124’/126/126’/134/140:開口; 128:去殘膠製程; 130:修整製程; 142:襯層; 144:導電材料; 216:(改性的)中層; 218:(改性的)上層; 220/320/420:遮罩層/遮罩; 222/322/422:金屬氧化物殼/殼/遮罩/碳-金屬-氧化物殼; 223/323/423:交聯; 224/324/424:遮罩層/遮罩; 224A/324A/424A:內層/外部區域/未轉化部分; 224B/324B/424B:外層/金屬氧化物殼/殼/碳-金屬-氧化物殼; 316:中層; 318:(改性的)上層/圖案; P1:節距; W1/W1’/W2/W2’/W3/W3’/W4/W4’/W5/W5’/W6/W6’:寬度。
以下將配合所附圖式詳述本揭露之各面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。 第1圖係根據一些實施例繪示出製造半導體裝置的中間階段的剖面示意圖。 第2A-2C圖、第3A-3C圖、第4A-4C圖係根據一些實施例繪示出製造半導體裝置的中間階段的剖面示意圖。 第5圖、第6A-6C圖、第7A-7C圖、第8A-8C圖係根據其他實施例繪示出製造半導體裝置的各種中間階段的剖面示意圖。 第9圖、第10A-10C圖、第11A-11C圖、第12A-12C圖係根據其他實施例繪示出製造半導體裝置的各種中間階段的剖面示意圖。 第13圖、第14圖、第15圖、第16圖係根據一些實施例繪示出製造半導體裝置的各種中間階段的剖面示意圖。 第17圖係根據一些實施例繪示出製造半導體裝置的的中間階段的俯視圖。
100:半導體裝置
102:目標層
104:半導體基板
106:抗反射層
108:硬罩幕層/硬罩幕
110:介電層
114:底層
116:中層
122:開口
220:遮罩層/遮罩

Claims (10)

  1. 一種半導體裝置的形成方法,包括:圖案化一光阻層以形成圖案化的一遮罩層,該遮罩層包括一有機材料,一第一層設置在該遮罩層的正下方,且該遮罩層暴露出該第一層的部分的頂表面;將一部分的該遮罩層轉化為一無機材料;以及利用該遮罩層蝕刻該第一層。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括:在轉化部分的該遮罩層之前,執行一去殘膠製程,該去殘膠製程去除該遮罩層的側壁部分的材料並且去除該第一層所露出部分的材料。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括:在轉化部分的該遮罩層之前,執行一修邊(trim)製程,該修邊製程去除該遮罩層的側壁表面以及上表面之材料。
  4. 如申請專利範圍第1至3項中任一項所述之半導體裝置的形成方法,其中轉化部分的該遮罩層包括:藉由一原子層沉積製程沉積一金屬氧化物到該遮罩層中。
  5. 如申請專利範圍第1至3項中任一項所述之半導體裝置的形成方法,其中轉化部分的該遮罩層包括:改變該遮罩層以轉化該遮罩層為一碳金屬氧化物(carbon-metal-oxide)材料。
  6. 如申請專利範圍第1至3項中任一項所述之半導體裝置的形成方法,其中轉化部分的該遮罩層包括:形成一金屬氧化物殼在該遮罩層上。
  7. 一種半導體裝置的形成方法,包括:形成一光阻層在一目標層上;圖案化該光阻層以形成圖案化的一遮罩,該遮罩包含一有機材料,前述圖 案化係暴露出位於該遮罩的正下方的一下層(an underlayer)的部分的頂表面;施加一含金屬的第一前驅物在該遮罩上;以及施加一含氧的第二前驅物在該遮罩上,其中在施加了該第一前驅物和該第二前驅物之後,該遮罩包括一無機材料。
  8. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中該第一前驅物包括:三甲基鋁(TMA,Al(CH3)3)、二乙基鋅(DEZ)、四(二甲胺基)鈦(TDMAT)、異丙醇鈦(TTIP)、四氯化鈦(TiCl4)、雙(第三丁基胺基)矽烷(BTBAS)、雙(二乙基胺基)矽烷(BDEAS)、三(二甲基胺基)矽烷(3DMAS)、四(二甲胺基)鋯(Zr(NMe2)4)、四氯化鋯(ZrCl4)、或四(二甲胺基)錫(TDMASn)。
  9. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中該第二前驅物包括水(H2O)、氧氣(O2)、臭氧(O3)、或過氧化氫(H2O2)。
  10. 一種半導體裝置的形成方法,包括:形成一圖案化後的蝕刻遮罩在一目標層上方,前述圖案化係暴露出位於該圖案化後的蝕刻遮罩正下方的一下層(an underlayer)的部分的頂表面;引入一無機材料至該蝕刻遮罩;蝕刻該目標層,於蝕刻該蝕刻遮罩和該目標層之間的一或多個膜層時,利用該無機材料以保護該蝕刻遮罩;以及形成複數個導電部件於該目標層中。
TW108130359A 2018-08-31 2019-08-26 半導體裝置的形成方法 TWI735934B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/118,851 2018-08-31
US16/118,851 US10978301B2 (en) 2018-08-31 2018-08-31 Morphology of resist mask prior to etching

Publications (2)

Publication Number Publication Date
TW202013451A TW202013451A (zh) 2020-04-01
TWI735934B true TWI735934B (zh) 2021-08-11

Family

ID=69639444

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108130359A TWI735934B (zh) 2018-08-31 2019-08-26 半導體裝置的形成方法

Country Status (3)

Country Link
US (1) US10978301B2 (zh)
CN (1) CN110875176B (zh)
TW (1) TWI735934B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10699943B2 (en) * 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
WO2020096817A1 (en) * 2018-11-05 2020-05-14 Lam Research Corporation Directional deposition in etch chamber
US10971362B2 (en) * 2019-02-27 2021-04-06 International Business Machines Corporation Extreme ultraviolet patterning process with resist hardening
JP7326077B2 (ja) * 2019-09-10 2023-08-15 キオクシア株式会社 エッチングマスクの形成方法および半導体装置の製造方法
US11476123B2 (en) * 2019-09-13 2022-10-18 Tokyo Electron Limited Etching method, plasma processing apparatus, and substrate processing system
CN113634831A (zh) * 2020-05-11 2021-11-12 光群雷射科技股份有限公司 无缝全像图图案转移方法
US20220005688A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
US11830736B2 (en) 2021-02-18 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photo etching mask including organic and inorganic materials
US11887851B2 (en) 2021-07-29 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming and using mask

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5362606A (en) * 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
TW201144375A (en) * 2010-01-19 2011-12-16 Shinetsu Chemical Co Resist underlayer film-forming composition, process for forming resist underlayer film and patterning process
TW201627238A (zh) * 2014-12-03 2016-08-01 Tokyo Ohka Kogyo Co Ltd 形成蝕刻遮罩用之玻璃基板之前處理方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5296410A (en) * 1992-12-16 1994-03-22 Samsung Electronics Co., Ltd. Method for separating fine patterns of a semiconductor device
US6103596A (en) * 1998-02-19 2000-08-15 Taiwan Semiconductor Manufacturing Company Process for etching a silicon nitride hardmask mask with zero etch bias
US6573177B1 (en) * 2002-02-19 2003-06-03 Macronix International Co., Ltd. Protection layer to prevent under-layer damage during deposition
KR100475080B1 (ko) * 2002-07-09 2005-03-10 삼성전자주식회사 Si-콘테이닝 수용성 폴리머를 이용한 레지스트 패턴형성방법 및 반도체 소자의 제조방법
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
KR100598103B1 (ko) * 2004-05-27 2006-07-10 삼성전자주식회사 패턴 형성 방법
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7482280B2 (en) * 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
US8263498B2 (en) * 2006-03-28 2012-09-11 Tokyo Electron Limited Semiconductor device fabricating method, plasma processing system and storage medium
US8177990B2 (en) * 2006-03-31 2012-05-15 Tokyo Electron Limited Etching method, plasma processing system and storage medium
JP5260356B2 (ja) * 2009-03-05 2013-08-14 東京エレクトロン株式会社 基板処理方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR102366801B1 (ko) * 2015-03-31 2022-02-25 삼성전자주식회사 반도체 소자의 제조 방법
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US10643858B2 (en) * 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US10727075B2 (en) * 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US20190378725A1 (en) * 2018-06-08 2019-12-12 Lam Research Corporation Method for transferring a pattern from an organic mask
US20190393105A1 (en) * 2018-06-21 2019-12-26 Lam Research Corporation Protective coating on photoresist for photoresist metrology

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5362606A (en) * 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
TW201144375A (en) * 2010-01-19 2011-12-16 Shinetsu Chemical Co Resist underlayer film-forming composition, process for forming resist underlayer film and patterning process
TW201627238A (zh) * 2014-12-03 2016-08-01 Tokyo Ohka Kogyo Co Ltd 形成蝕刻遮罩用之玻璃基板之前處理方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
J. Vac. Sci. Technology B, 1991, 9(6), p.3399.
Semiconductor manufacturing technology, M. Quirk, 2001
Semiconductor manufacturing technology, M. Quirk, 2001 J. Vac. Sci. Technology B, 1991, 9(6), p.3399. *

Also Published As

Publication number Publication date
TW202013451A (zh) 2020-04-01
CN110875176B (zh) 2023-02-21
US10978301B2 (en) 2021-04-13
US20200075319A1 (en) 2020-03-05
CN110875176A (zh) 2020-03-10

Similar Documents

Publication Publication Date Title
TWI735934B (zh) 半導體裝置的形成方法
TWI698929B (zh) 半導體裝置的圖案化方法
US10340141B2 (en) Patterning method for semiconductor device and structures resulting therefrom
KR101882049B1 (ko) 직사각형 프로파일을 갖는 스페이서 및 그 형성 방법
CN109817527A (zh) 制造半导体装置的方法及其结构
TWI720429B (zh) 半導體裝置之製造方法
US10867794B2 (en) Patterning method for semiconductor devices and structures resulting therefrom
US20240112905A1 (en) Semiconductor Device and Method
US11121026B2 (en) Semiconductor device and method of manufacture
US20120211873A1 (en) Method for forming a pattern and semiconductor device
US11948798B2 (en) Semiconductor device and method
US11887851B2 (en) Method for forming and using mask
KR100995829B1 (ko) 반도체 소자 및 그의 제조방법
TWI553739B (zh) 一種形成開口的方法
KR100744249B1 (ko) 반도체 소자의 콘택 홀 형성 방법
CN115831859A (zh) 制造半导体器件的方法