TWI544517B - 半導體裝置的製作方法 - Google Patents

半導體裝置的製作方法 Download PDF

Info

Publication number
TWI544517B
TWI544517B TW103145708A TW103145708A TWI544517B TW I544517 B TWI544517 B TW I544517B TW 103145708 A TW103145708 A TW 103145708A TW 103145708 A TW103145708 A TW 103145708A TW I544517 B TWI544517 B TW I544517B
Authority
TW
Taiwan
Prior art keywords
layer
etching process
mask
patterned
photoresist
Prior art date
Application number
TW103145708A
Other languages
English (en)
Other versions
TW201543546A (zh
Inventor
陳文彥
劉冠男
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201543546A publication Critical patent/TW201543546A/zh
Application granted granted Critical
Publication of TWI544517B publication Critical patent/TWI544517B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

半導體裝置的製作方法
本發明係關於圖案化半導體裝置的方法,更特別關於採用三層光阻的改良圖案化技術。
半導體積體電路(IC)產業快速成長。由於IC材料與設計的技術進步,新一代的IC具有較小與較複雜的電路。製程尺寸縮小往往有利於增加製程效率並降低相關成本,但亦增加製程複雜度。然而製程尺寸縮小的優點顯而易見,因此需要更小的IC製程。在IC進化時,通常增加其功能密度(比如固定晶片面積中的內連線元件數目),與縮小其尺寸(比如製程形成的最小構件或連線)。
縮小尺寸亦會造成多種製程難題。舉例來說,三層光阻通常用於圖案化半導體製程中的層狀物。然而在裝置尺寸越來越小的情況下,三層光阻可能導致線路破損及/或光阻殘渣等問題,並劣化半導體裝置效能甚至導致裝置失效。
綜上所述,現有圖案化半導體裝置的方法通常只適用於其特定目的,而非適用於所有領域。
本發明一實施例提供之半導體裝置的製作方法,包括:形成材料層於基板上;形成三層光阻於材料層上,三層光阻包括底層、中間層位於底層上、以及光敏層位於中間層 上;進行光微影製程圖案化光敏層,以形成具有一或多個開口的遮罩;以第一蝕刻製程移除遮罩不需要的部份;以及之後以第二蝕刻製程圖案化中間層,其中第二蝕刻製程包括在蝕刻中間層時,形成塗層於遮罩周圍。
本發明一實施例提供之半導體裝置的製作方法,包括:形成材料層於基板上;形成三層光阻於材料層上,三層光阻包括第一層、第二層位於第一層上、以及第三層位於第二層上,其中第三層包括光敏材料;以光微影製程形成圖案化之第三層,且圖案化之第三層包括一或多個開口露出其下方的第二層;以第一蝕刻製程去除圖案化之第三層的殘渣;在去除殘渣之步驟後,以第二蝕刻製程形成圖案化之第二層,其中第二蝕刻製程包括在蝕刻第二層時,連續塗佈高分子層於圖案化之第三層周圍;以第三蝕刻製程形成圖案化之第一層,其中第三蝕刻製程一起移除圖案化之第二層與塗佈其上的高分子層;以及搭配圖案化之第一層,以圖案化材料層。
本發明一實施例提供之半導體裝置的製作方法,包括:形成材料層於基板上;形成三層光阻於材料層上,三層光阻包括底層、中間層位於底層上、以及光敏頂層位於中間層上,其中底層包括第一CxHyOz材料,中間層包括一SiCxHyOz材料,且光敏頂層包括第二CxHyOz材料與光敏單元;進行光微影製程以圖案化光敏頂層成遮罩,且遮罩具有一或多個開口,其中遮罩具有殘渣橫向延伸出遮罩外;進行第一蝕刻製程以去除遮罩的殘渣,其中第一蝕刻製程採用氬氣與四氟化碳氣體;接著以第二蝕刻製程圖案化中間層,其中第二蝕刻製程包括在蝕 刻中間層時,連續沉積高分子塗層於去除殘渣後的遮罩周圍,且其中第二蝕刻製程至少採用CxHyFz氣體與氫氣;以第三蝕刻製程圖案化底層,其中第三蝕刻製程一起移除遮罩與高分子塗層;以及搭配圖案化之底層,以圖案化材料層。
11‧‧‧方法
13、15、17、19、21、23、25‧‧‧步驟
30‧‧‧半導體裝置
35‧‧‧基板
40‧‧‧矽化物阻擋層
45‧‧‧四乙氧矽烷層
50‧‧‧低介電常數介電層
55‧‧‧抗反射塗層
60‧‧‧氮化鈦層
65‧‧‧四乙氧矽烷層
70‧‧‧非晶矽層
70A、70B、91A、91B、92A、92B、93A、93B‧‧‧部件
90‧‧‧三層光阻
91‧‧‧底層
92‧‧‧中間層
93‧‧‧頂層
100‧‧‧光微影製程
110‧‧‧開口
120、150、185‧‧‧高度
130‧‧‧光阻殘渣
140‧‧‧去渣製程
160、190‧‧‧蝕刻製程
180‧‧‧塗層
220、221、222‧‧‧狀態
第1圖係本發明實施例中,圖案化半導體裝置的方法之流程圖。
第2A至7A圖係本發明實施例中,不同製程階段中的部份半導體裝置之上視圖。
第2B至7B圖係本發明實施例中,對應第2A至7A圖內不同製程階段中的部份半導體裝置之剖視圖。
第8圖係本發明實施例中,用以圖案化半導體裝置之蝕刻製程中,不同氫氣流速之三種不同狀態的圖表。
可以理解的是,下述內容提供許多不同的實施例或實例以實施多種實施例中不同的特徵。下述構件與排列的特定實例係用以簡化本發明,而非用以侷限本發明。舉例來說,下述說明中形成第一結構於第二結構上可包括兩者直接接觸的實施例,且亦可包括額外結構形成於兩者之間的實施例(即第一結構與第二結構未直接接觸)。此外,本發明在多種實例中可採用重複標號及/或符號。這些重複僅用以簡化並清楚說明,並非限定不同實施例及/或組態之間具有相同標號的元件之間具有相同的對應關係。
第1圖係採用三層光阻圖案化半導體裝置之方法11的流程圖。方法11包含之步驟13形成材料層於基板上。方法11包含之步驟15形成三層光阻於材料層上。三層光阻包含底層、位於底層上的中間層、與位於中間層上的光敏頂層。底層包含第一CxHyOz材料,中間層包含SiCxHyOz材料,而光敏頂層包括第二CxHyOz材料與光敏單元。方法11包含之步驟17進行微影製程,使光敏頂層圖案化成具有一或多個開口的遮罩。遮罩包含的殘渣自遮罩向外水平延伸。方法11包含之步驟19進行第一蝕刻製程,以去除遮罩的殘渣。第一蝕刻製程採用氬氣與四氟化碳氣體。方法11包含之步驟21進行第二蝕刻製程以圖案化中間層。第二蝕刻製程包括在蝕刻中間層時,持續沉積高分子塗層於去除殘渣的遮罩周圍。第二蝕刻製程採用至少一CxHyFz氣體與氫氣。在某些實施例中,第二蝕刻製程的氫氣流速介於約50標準立方公分/分鐘(sccm)至約250sccm之間。在某些實施例中,第二蝕刻製程包括誘導耦合電漿(ICP)製程,其偏壓介於約120伏特至約240伏特之間。方法11包含之步驟23進行第三蝕刻製程圖案化底層。第三蝕刻製程移除遮罩與高分子塗層。方法11之步驟25採用圖案化之底層,以圖案化材料層。
第2A至7A圖係一實施例中,第1圖之方法11中不同圖案化階段之部份半導體裝置30的上視圖。第2A至7A圖為二維圖,分別沿著X軸與Y軸延伸,且Y軸垂直於X軸。第2B至7B圖係部份半導體裝置30沿著Y軸的剖視圖。換言之,剖視圖係沿著X軸的方向剖面。後述的多種形成與蝕刻步驟沿著Z軸進行於半導體裝置30上,且Z軸垂直於X軸及Y軸組成的想像平面。
半導體裝置30可為部份的積體電路(IC)晶片,且可包含多種被動與主動微電子裝置如電阻、電容、電感、二極體、金氧半場效電晶體(MOSFET)、互補式金氧半(CMOS)電晶體、雙極接面電晶體(BJT)、橫向擴散MOS(LDMOS)電晶體、高功率MOS電晶體、或其他種類的電晶體。可以理解的是,第2A至7A圖與第2B至7B圖已簡化,以利了解本發明概念。綜上所述,應注意在第1圖之方法11之前、之中、或之後可進行額外製程,且某些其他製程僅簡述於此。
如第2A與2B圖所示,半導體裝置30包含基板35。基板35可為半導體晶圓,或底層如金屬層(Mx至Mx+1)。舉例來說,基板35可包含矽。基板35亦可為某些其他合適的半導體元素如鑽石或鍺;合適的半導體化合物如碳化矽、砷化銦、或磷化銦;或合適的半導體合金如碳化矽鍺、磷化鎵砷、或磷化鎵銦。在另一實施例中,基板35可包含非半導體材料如玻璃基板以用於薄膜電晶體液晶顯示器(TFT-LCD)裝置,或熔融石英或氟化鈣以用於光罩。基板35可包含多種掺雜區及/或介電結構以用於多種微電子構件,比如互補式金氧半場效電晶體(CMOSFET)、影像感測器、記憶單元、及/或電容單元。
矽化物阻擋層(SBL)40係形成於基板35上。矽化物阻擋層40亦可稱作矽化阻擋層。在某些實施例中,矽化物阻擋層40之形成方法為合適製程如物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、或上述之組合。此實施例之矽化物阻擋層40包含介電材料如氧化矽或氮化矽,但其他實施例之矽化物阻擋層40可包含其他合適材料。可以理解的是某些 實施例中,矽化物阻擋層40可不直接形成於基板35的上表面上,即其他合適層狀物可形成於基板35與矽化物阻擋層40之間。
四乙氧矽烷(TEOS)層45係形成於矽化物阻擋層40上。在某些實施例中,四乙氧矽烷層45之形成製程為PVD、CVD、電漿增強式化學氣相沉積(PECVD)、上述之組合、或其他合適技術。
低介電常數介電層50係形成於四乙氧矽烷層45上。在某些實施例中,低介電常數介電層50之形成製程為PVD、CVD、PECVD、ALD、上述之組合、或其他合適技術。低介電常數介電層50包含低介電常數材料,其介電常數小於標準二氧化矽的介電常數(約3.9)。在多種實施例中,低介電常數介電材料可包含但不限於掺雜氟的二氧化矽、掺雜碳的二氧化矽、孔洞二氧化矽、旋轉塗佈的有機高分子介電物、旋轉塗佈的矽酮為主之高分子介電物、聚亞醯胺、芳香高分子、掺雜氟之非晶碳、氣相沉積之聚對二甲苯、或類似物。
抗反射塗層55係形成於低介電常數介電層50上。在某些實施例中,抗反射塗層55係無氮的抗反射塗層(NFARC)。抗反射塗層55之形成方法可為本技術領域已知的合適沉積技術。
接著形成氮化鈦層60於抗反射塗層55上。在此實施例中,氮化鈦層60之形成方法為射頻物理氣相沉積製程。在另一實施例中,氮化鈦層之形成方法可為其他製程。
接著形成四乙氧矽烷(TEOS)層65於氮化鈦層60 上。在某些實施例中,四乙氧矽烷層65之形成方法為PVDVD電漿增強化學氣相沉積(PECVD)、上述之組合、或另一合適技術。
非晶矽層70係形成於四乙氧矽烷層65上。非晶矽層70的形成製程為PVD、CVD、濺鍍、或另一合適技術。非晶矽層70在這可作為下述光阻層欲圖案化之遮罩層。在其他實施例中,另一合適材料之遮罩層可取代非晶矽層。
可以理解的是,上述標號40至70的層狀物僅用以舉例,說明光阻層可圖案化之層狀物。在其他實施例中,層狀物40至70之子集或不同層狀物可形成於基板35上,且可由下述光阻層圖案化。
三層光阻90係形成於多晶矽層70上。在此實施例中,三層光阻90包含底層91、中間層92、與頂層93。在某些實施例中,底層91包括CxHyOz材料,中間層92包括SiCxHyOz材料,且頂層93包括CxHyOz材料。在某些實施例中,底層91之CxHyOz材料與頂層93之CxHyOz材料相同,但其他實施例中上述兩者可不同。頂層93亦包含光敏單元如光酸起始劑(PAG)。上述組成讓光微影製程得以圖案化頂層93。可以理解的是在其他實施例中,可省略三層光阻中的一或多層或額外新增其他層狀物作為光阻的一部份,且光阻的層狀物可採用不同順序形成。
一般而言,用以圖案化頂層之光微影製程可包含一或多道微影製程、顯影、潤濕、與烘烤製程,且上述步驟不限於上述順序。光微影製程圖案化頂層以形成光阻遮罩,其具有一或多個開口以露出其下方的中間層92。接著搭配光阻遮罩 蝕刻中間層92以形成圖案化之中間層,再搭配圖案化之中間層蝕刻底層91以形成圖案化之底層。接著搭配圖案化之底層圖案化其下之多個層狀物。不幸的是,習知技術在進行上述圖案化與蝕刻製程時,容易造成線路破損及/或光阻殘渣等問題,造成半導體裝置效能劣化或失效。
依據本發明的多種實施例,改良的微影/圖案化技術可實質上降低或減少習知技術造成的線路破損及/或光阻殘渣等問題。本發明細節將詳述於下。
如第3A至3B圖所示,對頂層93進行光微影製程100以形成圖案化之光阻遮罩。圖案化之光阻遮罩包括部件93A與93B,兩者之間隔有開口110。在某些實施例中,開口的寬度(如水平尺寸)介於約20nm至約100nm之間。部件93A與93B具有高度(如垂直尺寸)120。在某些實施例中,高度120介於約400Å至700Å之間。
光微影製程100的多種缺陷會形成不需要的光阻殘渣。舉例來說,接近部件93A底部之橫向突起部份為光阻殘渣130。如圖所示,光阻殘渣130會大幅縮短相鄰之部件93A與93B之間的開口110,並增加部件93A的尺寸。如此一來,光阻殘渣130的存在會導致後續圖案化製程不準確或其他問題。綜上所述,需移除光阻殘渣以解決上述問題。
如第4A與4B圖所示,以去渣製程140移除光阻殘渣130。在某些實施例中,去渣製程包含蝕刻製程。在本發明多種實施例中,在蝕刻腔室中採用連續電漿製程以進行蝕刻製程,比如誘導耦合電漿(ICP)製程。在此實施例中,去渣製程 140的蝕刻氣體包括氬氣與四氟化碳。氬氣與四氟化碳各自的流速可介於約30sccm至約50sccm之間,比如約40sccm。在某些實施例中,氬氣與四氟化碳的流速比為約1:1。蝕刻製程的氣體壓力可介於約1毫托(mT)至約3mT之間,比如約2mT。用於ICP製程的電源功率可介於約200瓦至約250瓦之間,比如約220瓦。用於ICP製程的偏壓可介於約80伏特至約150伏特之間,比如約110伏特。
經上述去渣製程140後,部件93A與93B降低至高度150,其小於去渣製程140前之高度120(見第3圖)。在某些實施例中,高度150介於約300Å至約500Å之間。接著可將圖案化之頂層的部件93A與93B用於圖案化中間層92。
接著如第5A與5B圖所示,進行蝕刻製程160使中間層92具有開口。換言之,圖案化中間層92以形成部件92A與92B。在蝕刻製程160中,部件93A與93B作為光阻遮罩。蝕刻製程160與前述去渣製程140可在相同蝕刻腔室中進行。換言之,蝕刻製程160亦關於連續電漿製程(非脈衝製程),比如ICP製程。在此實施例中,蝕刻製程160之蝕刻氣體包含四氟化碳、氟仿、氫氣、氮氣、與氬氣。在此實施例中,氟仿作為主要氣體,而氮氣與氬氣作為輔助氣體。四氟化碳氣體之流速可介於約50sccm至約70sccm之間,比如約60sccm。氟仿氣體之流速可介於約45sccm至約65sccm之間,比如約55sccm。氫氣之流速可介於約50sccm至約250sccm之間,比如約150sccm。氮氣之流速可介於約50sccm至約80sccm之間,比如約65sccm。氬氣之流速可介於約40sccm至約60sccm之間,比如約50sccm。
可以理解的是,氫氣流速的最佳化範圍介於約50sccm至約250sccm之間,過慢的流速會導致不完整或無效的蝕刻,而過快的流速會導致光阻損失過多(比如損失部件93A與93B)。上述現象將搭配第8圖詳述於後。應理解的是其他實施例中,可採用其他合適氣體CxHyFz(x>0,y0,且z>0)取代此實施例之氟仿作為主要氣體。
蝕刻製程之製程壓力可介於約5mT至約20mT之間,比如約12mT。ICP製程之電源功率可介於約500瓦至約700瓦之間,比如約600瓦。ICP製程的偏壓可介於約120V至約240V之間,比如約200V。需理解的是,偏壓介於120V至約240V之間為最佳化偏壓,低於120V的偏壓會導致不完全或無效的蝕刻,而高於約240V的偏壓會導致光阻損失過多(比如損失部件93A與93B)。需理解的是,蝕刻製程160較佳採用連續電漿製程,因脈衝型態的蝕刻製程(不連續但常用於許多蝕刻製程中)可能導致不完全或無效的蝕刻。
蝕刻製程160的結果為中間層92圖案化為部件92A與92B。由於部件93A與93B作為蝕刻製程160的遮罩,部件92A與92B分別對準部件93A與93B。此外,塗層180係形成於部件93A、93B、92A、與92B之上表面與側壁表面上。在某些實施例中,塗層180包含高分子材料。塗層180的形成方法至少部份屬於添加氫氣。藉由多種化學反應,可在蝕刻持續進行時連續地沉積塗層180於部件93A、93B、92A、與92B上。換言之,蝕刻中間層92與形成塗層180的步驟實質上同時連續進行。蝕刻製程160的結果為部件93A及塗層180之合計高度185介於約 410Å至約610Å之間。
如前所述,以習知製程蝕刻三層光阻之中間層時,除了其他物質外可能不包含氫氣作為蝕刻劑。如此一來,用以蝕刻中間層的習知製程不會沉積連續性的塗層(或與塗層180類似的層狀物)於光阻遮罩(比如與部件93A與93B相同之部份頂層)上。換言之,習知蝕刻技術不會形成任何保護塗層於光阻遮罩上。缺乏保護層的光阻遮罩通常導致過度蝕刻光阻遮罩,即光阻遮罩損失的高度會超出預期。在某些情況下,部份光阻遮罩可能會被完全移除。在上述狀況中,過度蝕刻的光阻遮罩無法適當地搭配蝕刻中間層的製程,可能造成線路破損的問題。舉例來說,不充足的光阻遮罩會導致蝕刻不應被蝕刻的中間層部件,結果為劣化半導體裝置效能,且裝置失效的狀況增加。
與習知技術相較,本發明實施例可連續沉積塗層180於頂層93之部件93A與93B(比如光阻遮罩)周圍。在蝕刻中間層92時,塗層180可保護部件93A與93B免於過度蝕刻。如此一來,本發明實施例之蝕刻製程160不會導致習知蝕刻製程中常見的線路破損問題。
接著如第6A與6B圖所示,進行另一蝕刻製程190以形成開口於底層91中。換言之,底層91被圖案化成部件91A與91B。在此蝕刻製程190中,圖案化之中間層的部件92A與92B可作為遮罩。進行蝕刻製程190之蝕刻腔室,可與前述進行去渣製程140及蝕刻製程160之蝕刻腔室相同或不同。塗層180可藉由蝕刻製程190移除,亦可在進行蝕刻製程190前即移除。
在此實施例中,蝕刻製程190的蝕刻氣體包含溴化氫、氯氣、氧氣、與氮氣。溴化氫氣體之流速可介於約40sccm至約60sccm之間,比如50sccm。氯氣之流速可介於約5sccm至約40sccm之間,比如約20sccm。氧氣之流速可介於約40sccm至約150sccm之間,比如約90sccm。氮氣之流速可介於約30sccm至約80sccm之間,比如約50sccm。
如第7A至7B圖所示,進行另一蝕刻製程200以圖案化非晶矽層70。換言之,非晶矽層70被圖案化成部件70A與70B。在蝕刻製程200中,圖案化之底層的部件91A與91B作為遮罩。進行蝕刻製程200之蝕刻腔室,可與前述進行去渣製程140、蝕刻製程160、與蝕刻製程190之蝕刻腔室相同或不同。部件92A與92B可藉由蝕刻製程200移除,亦可在進行蝕刻製程200前即移除。
雖然為了簡化說明而未特別圖示或說明,但可採用多種蝕刻製程圖案化多種層狀物40至65的一或多者。上述蝕刻製程的結果,可為形成多種半導體結構如溝槽或島狀物。同樣的,由於中間層的蝕刻製程可持續形成塗層180與池續蝕刻中間層92,之後圖案化的多種半導體結構較不會因習知製程常見之光阻殘渣或破損線路問題,而具有不精準或不適當的圖案。
第8圖係第5B圖中的蝕刻製程160中,對應不同流速之氫氣的三種不同狀態220、221、與222之圖表。在第8圖中,多種蝕刻製程的參數標示於Y軸,而氫氣流速標示於X軸。舉例來說,AMI CD指的是後遮罩偵測的關鍵尺寸,LWR指的是 線寬粗糙度,ML EP指的是中間層終點(中間層92所需的蝕刻時間),BL EP指的是底層終點(底層91所需的蝕刻時間),PREP指的是光阻蝕刻終點(完全移除頂層93所需的蝕刻時間)。在蝕刻製程160時,會發生三種機制:
機制A為氟蝕刻,其包含下述化學反應:e-+CF4 -=>CF3+F+e-,以及Si+4F=>SiF4
機制B為減少形成氟與形成高分子,其包含下述化學反應:H+F=>HF,以及CF4+H2=>CxHyFz
機制C為形成氟,其包含下述化學反應:HF+e-=>H+F+e-,以及H+HF*=>H2+F。
在狀態1中,機制B主導。如此一來,AMICD降低,LWR維持於相對定值,ML EP增加,而BL EP亦增加。在狀態2中,機制A、B、與C相對平衡。換言之,沒有一種機制主導狀態2。如此一來,AMI CD為目標值,LWR降低、ML EP降低會維持於相對定值,BL EP亦降低。在狀態3中,機制C主導。如此一來,AMI CD增加,LWR增加,ML EP維持於相對定值,且BL EP降低。
對應上述的三種狀態220、221、與222的現象亦圖示於第8圖中。如圖所示,狀態2可達最佳化的平衡效能,其中氫氣流速的範圍近似於約50sccm至約250sccm之間。換言之,狀態2可連續蝕刻中間層,與連續沉積保護塗層於光阻遮罩上,且上述兩步驟達到平衡。上述狀態不會過度蝕刻光阻遮罩,也不需蝕刻過長時間。因此,狀態2之氫氣流速為最佳化範圍,並可實施於本發明之蝕刻製程160中。
本發明一實施例關於半導體裝置的製作方法,包括:形成材料層於基板上;形成三層光阻於材料層上,三層光阻包括底層、中間層位於底層上、以及光敏層位於中間層上;進行光微影製程圖案化光敏層,以形成具有一或多個開口的遮罩;以第一蝕刻製程移除遮罩不需要的部份;以及之後以第二蝕刻製程圖案化中間層,其中第二蝕刻製程包括在蝕刻中間層時,形成塗層於遮罩周圍。
在某些實施例中,上述方法更包括以第三蝕刻製程圖案化底層,其中第三蝕刻製程一起移除遮罩與塗層;以及搭配圖案化之底層,以圖案化材料層。
在某些實施例中,遮罩不需要的部份包括遮罩向外凸出的光阻殘渣。
在某些實施例中,第一蝕刻製程採用氬氣與四氟化碳,且第一蝕刻製程的壓力為約2毫托。
在某些實施例中,第二蝕刻製程包括電漿蝕刻製程,且電漿蝕刻製程至少採用CxHyFz氣體與氫氣。
在某些實施例中,氫氣係用以在蝕刻中間層時,誘導沉積高分子材料之塗層於遮罩周圍。
在某些實施例中,氫氣流速介於約50sccm至約250sccm之間。
在某些實施例中,電漿蝕刻製程包括連續電漿製程。
在某些實施例中,電漿蝕刻製程之操作偏壓介於約120伏特至約240伏特之間。
在某些實施例中,底層包括第一CxHyOz材料,中間層包括SiCxHyOz材料,且光敏層包括第二CxHyOz材料與光酸起始劑。
本發明另一實施例關於半導體裝置的製作方法,包括:形成材料層於基板上;形成三層光阻於材料層上,三層光阻包括第一層、第二層位於第一層上、以及第三層位於第二層上,其中第三層包括光敏材料;以光微影製程形成圖案化之第三層,且圖案化之第三層包括一或多個開口露出其下方的第二層;以第一蝕刻製程去除圖案化之第三層的殘渣;在去除殘渣之步驟後,以第二蝕刻製程形成圖案化之第二層,其中第二蝕刻製程包括在蝕刻第二層時,連續塗佈高分子層於圖案化之第三層周圍;以第三蝕刻製程形成圖案化之第一層,其中第三蝕刻製程一起移除圖案化之第二層與塗佈其上的高分子層;以及搭配圖案化之第一層,以圖案化材料層。
在某些實施例中,第一層包括第一CxHyOz材料,第二層包括SiCxHyOz材料,且第三層包括第二CxHyOz材料與光酸起始劑。
在某些實施例中,第一蝕刻製程採用氬氣與四氟化碳氣體,且第一蝕刻製程之壓力為約2毫托。
在某些實施例中,第二蝕刻製程包括非脈衝的電漿蝕刻製程,且電漿蝕刻製程至少採用CxHyFz氣體與氫氣。
在某些實施例中,氫氣流速介於約50sccm至約250sccm之間。
在某些實施例中,電漿蝕刻製程包括誘導耦合電 漿製程。
在某些實施例中,電漿蝕刻製程之操作偏壓介於約120伏特至約240伏特之間。
本發明又一實施例關於半導體裝置的製作方法,包括:形成材料層於基板上;形成三層光阻於材料層上,三層光阻包括底層、中間層位於底層上、以及光敏頂層位於中間層上,其中底層包括第一CxHyOz材料,中間層包括一SiCxHyOz材料,且光敏頂層包括第二CxHyOz材料與光敏單元;進行光微影製程以圖案化光敏頂層成遮罩,且遮罩具有一或多個開口,其中遮罩具有殘渣橫向延伸出遮罩外;進行第一蝕刻製程以去除遮罩的殘渣,其中第一蝕刻製程採用氬氣與四氟化碳氣體;接著以第二蝕刻製程圖案化中間層,其中第二蝕刻製程包括在蝕刻中間層時,連續沉積高分子塗層於去除殘渣後的遮罩周圍,且其中第二蝕刻製程至少採用CxHyFz氣體與氫氣;以第三蝕刻製程圖案化底層,其中第三蝕刻製程一起移除遮罩與高分子塗層;以及搭配圖案化之底層,以圖案化材料層。
在某些實施例中,第二蝕刻製程包括誘導耦合電漿製程,其操作偏壓介於約120伏特至約240伏特之間。
在某些實施例中,第二蝕刻製程的氫氣流速介於約50sccm至約250sccm之間。
上述內容已揭露多個實施例之特徵以利本技術領域中具有通常知識者理解細節。本技術領域中具有通常知識者應理解,為達上述實施例之相同目的及/或相同優點,可在本發明基礎下設計或改良其他製程結構。本技術領域中具有通常 知識者亦應理解上述等效置換並未偏離本發明精神與範疇,因此在不偏離本發明精神與範疇的情況下可進行多種變化、置換、與改變。
30‧‧‧半導體裝置
35‧‧‧基板
40‧‧‧矽化物阻擋層
45‧‧‧四乙氧矽烷層
50‧‧‧低介電常數介電層
55‧‧‧抗反射塗層
60‧‧‧氮化鈦層
65‧‧‧四乙氧矽烷層
70‧‧‧非晶矽層
90‧‧‧三層光阻
91‧‧‧底層
92A、92B、93A、93B‧‧‧部件
160‧‧‧蝕刻製程
180‧‧‧塗層
185‧‧‧高度

Claims (10)

  1. 一種半導體裝置的製作方法,包括:形成一材料層於一基板上;形成一三層光阻於該材料層上,該三層光阻包括一底層、一中間層位於該底層上、以及一光敏層位於該中間層上;進行一光微影製程圖案化該光敏層,以形成具有一或多個開口的一遮罩;以一第一蝕刻製程移除該遮罩不需要的部份;以及之後以一第二蝕刻製程圖案化該中間層,其中該第二蝕刻製程包括在蝕刻該中間層時,形成一塗層於該遮罩與圖案化之該中間層周圍。
  2. 如申請專利範圍第1項所述之半導體裝置的製作方法,更包括:以一第三蝕刻製程圖案化該底層,其中該第三蝕刻製程一起移除該遮罩與該塗層;以及搭配該圖案化之該底層,以圖案化該材料層。
  3. 如申請專利範圍第1項所述之半導體裝置的製作方法,其中該遮罩不需要的部份包括自該遮罩向外凸出的光阻殘渣。
  4. 如申請專利範圍第1項所述之半導體裝置的製作方法,其中該第一蝕刻製程採用氬氣與四氟化碳,且該第一蝕刻製程的壓力為約2毫托;其中該第二蝕刻製程包括一電漿蝕刻製程,且該電漿蝕刻製程至少採用CxHyFz氣體與氫氣,其中該氫氣係用以在蝕刻中間層時,誘導沉積高分子材料之塗層於該遮罩周圍,其 中該氫氣流速介於約50sccm至約250sccm之間,其中該電漿蝕刻製程包括一連續電漿製程,且其中該電漿蝕刻製程之操作偏壓介於約120伏特至約240伏特之間。
  5. 如申請專利範圍第1項所述之半導體裝置的製作方法,其中該底層包括一第一CxHyOz材料,該中間層包括一SiCxHyOz材料,且該光敏層包括一第二CxHyOz材料與一光酸起始劑。
  6. 一種半導體裝置的製作方法,包括:形成一材料層於一基板上;形成一三層光阻於該材料層上,該三層光阻包括一第一層、一第二層位於該第一層上、以及一第三層位於該第二層上,其中該第三層包括一光敏材料;以一光微影製程形成一圖案化之第三層,且該圖案化之第三層包括一或多個開口露出其下方的該第二層;以一第一蝕刻製程去除該圖案化之第三層的殘渣;在去除殘渣之步驟後,以一第二蝕刻製程形成一圖案化之第二層,其中該第二蝕刻製程包括在蝕刻該第二層時,連續塗佈一高分子層於該圖案化之第三層與圖案化之該第二層周圍;以一第三蝕刻製程形成一圖案化之第一層,其中該第三蝕刻製程一起移除該圖案化之第二層與塗佈其上的該高分子層;以及搭配該圖案化之第一層,以圖案化該材料層。
  7. 如申請專利範圍第6項所述之半導體裝置的製作方法,其中該第一層包括一第一CxHyOz材料,該第二層包括一SiCxHyOz 材料,且該第三層包括一第二CxHyOz材料與一光酸起始劑。
  8. 如申請專利範圍第6項所述之半導體裝置的製作方法,其中該第一蝕刻製程採用氬氣與四氟化碳氣體,且該第一蝕刻製程之壓力為約2毫托;其中該第二蝕刻製程包括一非脈衝的電漿蝕刻製程,且該電漿蝕刻製程至少採用CxHyFz氣體與氫氣,其中該氫氣流速介於約50sccm至約250sccm之間,其中該電漿蝕刻製程包括一誘導耦合電漿製程,且其中該電漿蝕刻製程之操作偏壓介於約120伏特至約240伏特之間。
  9. 一種半導體裝置的製作方法,包括:形成一材料層於一基板上;形成一三層光阻於該材料層上,該三層光阻包括一底層、一中間層位於該底層上、以及一光敏頂層位於該中間層上,其中該底層包括一第一CxHyOz材料,該中間層包括一SiCxHyOz材料,且該光敏頂層包括一第二CxHyOz材料與一光敏單元;進行一光微影製程以圖案化該光敏頂層成一遮罩,且該遮罩具有一或多個開口,其中該遮罩具有殘渣橫向延伸出該遮罩外;進行一第一蝕刻製程以去除該遮罩的殘渣,其中該第一蝕刻製程採用氬氣與四氟化碳氣體;接著以一第二蝕刻製程圖案化該中間層,其中該第二蝕刻製程包括在蝕刻該中間層時,連續沉積一高分子塗層於去除殘渣後的該遮罩與圖案化之該中間層周圍,且其中該第 二蝕刻製程至少採用CxHyFz氣體與氫氣;以第三蝕刻製程圖案化該底層,其中該第三蝕刻製程一起移除該遮罩與該高分子塗層;以及搭配圖案化之底層,以圖案化該材料層。
  10. 如申請專利範圍第9項所述之半導體裝置的製作方法,其中該第二蝕刻製程包括一誘導耦合電漿製程,其操作偏壓介於約120伏特至約240伏特之間,其中該第二蝕刻製程的氫氣流速介於約50sccm至約250sccm之間。
TW103145708A 2013-12-26 2014-12-26 半導體裝置的製作方法 TWI544517B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/140,675 US9159561B2 (en) 2013-12-26 2013-12-26 Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning

Publications (2)

Publication Number Publication Date
TW201543546A TW201543546A (zh) 2015-11-16
TWI544517B true TWI544517B (zh) 2016-08-01

Family

ID=53372188

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103145708A TWI544517B (zh) 2013-12-26 2014-12-26 半導體裝置的製作方法

Country Status (3)

Country Link
US (2) US9159561B2 (zh)
DE (1) DE102014118843B4 (zh)
TW (1) TWI544517B (zh)

Families Citing this family (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20160013291A1 (en) * 2014-03-24 2016-01-14 Globalfoundries Inc. Methods of forming isolated channel regions for a finfet semiconductor device and the resulting device
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10079178B1 (en) 2017-03-17 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd Formation method of semiconductor device structure using multilayer resist layer
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) * 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10354874B2 (en) 2017-11-14 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Directional processing to remove a layer or a material formed over a substrate
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10978301B2 (en) * 2018-08-31 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Morphology of resist mask prior to etching
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11300881B2 (en) 2018-10-23 2022-04-12 International Business Machines Corporation Line break repairing layer for extreme ultraviolet patterning stacks
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11923295B2 (en) 2020-02-19 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect level with high resistance layer and method of forming the same
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113594026A (zh) * 2021-07-29 2021-11-02 上海华力微电子有限公司 光刻材料的返工方法及衬底的光刻方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4333793A (en) * 1980-10-20 1982-06-08 Bell Telephone Laboratories, Incorporated High-selectivity plasma-assisted etching of resist-masked layer
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6524755B2 (en) * 2000-09-07 2003-02-25 Gray Scale Technologies, Inc. Phase-shift masks and methods of fabrication
US6596641B2 (en) * 2001-03-01 2003-07-22 Micron Technology, Inc. Chemical vapor deposition methods
US7390755B1 (en) * 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6900139B1 (en) * 2002-04-30 2005-05-31 Advanced Micro Devices, Inc. Method for photoresist trim endpoint detection
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
TWI258635B (en) * 2002-11-27 2006-07-21 Tokyo Ohka Kogyo Co Ltd Undercoating material for wiring, embedded material, and wiring formation method
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
US6893975B1 (en) 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
KR20070009729A (ko) 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
US20070193602A1 (en) * 2004-07-12 2007-08-23 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7352064B2 (en) 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
US7539969B2 (en) * 2005-05-10 2009-05-26 Lam Research Corporation Computer readable mask shrink control processor
US7585613B2 (en) * 2006-01-25 2009-09-08 Shin-Etsu Chemical Co., Ltd. Antireflection film composition, substrate, and patterning process
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7745104B2 (en) * 2006-08-10 2010-06-29 Shin-Etsu Chemical Co., Ltd. Bottom resist layer composition and patterning process using the same
US7435671B2 (en) 2006-08-18 2008-10-14 International Business Machines Corporation Trilayer resist scheme for gate etching applications
US7560360B2 (en) * 2006-08-30 2009-07-14 International Business Machines Corporation Methods for enhancing trench capacitance and trench capacitor
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US20080194109A1 (en) * 2007-02-14 2008-08-14 Renesas Technology Corp. Method of fabricating a semiconductor device
JP4813537B2 (ja) * 2008-11-07 2011-11-09 信越化学工業株式会社 熱酸発生剤を含有するレジスト下層材料、レジスト下層膜形成基板及びパターン形成方法
CN102438841A (zh) * 2009-03-23 2012-05-02 因特瓦克公司 用于图案化介质中的岛与沟槽的比值优化的工艺
US8404581B2 (en) * 2009-09-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect of a semiconductor device
JP5238781B2 (ja) * 2010-09-17 2013-07-17 株式会社東芝 磁気記録媒体の製造方法
US8361684B2 (en) * 2010-12-21 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning trenches with varying dimension
JP5746005B2 (ja) * 2011-11-29 2015-07-08 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法

Also Published As

Publication number Publication date
US20160013059A1 (en) 2016-01-14
TW201543546A (zh) 2015-11-16
US20150187580A1 (en) 2015-07-02
DE102014118843A1 (de) 2015-07-02
DE102014118843B4 (de) 2024-03-14
US9159561B2 (en) 2015-10-13
US9583345B2 (en) 2017-02-28

Similar Documents

Publication Publication Date Title
TWI544517B (zh) 半導體裝置的製作方法
US10916443B2 (en) Spacer-damage-free etching
TWI605518B (zh) 積體電路結構及其製造方法
KR102010188B1 (ko) 집적 회로 패터닝 방법
TWI392016B (zh) 基板處理方法
US20050272259A1 (en) Method of pitch dimension shrinkage
US7611994B2 (en) Fine patterning method for semiconductor device
KR20210053212A (ko) 자체 정렬된 이중 패터닝
TW202011455A (zh) 半導體結構的製作方法
TW202236417A (zh) 金屬光阻上的缺陷校正
US9748138B2 (en) Metal layer end-cut flow
US7829472B2 (en) Method of forming at least an opening using a tri-layer structure
US8901007B2 (en) Addition of carboxyl groups plasma during etching for interconnect reliability enhancement
TW202215555A (zh) 形成半導體裝置的方法
TWI642087B (zh) 形成半導體元件的方法
JP3683570B2 (ja) 半導体装置の製造方法
US20140099787A1 (en) Semiconductor device processing with reduced wiring puddle formation
TWI647807B (zh) 內連線結構及其製造方法
JP4436606B2 (ja) 半導体装置の製造方法
JP2004158821A (ja) 半導体装置の製造方法
JP4768732B2 (ja) 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
KR20090044855A (ko) 반도체 소자의 제조방법
JPH0964042A (ja) 半導体装置の製造方法