TW202236417A - 金屬光阻上的缺陷校正 - Google Patents

金屬光阻上的缺陷校正 Download PDF

Info

Publication number
TW202236417A
TW202236417A TW110145178A TW110145178A TW202236417A TW 202236417 A TW202236417 A TW 202236417A TW 110145178 A TW110145178 A TW 110145178A TW 110145178 A TW110145178 A TW 110145178A TW 202236417 A TW202236417 A TW 202236417A
Authority
TW
Taiwan
Prior art keywords
layer
patterned
photoresist layer
silicon
forming
Prior art date
Application number
TW110145178A
Other languages
English (en)
Inventor
蘊 韓
彼得 凡特薩克
艾洛克 蘭傑
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202236417A publication Critical patent/TW202236417A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導體元件之形成方法包括:沉積金屬光阻層在待圖案化的層上方,該待圖案化的層係形成在基板上方;使用微影處理而圖案化該金屬光阻層,以形成已圖案化的金屬光阻層並且暴露該待圖案化的層之複數部分;藉由使該基板暴露至氣體混合物,以選擇性地沉積含矽層在該已圖案化的光阻層上方,該氣體混合物包括矽前驅物,該含矽層係優先地沉積在該金屬光阻層之頂表面上方;及藉由使該待圖案化的層及被該含矽層所覆蓋之該已圖案化的金屬光阻層暴露至具有蝕刻化學品之電漿處理,以實施表面清潔處理,該蝕刻化學品包括鹵素或氫。

Description

金屬光阻上的缺陷校正
本發明大致關於半導體製造,具體而言,關於金屬光阻上之缺陷校正。 [相關申請案之交互參照]
本申請案主張2020年12月4日提出申請之美國專利申請案第17/111,652號之優先權,其完整內容係併入本申請案中之參考資料。
通常,藉由使用微影及蝕刻在半導體基板上順序沉積及圖案化介電層、導電層及半導體材料層來製造例如積體電路(IC)之半導體裝置,以形成用於電路構件及內連線元件(例如,電晶體、電阻器、電容器、金屬線、接觸窗及介層窗)之結構。在各連續技術節點上,特徵部尺寸會微縮以大約使構件堆積密度加倍。印製更高解析度圖案之直接方法是縮小光源之波長。用於在250 nm及130 nm節點曝光關鍵圖案之248 nm深紫外線(DUV)輻射源(KrF雷射)被193 nm ArF雷射所取代。使用多重圖案化技術將 193 nm 光學元件進一步擴展到14 nm甚至10 nm節點,但具有與額外遮罩有關之更高的成本及處理複雜性。在次10 nm範圍中,DUV 可能被更短的13.5 nm波長極紫外線(EUV)技術所取代。雖然EUV可能使用較少的遮罩來實現高解析度,但它必須將微影系統之所有構件(輻射源、掃描機、遮罩及光阻)結合在一起,克服各構件之工程障礙。一主要問題是,用於DUV之傳統化學放大 (CA)光阻可能無法達到10奈米節點所需之解析度及敏感度。金屬光阻已被建議做為對CA光阻之改良。雖然金屬光阻可達到所需的解析度,但金屬光阻帶來了一系列獨特的挑戰。
根據一實施例,半導體元件之形成方法包括:沉積一金屬光阻層在一待圖案化的層上方,該待圖案化的層係形成在一基板上方;使用一微影處理而圖案化該金屬光阻層,以形成一已圖案化的金屬光阻層並且暴露該待圖案化的層之複數部分;藉由使該基板暴露至一氣體混合物,以選擇性地沉積一含矽層在該已圖案化的光阻層上方,該氣體混合物包括一矽前驅物,該含矽層係優先地沉積在該金屬光阻層之頂表面上方;及藉由使該待圖案化的層及被該含矽層所覆蓋之該已圖案化的金屬光阻層暴露至具有一蝕刻化學品之一電漿處理,以實施一表面清潔處理,該蝕刻化學品包括一鹵素或氫。
根據一實施例,半導體元件之形成方法包括:沉積一金屬光阻層在一待圖案化的層上方,該待圖案化的層係設置在一基板上方;使用一極紫外線(EUV)微影處理而圖案化該金屬光阻層,以形成一已圖案化的光阻層並且暴露該待圖案化的層之複數部分,其中該圖案化係留下一金屬殘留物在該待圖案化的層之該等暴露部分其中一者上方;使用一含矽材料而覆蓋該已圖案化的金屬光阻層之一頂表面;在以該含矽材料而覆蓋該已圖案化的金屬光阻層之該頂表面時,使用包括一鹵素之一電漿處理而選擇性地去除該金屬殘留物;及使用一指向性電漿蝕刻處理而圖案化該待圖案化的層。
根據一實施例,半導體元件之形成方法包括:沉積一金屬光阻層在一待圖案化的層上方,該待圖案化的層係設置在一基板上方;使用一極紫外線(EUV)微影處理而圖案化該金屬光阻層,以形成一已圖案化的金屬光阻層,以便暴露該待圖案化的層之一第一組區域;沉積一第一材料在該第一組區域上方;選擇性地去除該已圖案化的金屬光阻層,以暴露該待圖案化的層之一第二組區域;沉積一第二材料而覆蓋該待圖案化的層之該第二組區域,該第二材料係不同於該第一材料;選擇性地去除該第一材料,以重新暴露該待圖案化的層之該第一組區域;藉由使該待圖案化的層之該第一組區域暴露至一電漿處理,以實施一表面清潔處理;及蝕刻該待圖案化的層之該第一組區域,以在該待圖案化的層中形成一圖案。
本案大致上關於形成已圖案化的蝕刻遮罩之方法,在特定實施例中,關於從用於形成奈米級圖案之蝕刻遮罩去除缺陷之方法。
如上所述,化學放大(CA)光阻不能滿足較低技術節點之較高解析度。CA光阻之一問題為,它們容易受到與由較短的極紫外線(EUV)波長所產生之較高能量光子有關之已知隨機效應之影響。例如,EUV光子之能量為193 nm深紫外線(DUV)光子之14.3倍,其導致在固定曝光中定義曝光區域之邊緣之光子數量較少。例如,對於15 mJ/cm 2之EUV曝光,面積1 nm 2之光阻係暴露於平均僅10個光子,而在相同劑量之193 nm DUV曝光下為143個光子。此外,某些區域會隨機地接收比平均光子數更多或更少的光子。此外,當掃描機在整個晶圓之各晶粒進行時,每一晶粒上之相同區域可能隨機地接收不同的曝光。這被稱為散粒雜訊。散粒雜訊係遵循卜瓦松分佈,導致曝光波動比DUV中之曝光波動大許多倍。此外,隨著特徵部尺寸變小,曝光波動之影響會增加。光子與光阻相互作用之位置之隨機性導致曝光區域之邊緣模糊。因此,EUV光阻必須設計成具有高敏感度以防止隨機曝光。即使CA光阻可設計成具有良好的敏感度(S),但隨著CA光阻敏感度之提高,其解析度(R)及線邊緣粗糙度會劣化,並且無法滿足10 nm節點之要求。這被稱為RLS權衡。
CA光阻之另一問題為在EUV中之光-物質相互作用。EUV使用高能光子,高能光子會產生光電子,然後當它們與光阻材料相互作用時產生二次電子。與DUV不同,EUV光子不會藉由在光阻中引起化學反應來使光阻曝光,EUV光子與光阻相互作用所形成之二次電子係使光阻曝光。由於較短的EUV波長所產生之光子數量較少,光阻在EUV期間形成二次電子之效率係取決於光阻吸收光子之能力。
如本技術領域中具有通常知識者所能理解,金屬光阻能夠克服CA光阻之缺點並且能夠在減輕隨機性的同時提供改善的EUV光子吸收,導致比CA光阻更好的RLS權衡。
金屬光阻之一缺點為,在顯影步驟之後由於光阻之曝光不足或顯影不足而易於在裝置元件之間留下殘留的金屬光阻顆粒。例如,用於製造半導體裝置之典型處理流程包括多個例子,其中已圖案化的光阻層被形成並且使用做為蝕刻遮罩,以通過蝕刻遮罩對暴露的下方層進行選擇性蝕刻而將圖案轉移至下方層。殘留的光阻是有問題的,因為它會扭曲蝕刻遮罩之已圖案化的光阻之輪廓,導致缺陷。例如,殘留的光阻可能覆蓋著應當暴露在已圖案化的光阻層中之目標層之一部分,其可能導致二或更多緊鄰的裝置元件之非期望連接以及其它可能的缺陷。這被稱為橋接缺陷。
本揭示內容中所述之實施例係藉由在金屬光阻層之圖案化之後使用表面清潔處理以去除任何殘留的金屬顆粒,以克服使用金屬光阻之缺點。因此,將使用橫剖面圖1A-1F連同圖2之流程圖來描述一或更多實施例。將使用橫剖面圖3A-3H連同圖5之流程圖來描述替代實施例。
圖1A-1E繪示根據本案之實施例之在不同製造階段期間之半導體裝置之視圖。圖1A繪示在形成已圖案化的金屬光阻層之後之裝置之橫剖面圖及俯視圖,圖1B繪示在沉積含矽層於形成蝕刻遮罩之已圖案化的金屬光阻層上之後之裝置之橫剖面圖,圖1C繪示在表面清潔處理之後之裝置之橫剖面圖,圖1D繪示在形成裝置元件圖案於待圖案化的層中之後之裝置之橫剖面圖,圖1E繪示在去除蝕刻遮罩之後之裝置之橫剖面圖。
參考圖1A,已圖案化的金屬光阻層106係形成在待圖案化的層104上方,待圖案化的層104係形成在半導體基板102上方。已圖案化的金屬光阻層106可為本技術領域中具有通常知識者已知之用於裝置元件之圖案。已圖案化的金屬光阻層106使待圖案化的層104之部分暴露。
待圖案化的層104可為形成裝置元件之層,或者其可為隨後用於形成裝置元件之中間層。這種中間層之範例可為硬遮罩層,其隨後用於圖案化下方層中之元件。在各種實施例中,待圖案化的層104可為絕緣層、導電層或半導體層,取決於在該製造階段正在製造之特徵部。待圖案化的層104可包括介電及∕或導電材料(例如,矽氧化物、矽氮化物、矽氮氧化物、矽碳化物、鈦氮化物、鉭氮化物、它們的合金以及其組合)之膜。在一些實施例中,待圖案化的層104可為犧牲層,其在使用做為後續蝕刻步驟中之硬遮罩之後被去除。
半導體基板102包括半導體本體,用於支撐其上形成有已圖案化的金屬光阻層106之待圖案化的層104。半導體本體可為主體基板,例如主體矽基板、絕緣體上矽基板、矽碳化物基板、砷化鎵基板、或混合基板(例如,矽上氮化鎵及其它異質磊晶基板)、或任何其它本技術領域中具有通常知識者已知之材料及配置。半導體基板102可包括額外層,例如金屬間介電質(IMD),包括低k介電材料、氧化物、氮化物等。例如,半導體基板102可包括多個內連線層,內連線層包括具有嵌入的導電內連線元件之介電膜,該嵌入的導電內連線元件係形成在可製造各種主動元件之單晶主體半導體或絕緣體上半導體(SOI)晶圓上。
在各種實施例中,已圖案化的金屬光阻層106可為金屬氧化物光阻膜之犧牲層,其對10 nm至約14 nm(通常為13.5 nm)之波長範圍內之EUV輻射是敏感的。在一實施例中,已圖案化的金屬光阻層106包括有機金屬氧化物光阻,有機金屬氧化物光阻包括有機金屬化合物,例如錫、鉿或鋯。有機金屬氧化物光阻可為正型光阻或負型光阻。
為了形成已圖案化的金屬光阻層106,將金屬光阻層塗覆在待圖案化的層104上、透過微影遮罩而暴露於EUV輻射、並且進行顯影,以使用例如本技術領域中具有通常知識者已知之13.5 nm波長EUV微影處理步驟而將裝置元件之圖案從微影遮罩轉移至金屬光阻層。在有機金屬光阻之例子中,如此形成之已圖案化的金屬光阻層106為金屬氧化物圖案。
該處理階段可在裝置製造之任何階段實施,例如鰭形成、閘極形成、金屬線、接觸插塞、介層窗等。儘管參照EUV微影而描述此處理,但是可使用波長更長的微影方法,例如在100 nm至200 nm 範圍內之真空紫外線(VUV)輻射。
如本技術領域中具有通常知識者所知,本發明之實施例考慮其它中間層之存在。例如,在形成已圖案化的金屬光阻層106之前,可在已圖案化的金屬光阻層106與待圖案化的層104之間形成抗反射塗層。在一實施例中,抗反射塗層(ARC)膜可包括矽抗反射塗層。在某些實施例中,抗反射塗層可包括有機ARC層、金屬ARC層、金屬氧化物ARC層、鈦氮化物ARC層或旋塗玻璃(SOG)層。抗反射塗層之材料係選擇以避免在已圖案化的金屬光阻層106與待圖案化的下方層104之間之相互作用。
在此實施例中,由於金屬光阻之顯影不足或曝光不足,顯影後金屬缺陷108(例如,橋接缺陷)係形成在待圖案化的層104之上表面之一部分上,如本技術領域中具有通常知識者所理解。金屬缺陷108可能形成在應當暴露在已圖案化的金屬光阻層106中之待圖案化的層104之一部分上,導致具有扭曲輪廓之有缺陷的蝕刻遮罩。金屬缺陷108可包括殘留金屬光阻或殘留金屬光阻與用於光阻顯影之溶劑以及其它雜質。金屬缺陷108可能隨機地形成在待圖案化的層104上方之多個位置上。
此外,如頁面右側之相關俯視圖所示,金屬缺陷108之形狀亦可能為任意的,例如,金屬缺陷108可能不完全沿著已圖案化的金屬光阻層106而延伸。線1-1’ 係對應於頁面左側所示之橫剖面圖。
參考圖 1B,含矽層110係選擇性地沉積在已圖案化的金屬光阻層 106 上,在已圖案化的金屬光阻層106上形成保護蓋,而不覆蓋金屬缺陷108。含矽層 110 可完全或部分覆蓋已圖案化的金屬光阻層106之所有側壁。藉由將已圖案化的金屬光阻層106暴露於包含矽前驅物及一或更多惰性氣體(例如,氦、氬及氮)之氣體混合物(通過專用沉積工具或電漿蝕刻腔室中之噴淋頭),含矽層110可選擇性地沉積在已圖案化的金屬光阻層106上,如本技術領域中具有通常知識者所理解。
含矽層110之厚度係選擇以便,在隨後用於去除金屬缺陷108之表面清潔處理期間,能夠保護下方的已圖案化的金屬光阻層106。如本技術領域中具有通常知識者所理解,在隨後的表面清潔處理中,保護已圖案化的金屬光阻層106之頂表面及側壁之含矽層110之部分可能由於離子濺射而被(部分地)消耗掉。有利地,含矽層110之厚度係選擇以在已圖案化的金屬光阻層106之頂表面上沉積在2-5 nm範圍內之厚度、以及在已圖案化的金屬光阻層106之側壁上沉積在1-2 nm範圍內之厚度,以確保在表面清潔處理之後含矽層110留存在已圖案化的金屬光阻層106上。
本案之實施例包括使用循環處理以形成含矽層110。有利地,此可用於調整含矽層110沉積在已圖案化的金屬光阻層106上之厚度分佈。例如,可執行沉積及修整處理之循環,以形成含矽層110。在一範例中,在沉積含矽層110之一或更多層之後,可使用例如等向性電漿蝕刻處理、氣相蝕刻或濕式蝕刻來修整含矽層110。修整可去除沉積在介於相鄰的已圖案化金屬光阻層106之間(在金屬缺陷108上)之溝槽中之含矽層110之任何材料。或者,可在形成含矽層110之沉積處理結束時實施可選的修整處理,以去除覆蓋金屬缺陷108之任何含矽層110。如果金屬缺陷108保持被覆蓋,則後續的表面清潔處理將難以去除金屬缺陷108,除非其亦蝕刻含矽層110之部分。
在一實施例中,含矽層110包括,例如,使用化學氣相沉積(CVD)所沉積之矽氧化物層,其中氣體混合物可包括矽前驅物,例如四氯化矽、氧、及一或更多載氣。矽前驅物(例如,四氯化矽)沿著已圖案化的金屬光阻層106之表面而選擇性地與氧反應並且形成矽氧化物,於是矽氧化物選擇性地沉積在已圖案化的金屬光阻層106之暴露的頂表面及側壁上。
在另一實施例中,含矽層110包括,例如,使用電漿處理(例如,電漿增強化學氣相沉積(PECVD))所沉積之矽,其中氣體混合物可包括矽前驅物(例如,二氯矽烷(SiCl 2H 2)、矽烷、或四乙氧基矽烷 (TEOS))、添加氣體(例如,O 2或H 2)、以及一或更多載氣。或者,氣體混合物可包含四氯化矽及氫。亦可使用其它含矽氣體,例如四氟化矽。類似於前述實施例,對於已圖案化的金屬光阻層106之暴露表面而言,反應是選擇性的,且矽層係沉積在已圖案化的金屬光阻層106之暴露頂表面及側壁上。該矽層係基本上由矽所製成之材料,俾使含矽層110之至少90%是矽。在一實施例中,矽層可為元素矽層。在不同實施例中,矽層可為非晶矽或多晶矽。儘管所沉積的材料基本上是矽,但其一部分可能透過暴露於氧(例如,暴露於空氣)而被氧化成二氧化矽。
有利地,如圖1B所示,含矽層110選擇性地沉積在已圖案化的金屬光阻層106之頂表面及側壁上。選擇性地沉積含矽層110在已圖案化的金屬光阻層106之頂表面及側壁上之一優點為,在隨後的表面清潔處理期間,含矽層110提供保護蓋於已圖案化的金屬光阻層106上,而不覆蓋金屬缺陷108。
參考圖1C,使用表面清潔處理、透過將待圖案化的層104及覆蓋有含矽層110之已圖案化的金屬光阻層106暴露於電漿處理,以去除金屬缺陷108,從而形成無缺陷的蝕刻遮罩。表面清潔電漿處理氣體係選擇為還原性的,並且可包括,例如,鹵素或氫化學品。在一實施例中,表面清潔電漿處理氣體可包括氯或溴、及氫以及惰性氣體(例如,氬)。這是因為氯及溴可選擇性地去除金屬顆粒而不蝕刻含矽層110。在沒有含矽層110之情況下,氯∕溴亦將蝕刻下方的已圖案化金屬光阻層106。
在一實施例中,選擇一鹵素或氫化學品以去除所有金屬缺陷108而不蝕刻待圖案化的下方層104。例如,氫或鹵素化學品可包括,例如BCl 3、HBr、CH 4或H 2。在一些實施例中,表面清潔電漿處理氣體亦可包括稀釋氣體,例如Ar、Kr或He。
在一實施例中,表面清潔處理氣體之流率可為約10 sccm至約300 sccm。在其它實施例中,稀釋氣體流率可為約500 sccm至約1000 sccm。在一實施例中,表面清潔處理壓力可為約10 mT至100 mT。電漿源功率之範圍可從約50 W至約500 W,且偏壓功率之範圍可從約0 W至100 W。實施例考慮了不同方式來確定表面清潔處理是否在目標製程容許範圍(process window)內。這些包括在表面清潔處理之後或在後續步驟中蝕刻待圖案化的層104之後使用缺陷檢查處理來確認橋接缺陷之減少、或者在隨後的裝置製造中進行電參數測試以確認良率之改善。
在各種實施例中,表面清潔電漿處理可在用於形成圖1B中所述之含矽層110之相同工具中實施。
如本技術領域中具有通常知識者所理解,氣相鹵素電漿對於矽氧化物具有高選擇性。因此,在含矽層110包含矽氧化物之實施例中,在表面清潔處理之後,大部分含矽層110係保留在已圖案化的金屬光阻層106上,含矽層110由於離子濺射而具有零至最小的損失,如上所述。
在其它實施例中,例如,當含矽層110基本上由矽(例如,元素矽)所製成時,表面清潔處理對於含矽層110可能沒有選擇性,因此含矽層110可為犧牲層,使得隨後的蝕刻主要使用已圖案化的金屬光阻層106來進行。
有利地,在一實施例中,在形成已圖案化的金屬光阻層106及含矽層110之後,立即使用表面清潔處理而去除金屬缺陷108。在形成已圖案化的金屬光阻層106及含矽層110之後立即使用表面清潔處理而去除金屬缺陷108之一優點為,其可減少半導體製造所需之下方層之數量,從而減少處理時間及降低處理成本。
參考圖1D,使用被含矽層110所覆蓋之已圖案化的金屬光阻層106做為蝕刻遮罩,藉由使待圖案化的層104暴露至通過蝕刻遮罩之指向性蝕刻處理,以形成裝置元件之圖案在待圖案化的層104中。
如圖1D所示,指向性蝕刻處理對於含矽層110可能沒有選擇性,因此可在蝕刻期間被去除。在含矽層110包括矽氧化物之實施例中,指向性蝕刻處理可在本技術領域中具有通常知識者已知之感應耦合電漿(ICP)處理系統或電容耦合電漿(CCP)處理系統中實施。
在含矽層110包括基本上由矽所製成之材料之另一實施例中,電漿蝕刻處理可在本技術領域中具有通常知識者已知之CCP處理系統中實施。
參考圖1E,已圖案化的金屬光阻層106及任何剩餘的含矽層110被選擇性地去除。一旦去除剩餘的含矽層110,就可使用,例如,包括鹵素或氫化學品(與表面清潔處理中所使用之鹵素或氫化學品類似)之電漿蝕刻處理以去除已圖案化的金屬光阻層106,如圖1D中所述。
圖2係流程圖,繪示根據本案之實施例之表面清潔處理之示例性處理流程,用於去除來自蝕刻遮罩之殘留光阻。
如方塊202所示並參考圖1A所述,已圖案化的金屬光阻層106係形成在待圖案化的層104上方,待圖案化的層104係形成在半導體基板102上方。如上所述,金屬缺陷108係形成在介於已圖案化的金屬光阻層106之已圖案化部分之間之待圖案化的層104之上表面上,覆蓋著應當透過已圖案化的金屬光阻層106而暴露之待圖案化的層104之一部分。
如接下來在方塊204中所示並參考圖1B所述,含矽層110係藉由使用選擇性沉積處理而選擇性地沉積包含矽之材料而形成。選擇性沉積處理可包括CVD處理或電漿沉積處理。含矽層110可包括矽氧化物層或基本上由矽原子所製成之層,並且可以圖1B中所述及所示之相同方式而形成。
如上所述,含矽層110有利地形成保護蓋在已圖案化的金屬光阻層106上方,以在用以去除金屬缺陷108之後續表面清潔步驟期間提供保護。
如接下來在方塊206中所示並參考圖1C所述,藉由使待圖案化的層104以及覆蓋有含矽層110之已圖案化的金屬光阻層106暴露至電漿處理,使用表面清潔處理以去除金屬缺陷108。表面清潔電漿可以圖1C中所述之相同方式而形成並且可包括相同的材料。
如上所述,表面清潔處理係去除金屬缺陷108,同時含矽層110係保護已圖案化的金屬光阻層106,從而形成具有改善的水平輪廓之無缺陷的蝕刻遮罩。在此實施例中,以與圖1C中所述及所示之相同方式,表面清潔處理對含矽層110具有高選擇性,且無缺陷的蝕刻遮罩包括由含矽層110所覆蓋之已圖案化的金屬光阻層106。在其它實施例中,表面清潔處理對含矽層110可能沒有選擇性,因此含矽層110為犧牲層,且無缺陷的蝕刻遮罩僅包括已圖案化的金屬光阻層106。
如上所述,在形成已圖案化的金屬光阻層106及含矽層110之後立即使用表面清潔處理而去除金屬缺陷108之一優點為,其可減少半導體製造所需之下方層之數量,從而減少處理時間及降低處理成本。
如接下來在方塊208中所示並參考圖1D所述,使用被含矽層110所覆蓋之已圖案化的金屬光阻層106做為蝕刻遮罩,使用指向性蝕刻處理以圖案化待圖案化的層104。如上所述,因為指向性蝕刻處理對含矽層110可能沒有選擇性,所以指向性蝕刻處理亦可去除含矽層110。指向性蝕刻處理可包括感應耦合電漿或電容耦合電漿,以圖1D中所述之相同方式。在含矽層110為犧牲層之其它實施例中,使用電容耦合電漿,透過僅包括已圖案化的金屬光阻層106之蝕刻遮罩而將待圖案化的層104暴露於指向性蝕刻,以圖案化待圖案化的層104。
如接下來在方塊210中所示並參考圖1E所述,使用具有與方塊206中使用之類似蝕刻化學品之電漿蝕刻處理,去除已圖案化的金屬光阻層106。
圖3A-3H繪示根據本案之實施例在不同製造階段期間之半導體裝置之橫剖面圖,其中圖3A繪示在形成已圖案化的金屬光阻層之後之裝置,圖3B繪示在沉積第一材料於待圖案化的層之暴露部分上之後之裝置,圖3C繪示在選擇性地去除已圖案化的金屬光阻層之後之裝置,圖3D繪示在使用第二材料來替換已圖案化的光阻層之後之裝置,圖3E繪示在選擇性去除第一材料並形成蝕刻遮罩之後之裝置,圖3F繪示在表面清潔處理之後之裝置,圖3G繪示在形成裝置元件圖案於待圖案化的層中之後之裝置,圖3H繪示在去除蝕刻遮罩之後之裝置。
參考圖3A,已圖案化的金屬光阻層106係形成在待圖案化的層104上方,該待圖案化的層104係形成在半導體基板102上方。已圖案化的金屬光阻層106可以圖1A中所述之相同方式而形成並且可包括相同的材料,其中已圖案化的金屬光阻層106包括裝置元件圖案,該裝置元件具有透過已圖案化的金屬光阻層106而暴露之待圖案化的層104之第一組區域107。類似地,待圖案化的層104與半導體基板102可以圖1A中所述之相同方式而形成並且可包括相同的材料。
如上所述,以圖1A中所述相同的方式,本發明之實施例考慮本技術領域中具有通常知識者所知之其它中間層之存在。
在本實施例中,在已圖案化的金屬光阻層106之顯影之後,包括殘留有機金屬之金屬缺陷108(例如,橋接缺陷)係形成在待圖案化的層104之第一組區域107之區域上方,導致具有扭曲輪廓之有缺陷的蝕刻遮罩,如圖 1A 中所示。金屬缺陷108可以圖1A中所述及所示之相同方式而形成並且可包括相同的材料。
參考圖3B,第一材料114係選擇性地沉積在待圖案化的層104之第一組區域107上方,覆蓋著第一組區域。例如,第一材料114可包括基於矽的材料(例如,矽氧化物)。
在各種實施例中,打算將已圖案化的金屬光阻層106去除並且用不同材料來代替,形成在後續步驟中之已圖案化的蝕刻遮罩。有利地,第一材料114係選擇以,對於所使用之蝕刻處理,具有比已圖案化的金屬光阻層106更高的蝕刻選擇性,確保已圖案化的金屬光阻層106被去除,而第一材料保持在原位,從而保留裝置元件之原始圖案。
在一實施例中,藉由使待圖案化的層104暴露於包含矽前驅物及一或更多惰性載氣(例如,氦、氬及氮)之氣體混合物,第一材料114可沉積在待圖案化的層104之第一組區域107上。含矽層110可在專用沉積工具或電漿蝕刻腔室中形成。在一實施例中,第一材料114包括,例如,使用化學氣相沉積(CVD)所沉積之矽氧化物,其中氣體混合物可包括矽前驅物,例如四氯化矽、氧及一或更多載氣。亦可使用其它矽前驅物氣體,例如四氟化矽。在其它實施例中,例如,可使用電漿沉積處理(例如,PECVD)來沉積第一材料114。沉積處理通常會過度填充在相鄰的已圖案化金屬光阻層106之間之溝槽。
在沉積之後,例如,使用化學機械平坦化來去除過度填充物,以平坦化第一材料114。在各種實施例中,如本技術領域中具有通常知識者所理解,在沉積第一材料114之後,可使用化學機械拋光(CMP)處理,以確保已圖案化的金屬光阻層106及第一材料114在待圖案化的層104上具有一致的膜厚度(差異最小)。
參考圖3C,已圖案化的金屬光阻層106被選擇性去除,使待圖案化的層104之第二組區域109暴露。已圖案化的金屬光阻層106可被選擇性地去除,其係使用包括氣相蝕刻劑(例如BCl 3、HBr 或氫)之乾式電漿蝕刻處理。在各種實施例中,乾式蝕刻電漿可在如圖3B中所述之第一材料114之相同工具中而形成。
參考圖3D,待圖案化的層104之新暴露的第二組區域109係被第二材料116所覆蓋,使得已圖案化的金屬光阻層106被第二材料116所取代。第二材料116可包括矽,並且是與第一材料114不同的材料。在此實施例中,第二材料116可包括,例如,矽氮化物、矽氮氧化物。可使用電漿沉積處理(例如,PECVD處理)以沉積第二材料116,其中處理氣體混合物可包括矽前驅物,例如矽烷及氨或氮。在各種實施例中,第二材料可在如圖3B中所述之第一材料114之相同沉積工具中而形成及沉積。在各種實施例中,如本技術領域中具有通常知識者所理解,在沉積第二材料116之後,可使用CMP處理,以確保第一材料114及第二材料116在待圖案化的層104上具有一致的膜厚度(差異最小)。
如上所述,打算將形成為第二材料116之裝置元件之圖案使用做為蝕刻遮罩,以在後續步驟中將裝置元件之圖案轉移至待圖案化的層104。
有利地,以與上述相同的方式,第二材料116係選擇以,對於所使用之蝕刻處理,具有比第一材料更高的蝕刻選擇性。第二材料116對於蝕刻處理具有比第一材料114更高的蝕刻選擇性之一優點為,其確保第一材料114被選擇性地去除,從而形成包括先前形成在已圖案化的金屬光阻層106中之裝置元件之相同圖案之蝕刻遮罩。
參考圖3E,藉由將基板暴露至第一電漿,第一材料114被選擇性地蝕刻,重新暴露待圖案化的層104之第一組區域107及金屬缺陷108。第一電漿可在具有處理氣體之電漿處理中產生,處理氣體可包括碳氟化物(例如C 4F 8)、氧、及一或更多載氣(包括氦、氬及氮)。在其它實施例中,亦可使用例如C 3F 8或C 4F 6之碳氟化合物。
如上所述,當暴露於第一電漿時,第二材料116係以比第一材料114明顯更慢的速率被蝕刻,允許第一材料114被選擇性地去除,形成包括第二材料116之蝕刻遮罩。
參考圖3F,藉由將待圖案化的層104之重新暴露的第一組區域107暴露至第二電漿而使用表面清潔處理以選擇性地去除金屬缺陷108,形成無缺陷的蝕刻遮罩(亦即,沒有金屬缺陷108之蝕刻遮罩)。例如,第二電漿可在具有處理氣體(例如,BCl 3、HBr或H 2)之電漿處理中產生。
參考圖3G,使用包括第二材料116之蝕刻遮罩,藉由使用第三電漿而使待圖案化的層104透過第二材料116而暴露,待圖案化的層104之第一組區域107(亦即,裝置元件之圖案)被蝕刻至待圖案化的層104中。第三電漿可在具有處理氣體之電漿處理中產生,處理氣體可包括碳氟化物(例如C 4F 8)、氧、及一或更多載氣(包括氦、氬及氮)。亦可使用例如C 3F 8或C 4F 6之其它碳氟化合物。在各種實施例中,第一電漿、第二電漿及第三電漿全部可在同一電漿處理工具中形成。
在各種實施例中,選擇性地去除第一材料114、表面清潔處理以及蝕刻待圖案化的層104中之第一組區域皆在單一專用工具或單一電漿蝕刻腔室中之單一共同蝕刻處理中依序地執行。
圖4繪示圖表118,說明這樣的單一共同蝕刻處理配方之處理流程及參數。參考圖4且如圖3D-3E之橫剖面圖所示,第一電漿由第一組處理氣體120所產生,第一組處理氣體120包括碳氟化合物(例如C 4F 8)、氧及載氣(例如氬)以去除第一材料114。圖3D之半導體基板102係暴露至第一流率之第一組處理氣體120、第一RF來源功率及第一偏壓功率而持續第一預定時間量。第一預定時間量可選擇自介於10與60秒之間之時間範圍,第一流率可選擇自介於100與500 sccm之間之流率範圍,第一RF來源功率可選擇自100至800 W之範圍,且第一偏壓功率可選擇自100至500 W之範圍。在其它實施例中,可使用使用單獨的蝕刻腔室之化學氧化物去除(COR)處理,以去除第一材料114。
在暴露於第一組處理氣體 120 之後,如圖 3E-3F 之橫剖面圖所示,第二電漿由第二組處理氣體122所產生,第二組處理氣體122包括蝕刻氣體(例如BCl 3、或H 2、或CH 4、或HBr)以及載氣(例如氬),以便去除金屬缺陷108。圖3E之半導體基板102係暴露至第二流率之第二組處理氣體122而持續第二預定時間量。在一些實施例中,第二預定時間量及第二流率可小於第一預定時間量及第一流率。第二預定時間量可選擇自介於2與10秒之間之時間範圍,用於蝕刻氣體之第二流率可選擇自介於10與200 sccm之間之流率範圍,用於載氣之第二流率可選擇自介於200與1000 sccm之間之流率範圍。
在暴露至第二組處理氣體122之後,如圖3F-3G之橫剖面圖所示,半導體基板102再次暴露至第一組處理氣體120,以便將第一組區域107蝕刻至待圖案化的層104中。半導體基板102再次暴露至第一組處理氣體120以蝕刻穿過待圖案化的層104。蝕刻處理時間可基於待圖案化的層104之厚度而選擇。例如,若待圖案化的層104具有20 nm之厚度,則可使用選擇自介於10與60秒之間之範圍之蝕刻處理時間。
參考圖3H,包括第二材料116之蝕刻遮罩被選擇性地去除。如本技術領域中具有通常知識者所知,例如,電漿蝕刻處理可用於去除蝕刻遮罩。
圖5係流程圖,繪示根據本案之替代實施例之待圖案化的層之表面清潔處理之示例性處理流程,以便去除來自蝕刻遮罩之殘留光阻。
如方塊212所示及參考圖3A所述,已圖案化的金屬光阻層106係形成在待圖案化的層104上方,該待圖案化的層104係形成在半導體基板102上方。如上所述,包含殘留光阻之金屬缺陷108(例如,橋接缺陷)係形成在待圖案化的層104之第一組區域107之區域上方,導致具有扭曲輪廓之有缺陷的蝕刻遮罩。金屬缺陷108、已圖案化的金屬光阻層106、待圖案化的層104及半導體基板102可包括與前述實施例中所描述及繪示之相同材料並且可以相同方式形成。
如接著在方塊214中所示並參考圖3B所述,第一材料114係選擇性地沉積在待圖案化的層104之第一組區域107上方。
如接著在方塊216中所示並參考圖3C所述,已圖案化的金屬光阻層106被選擇性地去除,暴露待圖案化的層104之第二組區域109。可使用乾式電漿蝕刻處理而選擇性地去除已圖案化的金屬光阻層106。乾式電漿蝕刻處理可以圖3C中所述之相同方式而形成。
如接著在方塊218中所示並參考圖3D所述,第二材料116係選擇性地沉積在待圖案化的層104之第二組區域109上方。
如接著在方塊220中所示並參考圖3E所述,使用第一電漿以選擇性地去除第一材料114,重新暴露待圖案化的層104之第一組區域107。第一電漿可以圖 3E 中所述之相同方式而形成。
如接著在方塊222中所示並參考圖3F所述,使用第二電漿以選擇性地去除金屬缺陷108,形成具有改善的水平輪廓之無缺陷蝕刻遮罩。第二電漿可以圖3F中所述之相同方式而形成。
如接著在方塊224中所示並參考圖3G所述,使用第二材料116做為蝕刻遮罩,待圖案化的層104之重新暴露的第一組區域107(亦即,裝置元件之圖案)透過第三電漿被蝕刻至待圖案化的層104中。第三電漿可以圖3G中所述之相同方式而形成。
如上所述,方塊220、222及224中所述之步驟可在同一工具中形成,並且可以圖4中所述之相同方式使用單一蝕刻配方而依序地執行。
如接著在方塊226中所示並參考圖3H所述,使用本技術領域中具有通常知識者已知之電漿蝕刻處理以去除第二材料116。
雖然本發明已經參考示例性的實施例而加以描述,但此描述不應被解釋為限制性的。對於熟悉此項技藝者而言,在參考此描述之後,示例性實施例之各種修改及組合以及本發明之其它實施例將是顯而易見的。因此,所附申請專利範圍應當包括任何這樣的修改或實施例。
102:半導體基板 104:待圖案化的層 106:圖案化的金屬光阻層 107:第一組區域 108:金屬缺陷 109:第二組區域 110:含矽層 114:第一材料 116:第二材料 118:圖表 120:第一組處理氣體 122:第二組處理氣體 202-210:方塊 212-226:方塊
為了更完整地理解本發明及其優點,現在參照下方的實施方式並結合隨附圖式,其中:
圖1A-1E繪示根據本案之實施例之在不同製造階段期間之半導體裝置之橫剖面圖,其中圖1A繪示在形成已圖案化的金屬光阻層之後之裝置之橫剖面圖及俯視圖,圖1B繪示在沉積保護性含矽層於形成蝕刻遮罩之已圖案化的金屬光阻層上之後之裝置之橫剖面圖,圖1C繪示在表面清潔處理之後之裝置之橫剖面圖,圖1D繪示在形成裝置元件圖案於待圖案化的層中之後之裝置之橫剖面圖,圖1E繪示在去除蝕刻遮罩之後之裝置之橫剖面圖;
圖2係流程圖,繪示根據本案之實施例之表面清潔處理之示例性處理流程,用於去除來自蝕刻遮罩之殘留光阻;
圖3A-3H繪示根據本案之實施例在不同製造階段期間之半導體裝置之橫剖面圖,其中圖3A繪示在形成已圖案化的金屬光阻層之後之裝置,圖3B繪示在沉積第一材料於待圖案化的層之暴露部分上之後之裝置,圖3C繪示在選擇性地去除已圖案化的金屬光阻層之後之裝置,圖3D繪示在使用第二材料來替換已圖案化的光阻層之後之裝置,圖3E繪示在選擇性去除第一材料並形成蝕刻遮罩之後之裝置,圖3F繪示在表面清潔處理之後之裝置,圖3G繪示在形成裝置元件圖案於待圖案化的層中之後之裝置,圖3H繪示在去除蝕刻遮罩之後之裝置;
圖4係圖表,繪示一組處理參數,用於使用單一共同蝕刻處理以形成裝置元件之圖案於待圖案化的層中及實施表面清潔處理;及
圖5係流程圖,繪示根據本案之替代實施例之待圖案化的層之表面清潔處理之示例性處理流程,以便去除來自蝕刻遮罩之殘留光阻。
202-210:方塊

Claims (41)

  1. 一種半導體元件之形成方法,包括: 沉積一金屬光阻層在一待圖案化的層上方,該待圖案化的層係形成在一基板上方; 使用一微影處理而圖案化該金屬光阻層,以形成一已圖案化的金屬光阻層並且暴露該待圖案化的層之複數部分; 藉由使該基板暴露至一氣體混合物,以選擇性地沉積一含矽層在該已圖案化的金屬光阻層上方,該氣體混合物包括一矽前驅物,該含矽層係優先地沉積在該金屬光阻層之頂表面上方;及 藉由使該待圖案化的層及被該含矽層所覆蓋之該已圖案化的金屬光阻層暴露至具有一蝕刻化學品之一電漿處理,以實施一表面清潔處理,該蝕刻化學品包括一鹵素或氫。
  2. 如請求項1之半導體元件之形成方法,更包括:藉由使該待圖案化的層暴露至一指向性蝕刻處理、利用一蝕刻遮罩以圖案化該待圖案化的層,該蝕刻遮罩包括被該含矽層所覆蓋之該已圖案化的金屬光阻層。
  3. 如請求項1之半導體元件之形成方法,其中圖案化該金屬光阻層包括:形成一金屬缺陷在該待圖案化的層之該等暴露部分其中一者上方,及其中該表面清潔處理係去除該金屬缺陷。
  4. 如請求項1之半導體元件之形成方法,其中該氣體混合物包括氧且該矽前驅物包括四氯化矽,及其中該含矽層包括矽氧化物。
  5. 如請求項4之半導體元件之形成方法,更包括:藉由使被一蝕刻遮罩所覆蓋之該待圖案化的層暴露至一感應耦合電漿或一電容耦合電漿,以圖案化該待圖案化的層,該蝕刻遮罩包括被該含矽層所覆蓋之該已圖案化的金屬光阻層。
  6. 如請求項1之半導體元件之形成方法,其中該矽前驅物包括二氯矽烷,及其中該含矽層包括一矽層。
  7. 如請求項6之半導體元件之形成方法,更包括:藉由使被該蝕刻遮罩所覆蓋之該待圖案化的層暴露至一電容耦合電漿,以圖案化該待圖案化的層,該蝕刻遮罩包括被該含矽層所覆蓋之該已圖案化的金屬光阻層。
  8. 如請求項1之半導體元件之形成方法,其中該金屬光阻層更包括一金屬氧化物極紫外線(EUV)光阻,及其中形成該已圖案化的金屬光阻層更包括: 使該金屬光阻層暴露至一EUV光束;及 在暴露以形成該已圖案化的金屬光阻層之後,使該金屬光阻層顯影。
  9. 如請求項1之半導體元件之形成方法,其中該含矽層係選擇性地沉積在該已圖案化的光阻層之該頂表面及側壁上。
  10. 如請求項1之半導體元件之形成方法,其中包括該鹵素或氫之該蝕刻化學品係包括BCl 3或HBr。
  11. 一種半導體元件之形成方法,包括: 沉積一金屬光阻層在一待圖案化的層上方,該待圖案化的層係設置在一基板上方; 使用一極紫外線(EUV)微影處理而圖案化該金屬光阻層,以形成一已圖案化的金屬光阻層並且暴露該待圖案化的層之複數部分,其中該圖案化係留下一金屬殘留物在該待圖案化的層之該等暴露部分其中一者上方; 使用一含矽材料而覆蓋該已圖案化的金屬光阻層之一頂表面; 在以該含矽材料而覆蓋該已圖案化的金屬光阻層之該頂表面時,使用包括一鹵素之一電漿處理而選擇性地去除該金屬殘留物;及 使用一指向性電漿蝕刻處理而圖案化該待圖案化的層。
  12. 如請求項11之半導體元件之形成方法,其中該含矽材料係選擇性地沉積在該已圖案化的金屬光阻層之該頂表面及側壁上。
  13. 如請求項11之半導體元件之形成方法,其中使用該含矽材料而覆蓋該已圖案化的金屬光阻層之該頂表面係包括:實施一化學氣相沉積處理以沉積一矽氧化物層。
  14. 如請求項13之半導體元件之形成方法,其中實施該化學氣相沉積處理包括:使四氯化矽及氧流動至一沉積腔室中,以選擇性地沉積該矽氧化物層在該已圖案化的金屬光阻層上。
  15. 如請求項11之半導體元件之形成方法,其中使用該含矽材料而覆蓋該已圖案化的金屬光阻層之該頂表面包括:實施一電漿沉積處理以沉積一矽層,其中實施該電漿沉積處理包括:由包括二氯矽烷之一氣體混合物而產生一電漿。
  16. 一種半導體元件之形成方法,包括: 沉積一金屬光阻層在一待圖案化的層上方,該待圖案化的層係設置在一基板上方; 使用一極紫外線(EUV)微影處理而圖案化該金屬光阻層,以形成一已圖案化的金屬光阻層,以便暴露該待圖案化的層之一第一組區域; 沉積一第一材料在該第一組區域上方; 選擇性地去除該已圖案化的金屬光阻層,以暴露該待圖案化的層之一第二組區域; 沉積一第二材料而覆蓋該待圖案化的層之該第二組區域,該第二材料係不同於該第一材料; 選擇性地去除該第一材料,以重新暴露該待圖案化的層之該第一組區域; 藉由使該待圖案化的層之該第一組區域暴露至一電漿處理,以實施一表面清潔處理;及 蝕刻該待圖案化的層之該第一組區域,以在該待圖案化的層中形成一圖案。
  17. 如請求項16之半導體元件之形成方法,其中該第一材料包括矽氧化物,且該第二材料包括矽氮化物。
  18. 如請求項16之半導體元件之形成方法,其中該去除該第一材料、該實施該表面清潔處理及該蝕刻該第一組區域係以一共同蝕刻處理而依序實施。
  19. 如請求項16之半導體元件之形成方法,其中該去除該第一材料包括:暴露至由包括氟碳化物及氧之一氣體所產生之一第一電漿,其中實施該表面清潔處理包括:暴露至由鹵素、H 2或CH 4所產生之一第二電漿,及其中蝕刻該第一組區域包括:暴露至由包括氟碳化物及氧之一氣體所產生之一第三電漿。
  20. 如請求項16之半導體元件之形成方法,其中在圖案化該金屬光阻層時,一橋接缺陷係形成在該待圖案化的層之該第一組區域之一區域之一主表面上。
  21. 如請求項1之半導體元件之形成方法,其中包括該鹵素或氫之該蝕刻化學品係包括H 2或CH 4
  22. 一種半導體元件之形成方法,包括: 沉積一金屬光阻層在一待圖案化的層上方,該待圖案化的層係形成在一基板上方; 使用一微影處理而圖案化該金屬光阻層,以形成一已圖案化的金屬光阻層並且暴露該待圖案化的層之複數部分; 藉由使該基板暴露至一氣體混合物,以選擇性地沉積一遮罩層在該已圖案化的金屬光阻層上方;及 藉由使該待圖案化的層及被該遮罩層所覆蓋之該已圖案化的金屬光阻層暴露至一電漿處理,以實施一表面清潔處理。
  23. 如請求項22之半導體元件之形成方法,更包括:藉由使該待圖案化的層暴露至一指向性蝕刻處理、利用一蝕刻遮罩以圖案化該待圖案化的層,該蝕刻遮罩包括被該遮罩層所覆蓋之該已圖案化的金屬光阻層。
  24. 如請求項22之半導體元件之形成方法,其中圖案化該金屬光阻層包括:形成一金屬缺陷在該待圖案化的層之該等暴露部分其中一者上方,及其中該表面清潔處理係去除該金屬缺陷。
  25. 如請求項22之半導體元件之形成方法,其中該氣體混合物包括矽前驅物,該矽前驅物包括四氯化矽,及其中該遮罩層包括矽氧化物。
  26. 如請求項25之半導體元件之形成方法,更包括:藉由使被一蝕刻遮罩所覆蓋之該待圖案化的層暴露至一感應耦合電漿或一電容耦合電漿,以圖案化該待圖案化的層,該蝕刻遮罩包括被該遮罩層所覆蓋之該已圖案化的金屬光阻層。
  27. 如請求項25之半導體元件之形成方法,其中該矽前驅物包括二氯矽烷,及其中該遮罩層包括一矽層。
  28. 如請求項27之半導體元件之形成方法,更包括:藉由使被一蝕刻遮罩所覆蓋之該待圖案化的層暴露至一電容耦合電漿,以圖案化該待圖案化的層,該蝕刻遮罩包括被該遮罩層所覆蓋之該已圖案化的金屬光阻層。
  29. 如請求項22之半導體元件之形成方法,其中該金屬光阻層更包括一金屬氧化物極紫外線(EUV)光阻,及其中形成該已圖案化的金屬光阻層更包括: 使該金屬光阻層暴露至一EUV光束;及 在暴露以形成該已圖案化的金屬光阻層之後,使該金屬光阻層顯影。
  30. 如請求項22之半導體元件之形成方法,其中該遮罩層係選擇性地沉積在該已圖案化的金屬光阻層之一頂表面及側壁上。
  31. 如請求項22之半導體元件之形成方法,其中該電漿處理包括一蝕刻化學品,該蝕刻化學品包括BCl 3、HBr、H 2或CH 4
  32. 一種半導體元件之形成方法,包括: 沉積一金屬光阻層在一待圖案化的層上方,該待圖案化的層係設置在一基板上方; 使用一極紫外線(EUV)微影處理而圖案化該金屬光阻層,以形成一已圖案化的金屬光阻層並且暴露該待圖案化的層之複數部分,其中該圖案化係留下一金屬殘留物在該待圖案化的層之該等暴露部分其中一者上方; 使用一遮罩材料而覆蓋該已圖案化的金屬光阻層之一頂表面; 在以該遮罩材料而覆蓋該已圖案化的金屬光阻層之該頂表面時,使用一電漿處理而選擇性地去除該金屬殘留物;及 使用一指向性電漿蝕刻處理而圖案化該待圖案化的層。
  33. 如請求項32之半導體元件之形成方法,其中該遮罩材料係選擇性地沉積在該已圖案化的金屬光阻層之該頂表面及側壁上。
  34. 如請求項32之半導體元件之形成方法,其中使用該遮罩材料而覆蓋該已圖案化的金屬光阻層之該頂表面係包括:實施一化學氣相沉積處理以沉積一矽氧化物層。
  35. 如請求項34之半導體元件之形成方法,其中實施該化學氣相沉積處理包括:使四氯化矽及氧流動至一沉積腔室中,以選擇性地沉積該矽氧化物層在該已圖案化的金屬光阻層上。
  36. 如請求項32之半導體元件之形成方法,其中使用該遮罩材料而覆蓋該已圖案化的金屬光阻層之該頂表面包括:實施一電漿沉積處理以沉積一矽層,其中實施該電漿沉積處理包括:由包括二氯矽烷之一氣體混合物而產生一電漿。
  37. 一種半導體元件之形成方法,包括: 實施一極紫外線(EUV)微影處理,以形成一已圖案化的金屬光阻層在一待圖案化的層上方並且暴露該待圖案化的層之複數部分,其中該圖案化係留下一含金屬缺陷在該待圖案化的層之該等暴露部分其中一者上方; 實施一沉積處理,以形成一遮罩材料在該已圖案化的金屬光阻層及該待圖案化的層上方; 實施一修整處理,以暴露該含金屬缺陷; 選擇性蝕刻該含金屬缺陷,以暴露該待圖案化的層之該等部分之全部;及 使用該已圖案化的金屬光阻層做為一蝕刻遮罩而圖案化該待圖案化的層。
  38. 如請求項37之半導體元件之形成方法,更包括:重複該沉積處理及該修整處理,其中該沉積處理及該修整處理係一循環處理之部分。
  39. 如請求項37之半導體元件之形成方法,其中實施該沉積處理包括:實施一化學氣相沉積處理,以沉積一矽氧化物層。
  40. 如請求項39之半導體元件之形成方法,其中實施該化學氣相沉積處理包括:使四氯化矽及氧流動至一沉積腔室中,以選擇性地沉積該矽氧化物層在該已圖案化的金屬光阻層上。
  41. 如請求項37之半導體元件之形成方法,其中實施該沉積處理包括:實施一電漿沉積處理以沉積一矽層,其中實施該電漿沉積處理包括:由包括二氯矽烷之一氣體混合物而產生一電漿。
TW110145178A 2020-12-04 2021-12-03 金屬光阻上的缺陷校正 TW202236417A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/111,652 2020-12-04
US17/111,652 US11094543B1 (en) 2020-12-04 2020-12-04 Defect correction on metal resists

Publications (1)

Publication Number Publication Date
TW202236417A true TW202236417A (zh) 2022-09-16

Family

ID=77274134

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110145178A TW202236417A (zh) 2020-12-04 2021-12-03 金屬光阻上的缺陷校正

Country Status (3)

Country Link
US (2) US11094543B1 (zh)
TW (1) TW202236417A (zh)
WO (1) WO2022119970A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11537049B2 (en) * 2019-02-26 2022-12-27 Tokyo Electron Limited Method of line roughness improvement by plasma selective deposition
US11094543B1 (en) * 2020-12-04 2021-08-17 Tokyo Electron Limited Defect correction on metal resists
US20230274932A1 (en) * 2022-02-28 2023-08-31 Tokyo Electron Limited Selective inhibition for selective metal deposition
US20230326755A1 (en) * 2022-04-08 2023-10-12 Tokyo Electron Limited Patterning Features with Metal Based Resists
US20240063019A1 (en) * 2022-08-16 2024-02-22 Tokyo Electron Limited Patterning a Semiconductor Substrate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7473496B2 (en) * 2004-11-04 2009-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for repairing opaque defects in photolithography masks
KR100877101B1 (ko) * 2007-05-11 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 마스크 형성방법
US9870612B2 (en) * 2016-06-06 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for repairing a mask
US10937663B2 (en) 2018-09-25 2021-03-02 Varian Semiconductor Equipment Associates, Inc. Selective photoresist etching for bridge defect removal
US10658180B1 (en) 2018-11-01 2020-05-19 International Business Machines Corporation EUV pattern transfer with ion implantation and reduced impact of resist residue
US11094543B1 (en) * 2020-12-04 2021-08-17 Tokyo Electron Limited Defect correction on metal resists

Also Published As

Publication number Publication date
WO2022119970A1 (en) 2022-06-09
US11605539B2 (en) 2023-03-14
US20220181153A1 (en) 2022-06-09
US11094543B1 (en) 2021-08-17

Similar Documents

Publication Publication Date Title
US11094543B1 (en) Defect correction on metal resists
US10049919B2 (en) Semiconductor device including a target integrated circuit pattern
US9583345B2 (en) Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
TWI698929B (zh) 半導體裝置的圖案化方法
TWI391988B (zh) 利用間距減縮製造裝置之方法及相關結構
JP5122106B2 (ja) 炭素含有膜エッチング方法及びこれを利用した半導体素子の製造方法
US6156485A (en) Film scheme to solve high aspect ratio metal etch masking layer selectivity and improve photo I-line PR resolution capability in quarter-micron technology
US20110121457A1 (en) Process for Reversing Tone of Patterns on Integrated Circuit and Structural Process for Nanoscale Production
US7067435B2 (en) Method for etch-stop layer etching during damascene dielectric etching with low polymerization
CN110890321A (zh) 半导体结构的制作方法
US11837471B2 (en) Methods of patterning small features
US8089153B2 (en) Method for eliminating loading effect using a via plug
TW202109618A (zh) 圖案化半導體裝置的方法
CN112017946A (zh) 半导体结构的形成方法、晶体管
WO2007116515A1 (ja) 半導体装置及びその製造方法、ドライエッチング方法、並びに配線材料の作製方法
US7192880B2 (en) Method for line etch roughness (LER) reduction for low-k interconnect damascene trench etching
TWI252535B (en) Method for forming contact plug of semiconductor device
JP3258199B2 (ja) 半導体装置のパターン形成方法
KR101175267B1 (ko) 반도체소자의 메탈라인 패터닝 방법
US20230326755A1 (en) Patterning Features with Metal Based Resists
KR100777925B1 (ko) 금속 배선 형성 방법
WO2024039499A1 (en) Patterning a semiconductor substrate
KR100766274B1 (ko) 금속 배선 형성 방법