TW202123335A - 形成半導體裝置結構的方法 - Google Patents

形成半導體裝置結構的方法 Download PDF

Info

Publication number
TW202123335A
TW202123335A TW109115731A TW109115731A TW202123335A TW 202123335 A TW202123335 A TW 202123335A TW 109115731 A TW109115731 A TW 109115731A TW 109115731 A TW109115731 A TW 109115731A TW 202123335 A TW202123335 A TW 202123335A
Authority
TW
Taiwan
Prior art keywords
layer
photoresist
plasma treatment
hydrogen plasma
hard mask
Prior art date
Application number
TW109115731A
Other languages
English (en)
Other versions
TWI744897B (zh
Inventor
謝昇霖
陳奕志
謝靜佩
陳冠蓉
Original Assignee
台灣積體電路製造股份有限公司
大陸商台積電(南京)有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司, 大陸商台積電(南京)有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202123335A publication Critical patent/TW202123335A/zh
Application granted granted Critical
Publication of TWI744897B publication Critical patent/TWI744897B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一種形成一半導體裝置結構的方法。此方法包括在基板上方形成光阻結構。此光阻結構包括抗反射塗層(ARC)層及在ARC層上方的光阻劑層。此方法進一步包括對光阻劑層進行圖案化以在光阻劑層中形成溝槽。此方法進一步包括對圖案化的光阻劑層執行氫電漿處理。氫電漿處理係用以在不蝕刻ARC層的情況下使溝槽的側壁平滑。此方法進一步包括使用圖案化的光阻劑層作為蝕刻遮罩對ARC層進行圖案化。

Description

改善光阻線粗糙度的溝槽蝕刻製程
積體電路含有諸如電晶體、二極體、電容器及電阻器的眾多裝置,這些裝置係在半導體基板上及/或中製造。此等裝置最初彼此隔離,且稍後在後端製程(back end of line;BEOL)處理階段中互連在一起以形成功能電路。隨著積體電路中的特徵繼續縮小,互連結構對積體電路的效能及可靠性的影響增大。
以下揭示內容提供了許多不同的實施方式或實施例,以實現所提供的標的的不同特徵。以下描述組件和配置的具體實施例,以簡化本揭示內容。這些當然僅是實施例,並不意圖限定。舉例而言,隨後的描述中的在第二特徵上方或上形成第一特徵可包括第一特徵及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可在第一特徵與第二特徵之間形成,使得第一特徵及第二特徵不可直接接觸的實施例。另外,本揭示內容可以在各種實例中重複參考數字及/或字母。此重複係出於簡化及清楚目的,且本身不決定所論述的各種實施例及/或配置之間的關係。
此外,諸如「在……下」、「在……之下」、「下部」、「在……之上」、「上方」及類似者的空間相關術語可為了易於描述而在本文中使用,以描述如圖中所圖示的一個元件或特徵與另外(多個)元件或特徵的關係。空間相關術語意欲涵蓋除了圖中所描繪的定向之外的裝置在使用或操作時的不同定向。設備可以另外定向(旋轉90度或處於其他定向),且本文中所使用的空間相關描述詞可以同樣相應地解釋。
在製造積體電路時,使用諸如微影圖案化及蝕刻的技術,以在半導體基板上形成互連結構。在微影製程中,首先沉積一光阻劑膜。接著使光阻劑膜曝露於輻射且在顯影劑(化學溶液)中顯影。顯影劑移除部分的光阻劑膜,由此形成包括線圖案及/或溝槽圖案的光阻圖案。光阻圖案在後續蝕刻製程中被用作為蝕刻遮罩,從而將所述圖案轉印至下伏層中。諸如光阻側壁角度和側壁粗糙度的光阻圖案的形態會直接影響形成於積體電路中的特徵的品質。
光阻圖案的線及/或溝槽常常形成具有隨機捲繞的邊緣。邊緣不規則性係由線邊緣粗糙度(line edge roughness; LER)界定。具有線邊緣粗糙度的線圖案的兩個邊緣導致稱作線寬粗糙度(line width roughness; LWR)的線寬度變化。較大的線寬粗糙度係不利的,此係因為蝕刻製程在將光阻圖案轉印至下伏層中時複製光阻圖案的粗糙度。由於積體電路的特徵大小在進階技術節點中繼續縮小,因此大線寬粗糙度會減小金屬線橋窗口。鄰近具有大的線寬粗糙度的互連結構容易電短路,此引起裝置降級或故障。
本揭示各實施例提供用於減小安置在基板上的光阻劑層的線寬粗糙度的方法;及所得的半導體裝置。藉由在光阻曝光及顯影程序之後對光阻劑層執行氫電漿處理來減小光阻劑層的線寬粗糙度。氫電漿中的氫自由基使在光阻劑圖案化製程期間形成的懸鍵飽和,且減小光阻圖案的線寬度粗糙度。改良的光阻粗糙度增大金屬線的橋裕量,此引起裝置可靠性提高。
第1圖係根據一或多個實施例的製造半導體裝置結構的方法100的流程圖。第2圖至第15圖係在各種製造階段期間且藉由方法100製造的例示性半導體裝置結構200的橫截面圖。參考第1圖至第15圖,方法100及例示性半導體裝置結構200將在下文共同地描述。在一些實施例中,在方法100之前、期間及/或之後執行額外操作,或替換及/或消除所描述的操作中的一些。在一些實施例中,額外特徵經添加至半導體裝置結構200。在一些實施例中,替換或消除在半導體裝置結構200中所描述的一些特徵。一般熟習此項技術者將理解,儘管部分實施例係利用以特定次序執行的操作論述,但此等操作可以另一邏輯次序執行。
參考第1圖及第2圖,根據一或多個實施例,方法100包括在基板210上方形成一層集合的操作102。在一些實施例中,此層集合包括蝕刻終止層220、層間介電(inter-layer dielectric; ILD)層230、硬式遮罩層240及第一光阻結構250。
在一些實施例中,基板210包括:半導體基板(未分開地示出),其中含有諸如場效電晶體的半導體裝置;及在半導體基板上方的至少一個介電層(未分開地示出)。此至少一個介電層埋入複數個導電結構,例如,第一導電結構212A及第二導電結構212B。在一些實施例中,這些導電結構(212A、212B)包含電連接至半導體裝置中的各種組件的閘極及源極/汲極觸點。在其他實施例中,這些導電結構(212A、212B)包含互連結構,以提供至半導體基板中的各種類型的半導體裝置的電連接。在一些實施例中,每一導電結構(212A、212B)係提供橫向電連接的一金屬線、提供垂直電連接的一金屬介層窗或至少一條金屬線與至少一個金屬介層窗的一組合。
在一些實施例中,半導體基板係包括矽的塊體半導體基板。替代地或另外地,在一些實施例中,塊體半導體基板包括:另一基本半導體,諸如鍺;一化合物半導體,諸如砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;一合金半導體,諸如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或其組合。在一些實施例中,半導體基板包括上覆於塊體半導體基板的磊晶層。此外,在一些實施例中,半導體基板係包括埋入式氧化物(buried oxide; BOX)層的絕緣體上半導體(semiconductor on insulator; SOI)基板。
所述至少一個介電層使這些導電結構(212A、212B)彼此電絕緣。在一些實施例中,此至少一個介電層包括氧化矽。在一些實施例中,此至少一個介電層包括具有小於4的介電常數(k)的低k介電材料。在一些實施例中,介電層具有小於3.5的k值。在一些實施例中,此至少一個介電層具有小於2.5的k值。合適的低k介電材料包括但不限於:正矽酸乙酯(tetraethylorthosilicat; TEOS)氧化物;未摻雜的矽酸鹽玻璃或摻雜的矽酸鹽玻璃,諸如硼磷矽玻璃(borophosphosilicate glass; BPSG)、氟矽酸鹽玻璃(fluorosilica glass; FSG)、磷矽玻璃(phosphosilicate glass; PSG)、硼摻雜矽玻璃(boron doped silicon glass; BSG);聚醯亞胺及多孔的介電材料。
導電結構212A、212B嵌入介電層中。在一些實施例中,導電結構212A、212B係由導電金屬製成,所述導電金屬諸如例如銅(Cu)、鋁(Al)、鎢(W)、鈷(Co)或其合金。
蝕刻終止層220形成於基板210上方。蝕刻終止層220可為單一層或多個層。蝕刻終止層220保護下伏的基板210免於被為蝕刻層間介電層230而執行的後續蝕刻製程損壞。另外,在一些實施例中,蝕刻終止層220亦防止金屬雜質、濕氣或其他氣態雜質擴散至基板210中。在一些實施例中,蝕刻終止層220包含氮化矽(SiN)、氧氮化矽(SiON)、碳化矽(SiC)、氮化矽碳(SiCN)、氮化硼(BN)、氮化矽硼(SiBN)、氮化矽碳硼(SiCBN)、其組合,或其他合適的材料。在一些實施例中,蝕刻終止層220係藉由CVD、PECVD、原子層沉積(atomic layer deposition; ALD)或其他合適的製程形成。蝕刻終止層220具有適合充當終止層以保護下伏的基板210免受損害的厚度,且此厚度根據製造半導體裝置結構200所在的製程節點改變。
層間介電層230形成於蝕刻終止層220上方。在一些實施例中,省略蝕刻終止層220,且層間介電層230直接在基板210上方。在一些實施例中,層間介電層230包括氧化矽。在一些實施例中,層間介電層230包括具有小於4的介電常數(k)的低k介電材料。在一些實施例中,層間介電層230具有小於3.5的k值。在一些實施例中,層間介電層230具有小於2.5的k值。合適的低k介電材料包括但不限於使用以下各物形成的氧化矽:正矽酸乙酯(tetraethylorthosilicate; TEOS)氧化物;未摻雜的矽酸鹽玻璃或摻雜的矽酸鹽玻璃,諸如硼磷矽玻璃(borophosphosilicate glass; BPSG)、氟矽酸鹽玻璃(fluorosilica glass; FSG)、磷矽酸鹽玻璃(phosphosilicate glass; PSG)、硼摻雜矽玻璃(boron doped silicon glass; BSG);及多孔介電材料。在一些實施例中,層間介電層230係藉由化學氣相沉積(chemical vapor deposition; CVD)、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)、旋塗或其他合適的沉積製程形成。
硬式遮罩層240形成於層間介電層230上方。硬式遮罩層240係用以在後續蝕刻處理期間提供相對於層間介電層230的高蝕刻選擇性。在一些實施例中,硬式遮罩層240具有包含氧化矽、氮化矽或氧氮化矽的單層結構。在一些實施例中,硬式遮罩層240具有多層結構。在一些實施例中,硬式遮罩層240具有三層結構,包括底部硬式遮罩層242、在底部硬式遮罩層242上方的中間硬式遮罩層244及在中間硬式遮罩層244上方的頂部硬式遮罩層246。
在一些實施例中,底部硬式遮罩層242包括諸如例如氧化矽的介電性氧化物材料,或具有大於4.0的介電常數的高k介電性氧化物。例示性高k介電性氧化物包括但不限於氧化鋁(Al2 O3 )、氧化鉿(HfO2 )、氧化鈦(TiO2 )及氧化鋯(ZrO2 )。在一些實施例中,底部硬式遮罩層242係藉由CVD、PECVD、ALD或其他合適的沉積製程形成。在一些實施例中,底部硬式遮罩層242包括藉由CVD或PECVD製程沉積的氧化矽,CVD或PECVD製程使用正矽酸乙酯(TEOS)和氧氣作為前驅物。
在一些實施例中,中間硬式遮罩層244包括介電性氮化物,諸如例如氮化矽。在一些實施例中,中間硬式遮罩層244包括金屬氮化物,諸如例如氮化鈦(TiN)、氮化鉭(TaN)或氮化鎢(WN)。在一些實施例中,中間硬式遮罩層244係藉由CVD、PEDVD、ALD或其他合適的沉積製程形成。
在一些實施例中,頂部硬式遮罩層246包括上文關於底部硬式遮罩層242描述的介電性氧化物或高k介電性氧化物。舉例而言,在一些實施例中,頂部硬式遮罩層246包括氧化矽、Al2 O3 、HfO2 、ZrO2 或其他合適的高k介電性氧化物。在一些實施例中,頂部硬式遮罩層246包括與底部硬式遮罩層242的材料相同的介電材料。在一些實施例中,頂部硬式遮罩層246包括不同於底部硬式遮罩層242的材料的介電材料。在一些實施例中,頂部硬式遮罩層246係藉由CVD、PECVD、ALD或其他合適的沉積製程形成。
第一光阻結構250形成於硬式遮罩層240上方。在一些實施例中,第一光阻結構250具有三層結構,包括第一有機平坦化層(organic planarization; OPL) 252、在第一有機平坦化層252上方的第一抗反射塗層(anti-reflective coating; ARC)254及在第一抗反射塗層254上方的第一光阻劑層256。在一些實施例中,省略第一有機平坦化層 252或第一有機平坦化層 252及第一抗反射塗層254兩者。
第一有機平坦化層 252包括能夠提供一平坦化表面的有機自平坦化材料,第一抗反射塗層254在所述平坦化表面上形成。在一些實施例中,第一有機平坦化層 252包括旋塗碳、類鑽石碳、聚伸芳基醚、聚醯亞胺或其他合適的有機平坦化材料。在一些實施例中,第一有機平坦化層252係藉由CVD、旋塗或其他合適的沉積製程形成。第一有機平坦化層 252形成具有足以提供平坦化表面的厚度。在一些實施例中,第一有機平坦化層 252的厚度為約50 nm至約300 nm。在一些實施例中,若第一有機平坦化層 252的厚度過小,則第一有機平坦化層 252不能提供平坦化表面。在一些實施例中,若第一有機平坦化層 252的厚度過大,則由於材料的不必要消耗及用於圖案化第一有機平坦化層 252的增加的處理時間,生產成本提高。
第一抗反射塗層254形成於第一有機平坦化層 252上。第一抗反射塗層254減少在微影術期間光自下伏層的反射,因此提高形成於第一光阻劑層256中的圖案的精度。在一些實施例中,第一抗反射塗層254包括無氮的ARC (NFARC)材料,諸如例如氧化矽或碳摻雜的氧化矽。在一些實施例中,第一抗反射塗層254係使用CVD、PVD、ALD、旋塗或其他合適的沉積製程形成。第一抗反射塗層254形成具有基於所述材料及所述波長提供足夠的抗反射品質的厚度。在一些實施例中,第一抗反射塗層254的厚度為約20 nm至約100 nm。在一些實施例中,若第一抗反射塗層254的厚度過小,則第一抗反射塗層254不能充分地減少光反射,且因此形成於第一光阻劑層256中的圖案的精度受損。在一些實施例中,若第一抗反射塗層254的厚度過大,則由於材料的不必要消耗及用於蝕刻第一抗反射塗層254的增加的處理時間,生產成本提高。
第一光阻劑層256形成於第一抗反射塗層254上。第一光阻劑層256用於界定將經由第一抗反射塗層254、第一有機平坦化層 252及硬式遮罩層240轉印至層間介電層230的第一圖案。第一光阻劑層256包含正光阻劑材料或負光阻劑材料。在一些實施例中,第一光阻劑層256包括極紫外線(extreme ultraviolet; EUV)光阻劑材料。在一些實施例中,第一光阻劑層256包括深紫外線(deep ultraviolet; DUV)光阻劑材料。在一些實施例中,第一光阻劑層256包含具有在2,000至20,000範圍內的分子量的有機或無機聚合物。在一些實施例中,第一光阻劑層256係藉由旋塗或其他合適的沉積製程沉積。在一些實施例中,第一光阻劑層256具有在約35 nm至約50 nm範圍內的厚度。第一光阻劑層256的厚度大於第一抗反射塗層254的厚度。舉例而言,在一些實施例中,若第一光阻劑層256的厚度過小,則第一光阻劑層256將在第一抗反射塗層254的圖案化期間移除,此導致第一光阻劑層256的圖案至層間介電層230的不準確轉印。在一些實施例中,若第一光阻劑層256的厚度過大,則由於材料的不必要消耗及用於圖案化第一光阻劑層256的增加的處理時間,生產成本提高。
參考第1圖及第3圖,根據一或多個實施例,方法100進行至操作104,在此操作中,以微影方式對第一光阻劑層256圖案化,以在第一光阻劑層中形成包括複數個第一溝槽260的第一圖案。為簡單起見,在第3圖中示出了單一第一溝槽260。在一些實施例中,第一圖案對應於將在後續處理期間轉印至層間介電層230的金屬線的圖案。第一溝槽260暴露在第一光阻劑層256下的第一抗反射塗層254的一部分,所述部分實質上對準所述導電結構中的一者,例如第一導電結構212A。
在一些實施例中,用於對第一光阻劑層256圖案化的微影製程包括使第一光阻劑層256曝露於一輻射圖案,及視第一光阻劑層256中是否使用正或負光阻而定,用光阻顯影劑顯影第一光阻劑層256的暴露或未暴露部分。在一些實施例中,微影製程係涉及波長在193 nm至248 nm範圍內的輻射的DUV微影製程。在一些實施例中,微影製程係涉及波長為近似13.5 nm的輻射的EUV微影製程。與具有較長波長的輻射相比,EUV輻射的短波長實現第一光阻劑層256的密集圖案化。增大的圖案密度使半導體裝置的臨界尺寸減小且促進技術節點縮小。
然而,微影製程中的各種因素,諸如曝光製程中的光強度變化及/或用於光阻顯影製程的化學溶液,造成第一光阻劑層256中的不良臨界尺寸控制,由此沿著第一溝槽260的側壁262產生線寬粗糙度。隨著微影術轉至較短波長,線寬粗糙度問題變得更嚴重。巨大的線寬粗糙度產生至下伏層的不準確特徵轉印,從而使相鄰金屬線之間電短路的風險增加,且最終裝置降級或故障和良率損失。
參考第1圖及第4圖,方法100進行至操作106,在此操作中,對第一光阻劑層256執行第一氫電漿處理。氫電漿中的帶正電的氫原子(H+ )使第一溝槽260的側壁表面上的懸鍵飽和,由此使第一溝槽260的側壁262平滑。因此,第一光阻劑層256中的溝槽圖案的線邊緣粗糙度減小。在一些實施例中,氫電漿處理導致形成於第一光阻劑層256中的溝槽圖案的線邊緣粗糙度近似7.5奈米(nm)至7.3 nm減小。在一些實施例中,在氫電漿處理之後,第一光阻劑層256中的溝槽圖案的線邊緣粗糙度減小約43%。在一些實施例中,在氫電漿處理之前的第一光阻劑層256中的溝槽圖案的線邊緣粗糙度為7 nm至8 nm,且在氫電漿處理之後,第一光阻劑層256中的溝槽圖案的線邊緣粗糙度減小至3.8 nm至4.8 nm。過大的線邊緣粗糙度及所得的巨大線寬粗糙度使半導體裝置結構200中的鄰近金屬線296L (第15圖)之間電短路的風險增加。
在一些實施例中,氫電漿係藉由將含氫的製程氣體點燃或離子化產生。在一些實施例中,製程氣體含有氫氣及載體氣體。在一些實施例中,載體氣體包括惰性氣體,諸如氮氣、氬氣、氦氣或其混合物。控制氫氣的流動速率,使得在氫電漿處理期間不出現對第一抗反射塗層254的蝕刻。在一些實施例中,氫氣的流動速率為約20標準立方公分每分鐘(sccm)至約500 sccm,且惰性氣體的流動速率為約10 sccm至約300 sccm。在一些實施例中,製程氣體進一步包括含氟氣體,諸如例如氟甲烷(CH3 F)、二氟甲烷(CH2 F2 )或三氟甲烷(CHF3 )。在一些實施例中,含氟氣體的流動速率為約0 sccm至約100 sccm。在一些實施例中,氫電漿處理係在約200℃至約600℃範圍內的一溫度下進行。在一些實施例中,氫電漿處理係在約5毫托(mT)至約20 mT範圍內的一壓力下進行。在一些實施例中,氫電漿處理係藉由在約400瓦(W)至約1000 W範圍內的一功率進行。
氫電漿處理因此幫助減小第一光阻劑層256中的圖案化特徵的線寬粗糙度。經改良的光阻線寬粗糙度幫助在後續圖案轉印製程期間提供對下伏層的圖案化的更好臨界尺寸控制。
參考第1圖及第5圖,方法100進行至操作108,在此操作中,藉由蝕刻製程將第一光阻劑層256中的第一圖案轉印至第一抗反射塗層254及第一有機平坦化層252中。蝕刻製程形成延伸穿過第一抗反射塗層254及第一有機平坦化層252的溝槽264,從而暴露頂部硬式遮罩層246的一部分。蝕刻製程為濕式蝕刻或乾式蝕刻製程。在一些實施例中,將不同蝕刻製程用於順序地蝕刻第一抗反射塗層254及第一有機平坦化層252。在一些實施例中,將單一蝕刻製程用於蝕刻第一抗反射塗層254及第一有機平坦化層252。在一些實施例中,執行乾式蝕刻製程,諸如例如反應離子蝕刻(reactive ion etching; RIE)。在一些實施例中,用於蝕刻第一抗反射塗層254及第一有機平坦化層252的蝕刻劑為CF4 。在將第一圖案轉印至第一抗反射塗層254及第一有機平坦化層252中之後,使用諸如例如濕式剝離或電漿灰化的合適製程移除第一光阻劑層256。
參考第1圖及第6圖,方法100進行至操作110,在此操作中,藉由蝕刻製程將第一抗反射塗層254及第一有機平坦化層252的第一圖案轉印至頂部硬式遮罩層246中。蝕刻製程形成延伸穿過頂部硬式遮罩層246的溝槽266,從而暴露中間硬式遮罩層244的一部分。蝕刻製程為濕式蝕刻或乾式蝕刻製程。在一些實施例中,執行RIE以相對於中間硬式遮罩層244的材料選擇性地移除頂部硬式遮罩層246的材料。
參考第1圖及第7圖,方法100進行至操作112,在此操作中,在硬式遮罩層240上方及在第一溝槽266中形成第二光阻結構270。在一些實施例中,第二光阻結構270具有三層結構,包括第二有機平坦化層272、在第二有機平坦化層272上方的第二抗反射塗層274及在第二抗反射塗層272上方的第二光阻劑層276。在一些實施例中,省略第二有機平坦化層272及第二抗反射塗層274中的一者或兩者。
第二有機平坦化層272包括能夠提供一平坦化表面的有機自平坦化材料,第二抗反射塗層274在平坦化表面上形成。在一些實施例中,第一有機平坦化層252包括旋塗碳、類鑽石碳、聚伸芳基醚、聚醯亞胺或其他合適的有機平坦化材料。在一些實施例中,第二有機平坦化層272包括與第一有機平坦化層252的材料相同的材料。在一些實施例中,第二有機平坦化層272包括不同於第一有機平坦化層252的材料。在一些實施例中,第二有機平坦化層272係藉由CVD、旋塗或其他合適的沉積製程形成。第二有機平坦化層272 形成具有足以提供平坦化表面的厚度。在一些實施例中,第二有機平坦化層272的厚度為約50 nm至約300 nm。在一些實施例中,若第二有機平坦化層272的厚度過小,則第二有機平坦化層272不能提供平坦化表面。在一些實施例中,若第二有機平坦化層272的厚度過大,則由於材料的不必要消耗及用於圖案化第二有機平坦化層272的增加的處理時間,生產成本提高。
第二抗反射塗層274 形成於第二有機平坦化層272上。第二抗反射塗層274減少在微影術期間光自下伏層的反射,因此提高形成於第二光阻劑層276中的圖案的精度。在一些實施例中,第二抗反射塗層274包括NFARC材料,諸如例如氧化矽或碳摻雜的氧化矽。在一些實施例中,第二抗反射塗層274包括與第一抗反射塗層254的材料相同的材料。在一些實施例中,第二抗反射塗層274包括不同於第一抗反射塗層254的材料。在一些實施例中,第二抗反射塗層274係使用CVD、PVD、ALD、旋塗或其他合適的沉積製程形成。第二抗反射塗層274形成具有基於所述材料及所述波長提供足夠的抗反射品質的厚度。在一些實施例中,第二抗反射塗層274的厚度為約20 nm至約100 nm。在一些實施例中,若第二抗反射塗層274的厚度過小,則第二抗反射塗層274不能充分地減少光反射,且因此形成於第二光阻劑層276中的圖案的精度受損。在一些實施例中,若第二抗反射塗層274的厚度過大,則由於材料的不必要消耗及用於蝕刻第二抗反射塗層274的增加的處理時間,生產成本提高。
第二光阻劑層276形成於第二抗反射塗層274上。第二光阻劑層276用於界定將經由第二抗反射塗層274、第二有機平坦化層272及硬式遮罩層240轉移至層間介電層230的第二圖案。第二光阻劑層276包含正光阻劑材料或負光阻劑材料。在一些實施例中,第二光阻劑層276包括EUV光阻劑材料。在一些實施例中,第二光阻劑層276包括DUV光阻劑材料。在一些實施例中,第二光阻劑層276包含具有在2,000至20,000範圍內的分子量的有機或無機聚合物。在一些實施例中,第二光阻劑層276包括與第一光阻劑層256的材料相同的材料。在一些實施例中,第二光阻劑層276的材料包括不同於第一光阻劑層256的材料。在一些實施例中,第二光阻劑層276係藉由旋塗或其他合適的沉積製程進行沉積。在一些實施例中,第二光阻劑層276具有在約35 nm至約50 nm範圍內的厚度。第二光阻劑層276的厚度大於第二抗反射塗層274的厚度。舉例而言,在一些實施例中,若第二光阻劑層276的厚度過小,則第二光阻劑層276將在第二抗反射塗層274的圖案化期間移除,此導致第二光阻劑層276的圖案至層間介電層230的不準確轉印。在一些實施例中,若第二光阻劑層276的厚度過大,則由於材料的不必要消耗及用於圖案化第二光阻劑層276的增加的處理時間,生產成本提高。
參考第1圖及第8圖,根據一或多個實施例,方法100進行至操作114,在此操作中,以微影方式對第二光阻劑層276圖案化,以在第二光阻劑層中形成包括複數個第二溝槽280的第二圖案。為簡單起見,展示了單一第二溝槽280。在一些實施例中,第二圖案對應於將在後續處理期間轉印至層間介電層230的金屬線的另一圖案。第二光阻劑層276中的第二圖案係用以與第一光阻劑層256中的第一圖案形成雙重圖案化結構。在一些實施例中,形成第二圖案,使得第二溝槽280中的一者插入在第一圖案(未圖示)的兩個鄰近第一溝槽260 (第3圖)之間。第二圖案因此與第一圖案組合,以形成具有小於單一微影製程所允許之間距的間距的最終圖案。間距對應於最終圖案中的鄰近溝槽之間的距離。第二溝槽280暴露在第二光阻劑層276下的第二抗反射塗層274的一部分,此部分實質上對準所述導電結構中的一者,例如,鄰近於第一導電結構212A的第二導電結構212B。
在一些實施例中,用於對第二光阻劑層276圖案化的微影製程實質上類似於用於對第一光阻劑層256圖案化的微影製程。舉例而言,使第二光阻劑層276曝露於一輻射圖案,且視第二光阻劑層276中是否使用正或負光阻而定,用一光阻顯影劑顯影第二光阻劑層276的暴露或未暴露部分。類似於上文在第3圖中描述的第一溝槽260,用於對第二光阻劑層276圖案化的微影製程亦產生沿著第二溝槽280的側壁282的線寬粗糙度。
參考第1圖及第9圖,方法100進行至操作116,在此操作中,對第二光阻劑層276執行第二氫電漿處理。執行如上文在操作104中關於用於產生氫電漿的製程氣體組成及氫電漿處理條件所描述的實質上類似的處理,以使第二光阻劑層276中的第二溝槽280的側壁282平滑。在一些實施例中,氫電漿處理導致形成於第二光阻劑層276中的溝槽圖案的線邊緣粗糙度減小至近似7.5 nm至4.3 nm。在一些實施例中,在氫電漿處理之後,第二光阻劑層276中的溝槽圖案的線邊緣粗糙度減小約43%。在一些實施例中,在氫電漿處理之前的第二光阻劑層276中的溝槽圖案的線邊緣粗糙度為7 nm至8 nm,且在氫電漿處理之後,第二光阻劑層276中的溝槽圖案的線邊緣粗糙度減小至3.8 nm至4.8 nm。第二光阻劑層276中的過大的線邊緣粗糙度及所得的巨大線寬粗糙度使半導體裝置結構200中的鄰近金屬線296L (第15圖)之間電短路的風險增加。
在一些實施例中,第二電漿處理的條件與當減小第一光阻劑層256中的溝槽圖案的線寬粗糙度時所用的第一電漿處理的條件實質上相同。在一些實施例中,關於氣體組成、流動速率、處理溫度、處理壓力及功率的第二電漿處理的至少一個參數不同於當減小第一光阻劑層256中的溝槽圖案的線寬粗糙度時所用的第一電漿處理。在一些實施例中,第二電漿處理中所用的製程氣體含有氫氣及載體氣體。在一些實施例中,載體氣體包括惰性氣體,諸如氮氣、氬氣、氦氣或其混合物。控制氫氣的流動速率,使得在氫電漿處理期間不出現對第一抗反射塗層254的蝕刻。在一些實施例中,氫氣的流動速率為約20標準立方公分每分鐘(sccm)至約500 sccm,且氮氣的流動速率為約10 sccm至約300 sccm。在一些實施例中,製程氣體進一步包括含氟氣體,諸如例如氟甲烷(CH3 F)、二氟甲烷(CH2 F2 )或三氟甲烷(CHF3 )。在一些實施例中,含氟氣體的流動速率為約0 sccm至約100 sccm。在一些實施例中,氫電漿處理係在約200℃至約600℃範圍內的溫度下進行。在一些實施例中,氫電漿處理係在約5毫托(mT)至約20 mT範圍內的一壓力下進行。在一些實施例中,氫電漿處理係藉由在約400瓦(W)至約1000 W範圍內的功率進行。
氫電漿處理因此幫助減小第二光阻劑層276中的圖案化特徵的線寬粗糙度。經改良的光阻線寬粗糙度幫助在後續圖案轉印製程期間提供對下伏層的圖案化的更好臨界尺寸控制。
參考第1圖及第10圖,方法100進行至操作118,在此操作中,藉由蝕刻製程將第二光阻劑層276中的第二圖案轉印至第二抗反射塗層274及第二有機平坦化層272中。蝕刻製程形成延伸穿過第二抗反射塗層274及第二有機平坦化層272的溝槽284,從而暴露頂部硬式遮罩層246的一部分。蝕刻製程為濕式蝕刻或乾式蝕刻製程。在一些實施例中,使用不同的蝕刻製程以順序地蝕刻第二抗反射塗層274及第二有機平坦化層272。在一些實施例中,使用單一蝕刻製程以蝕刻第二抗反射塗層274及第二有機平坦化層272。在一些實施例中,執行諸如例如RIE的乾式蝕刻製程。在一些實施例中,用於蝕刻第二抗反射塗層274及第二有機平坦化層272的蝕刻劑為CF4 。在將第二圖案轉印至第二抗反射塗層274及第二有機平坦化層272中之後,使用諸如例如濕式剝離或電漿灰化的合適製程移除第二光阻劑層276。
參考第1圖及第11圖,方法100進行至操作120,在此操作中,藉由蝕刻製程將第二抗反射塗層274及第二有機平坦化層272的第二圖案轉印至頂部硬式遮罩層246中。蝕刻製程形成延伸穿過頂部硬式遮罩層246的溝槽286,從而暴露中間硬式遮罩層244的一部分。蝕刻製程為濕式蝕刻或乾式蝕刻製程。在一些實施例中,執行RIE以相對於中間硬式遮罩層244的材料選擇性地移除頂部硬式遮罩層246的材料。在一些實施例中,用於蝕刻頂部硬式遮罩層246的蝕刻劑包括CF4 、CHF3 、CH2 F2 或其組合。在一些實施例中,鄰近溝槽266與286之間的間距(P)為約30 nm至約50 nm。在對頂部硬式遮罩層246圖案化之後,藉由例如乾式蝕刻製程或濕式蝕刻製程移除第二抗反射塗層274及第二OPL 272。
參考第1圖及第12圖,方法100進行至操作122,在此操作中,藉由蝕刻製程將頂部硬式遮罩層246中的第一圖案及第二圖案的組合圖案轉印至中間硬式遮罩層244及底部硬式遮罩層242中。蝕刻製程形成延伸穿過中間硬式遮罩層244及底部硬式遮罩層242的溝槽288,從而暴露上覆於導電結構212A及212B的層間介電層230的部分。蝕刻製程為濕式蝕刻或乾式蝕刻製程。在一些實施例中,使用不同的蝕刻製程以順序地蝕刻中間硬式遮罩層244及底部硬式遮罩層242。在一些實施例中,使用單一蝕刻製程以蝕刻中間硬式遮罩層244及底部硬式遮罩層242。在一些實施例中,執行諸如例如RIE的乾式蝕刻製程。在一些實施例中,用於蝕刻中間硬式遮罩層244及底部硬式遮罩層242的蝕刻劑包括CF4 、CHF3 、CH2 F2 或其組合。在蝕刻製程之後,藉由乾式蝕刻或濕式蝕刻製程移除頂部硬式遮罩層246,蝕刻製程相對於中間硬式遮罩層244選擇性地移除頂部硬式遮罩層246的材料。
參考第1圖及第13圖,方法100進行至操作124,在此操作中,形成延伸穿過層間介電層230及蝕刻終止層220的互連開口290,從而暴露導電結構212A、212B的部分。互連開口290各自包括溝槽290T及介層窗開口290V,且係使用雙鑲嵌製程形成。在一些實施例中,執行蝕刻製程以移除層間介電層230的上部部分的由溝槽288暴露的部分,以在層間介電層230的上部部分中界定溝槽290T。在一些實施例中,蝕刻製程為諸如RIE或電漿蝕刻的各向異性蝕刻。接下來,執行微影術及蝕刻製程以在對應溝槽290T內界定介層窗開口290V。介層窗開口290V延伸穿過層間介電層230及蝕刻終止層220,若所述層存在。微影製程包括在中間硬式遮罩層244塗覆一光阻劑層(未圖示)以填充溝槽290T及溝槽288。接著藉由使光阻劑層曝露於一輻射圖案及顯影光阻劑層而對光阻劑層進行圖案化,以形成一圖案化的光阻劑層(未圖示)。一旦圖案化的光阻劑層形成,即執行一或多個各向異性蝕刻製程,以使用圖案化的光阻劑層作為一蝕刻遮罩來蝕刻層間介電層230及蝕刻終止層220,從而在對應溝槽290T下形成介層窗開口290V。在介層窗開口290V形成之後,例如藉由濕式剝離或電漿灰化來移除圖案化的光阻劑層。
參考第1圖及第14圖,方法100進行至操作126,在此操作中,形成導電襯裡層292及導電材料層294。導電襯裡層292沉積在互連開口290的側壁及底部、溝槽288的側壁上及層間介電層230的頂表面上。在一些實施例中,導電襯裡層292包括防止導電材料層294中的金屬擴散至層間介電層230中的擴散障壁材料。在一些實施例中,導電襯裡層292包括Ti、TiN、Ta、TaN、Ru、RuN或其他合適的擴散障壁材料。在一些實施例中,導電襯裡層292包括前述擴散障壁材料的堆疊,諸如例如Ti/TiN或Ta/TaN。在一些實施例中,導電襯裡層292係利用諸如CVD、PECVD、PVD或ALD的保形沉積製程沉積。
隨後,在導電襯裡層292上方沉積導電材料層294以填充互連開口290及溝槽288。在一些實施例中,導電材料層294包括Cu、Al、W、Co及其合金,或其他合適的導電金屬。在一些實施例中,導電材料層294係藉由諸如例如CVD、PECVD、濺射或電鍍的合適沉積製程沉積。進行沉積製程,直至導電材料層294填充互連開口290及溝槽288且在層間介電層230之上延伸。在一些實施例中,當在導電材料層294中使用Cu或Cu合金時,在導電材料層294形成之前,一任選的電鍍晶種層(未圖示)形成於導電襯裡層293上。在一些實施例中,任選的電鍍晶種層係藉由包括例如CVD、PECVD、ALD及PVD的沉積製程形成。
參考第1圖及第15圖,方法100進行至操作128,在此操作中,在互連開口290中形成各自包括導電襯裡292L及導電材料部分294P的互連結構296A、296B。在一些實施例中,互連結構包括接觸第一導電結構212A的第一互連結構296A,及接觸第二導電結構212B的第二互連結構296B。每個互連結構296A、296B之位於對應溝槽290T中的一部分構成金屬線296L,且每個互連結構296A、296B之位於對應介層窗開口290V中的另一部分構成介層窗296V。
互連結構296A、296B係藉由使用平坦化製程移除位於層間介電層230的頂表面之上的導電材料層294及導電襯裡層292的部分形成。在一些實施例中,執行CMP製程以自層間介電層230的頂表面移除導電材料層294及導電襯裡層292。CMP製程亦自層間介電層230的頂表面移除剩餘的硬式遮罩層240,例如中間硬式遮罩層244及底部硬式遮罩層242。在平坦化之後,剩餘在互連開口290中的每一者中的導電材料層294的一部分構成導電材料部分294P,剩餘在互連開口290中的每一者中的導電襯裡層292的一部分構成導電襯裡292L。在平坦化製程之後,互連結構296A、296B的頂表面與層間介電層230的頂表面共面。
在一些實施例中,氫電漿處理幫助在經由硬式遮罩層240將光阻劑層中的圖案轉印至下伏層間介電層230中之前減小光阻圖案的線寬粗糙度。因此,形成於層間介電層230中的複製光阻圖案的輪廓的溝槽290T擁有改良的線寬粗糙度。互連開口290中的溝槽290T的經改良線寬粗糙度幫助減少隨後形成於溝槽中的鄰近金屬線296L之間的電短路的機會。對圖案化的光阻劑層的氫電漿處理因此幫助擴大金屬線的橋接裕量,此導致改良裝置可靠性。在一些實施例中,根據方法100產生的用於金屬線的最終開口具有在約3.3 nm至約5.3 nm範圍內的線邊緣粗糙度。
本發明的一個態樣係關於一種形成一半導體裝置結構的方法。此方法包括在一基板上方形成一光阻結構。此光阻結構包括一抗反射塗層(anti-reflective coating; ARC)層及在所述抗反射塗層上方的一光阻劑層。此方法進一步包括對光阻劑層進行圖案化,以在光阻劑層中形成一溝槽。此方法進一步包括對圖案化的光阻劑層執行一氫電漿處理。此氫電漿處理係用以在不蝕刻抗反射塗層的情況下使溝槽的側壁平滑。此方法進一步包括使用圖案化的光阻劑層作為一蝕刻遮罩對抗反射塗層進行圖案化。在一些實施例中,執行氫電漿處理包含使用一製程氣體,所述製程氣體包含氫氣及載體氣體。在一些實施例中,載體氣體包括選自由氮氣、氬氣及氦氣組成的群組的至少一種惰性氣體。在一些實施例中,執行氫電漿處理包括以在約20標準立方公分每分鐘(sccm)至約500 sccm範圍內的流動速率供應氫氣。在一些實施例中,執行氫電漿處理包括以在約10 sccm至約300 sccm範圍內的流動速率供應載體氣體。在一些實施例中,製程氣體進一步包括氟甲烷(CH3 F)、二氟甲烷(CH2 F2 )或三氟甲烷(CHF3 )。在一些實施例中,形成光阻結構進一步包括:形成一有機平坦化層(organic planarization layer; OPL),其中抗反射塗層在有機平坦化層上方;及使用圖案化的光阻劑層作為一蝕刻遮罩對有機平坦化層進行圖案化。在一些實施例中,此方法進一步包括:在一基板上形成另一光阻結構,此另一光阻結構包含另一抗反射塗層(anti-reflective coating; ARC)層及在所述另一抗反射塗層上方的另一光阻劑層;對所述另一光阻劑層進行圖案化,以在所述另一光阻劑層中形成另一溝槽;對圖案化的另一光阻劑層執行另一氫電漿處理,其中所述另一氫電漿處理係用以在不蝕刻另一抗反射塗層的情況下使另一溝槽的側壁平滑;及使用所述圖案化的另一光阻劑層作為一蝕刻遮罩對另一抗反射塗層進行圖案化。
本發明的另一態樣係關於一種形成一半導體裝置結構的方法。此方法包括接收一基板。此方法進一步包括在基板上方形成一層間介電(inter-layer dielectric; ILD)層。此方法進一步包括在層間介電層上方形成一硬式遮罩層。此方法進一步包括在基板上方形成一第一光阻結構,第一光阻結構包含第一有機平坦化層(organic planarization layer; OPL)、在第一有機平坦化層上方的第一抗反射塗層(anti-reflective coating; ARC)層及在第一抗反射塗層上方的第一光阻劑層。此方法進一步包括對第一光阻劑層進行圖案化,以在第一光阻劑層中形成包含複數個第一溝槽的第一圖案。此方法進一步包括對圖案化的第一光阻劑層執行第一氫電漿處理。第一氫電漿處理係用以在不蝕刻第一抗反射塗層的情況下使第一溝槽的側壁平滑。此方法進一步包括將第一圖案轉印至第一抗反射塗層及第一有機平坦化層中。此方法進一步包括將第一圖案轉印至硬式遮罩層中,以在硬式遮罩層中形成複數個第二溝槽。此方法進一步包括在圖案化的硬式遮罩層上方及在第二溝槽中形成第二光阻結構,第二光阻結構包含一第二有機平坦化層、在第二有機平坦化層上方的一第二抗反射塗層及在第二抗反射塗層上方的一第二光阻劑層。此方法進一步包括對第二光阻劑層進行圖案化,以在第二光阻劑層中形成包含複數個第三溝槽的第二圖案。此方法進一步包括對圖案化的第二光阻劑層執行第二氫電漿處理,其中第二氫電漿處理係用以在不蝕刻第二抗反射塗層的情況下使第三溝槽的側壁平滑。此方法進一步包括將第二圖案轉印至第二抗反射塗層及第二有機平坦化層中。此方法進一步包括將第二圖案轉印至圖案化的硬式遮罩層中,以在圖案化的硬式遮罩層中形成複數個第四溝槽。這些第四溝槽中的每一者安置在所述第二溝槽中的兩個鄰近的第二溝槽之間。此方法進一步包括使用圖案化的硬式遮罩層作為一蝕刻遮罩對層間介電層進行圖案化。在一些實施例中,執行第一氫電漿處理及執行第二氫電漿處理包含使用包括氫氣及載體氣體的製程氣體。在一些實施例中,載體氣體包括氮氣、氬氣、氦氣或其混合物。在一些實施例中,第一氫電漿處理及第二氫電漿處理係在約200℃至600℃範圍內的溫度下獨立地執行。在一些實施例中,第一氫電漿處理及第二氫電漿處理係在約5毫托(mT)至約20 mT範圍內的壓力下獨立地執行。在一些實施例中,第一氫電漿處理及第二氫電漿處理係藉由在約400 W至約1000 W範圍內的功率獨立地執行。在一些實施例中,這些第二溝槽中的一個第二溝槽與所述第四溝槽中的一鄰近第四溝槽之間的一間距為約30 nm至約50 nm。在一些實施例中,在執行第一氫電漿處理之後的第一光阻劑層中的第一溝槽的一線寬度粗糙度為2 nm至5 nm。在一些實施例中,執行第二氫電漿處理時的處理參數中的至少一個處理參數不同於執行第一氫電漿處理時的處理參數。
本發明的又一態樣係關於一種形成一半導體裝置結構的方法。此方法包括接收一基板,此基板包含複數個導電結構。此方法進一步包括在基板上方形成一層間介電(inter-layer dielectric; ILD)層。此方法進一步包括在層間介電層上方形成一硬式遮罩層。此方法進一步包括在基板上方形成一第一光阻結構,第一光阻結構包括一第一有機平坦化層(organic planarization layer; OPL)、在第一有機平坦化層上方的一第一抗反射塗層(anti-reflective coating; ARC)層及在第一抗反射塗層上方的一第一光阻劑層。此方法進一步包括對第一光阻劑層進行圖案化,以在第一光阻劑層中形成包含複數個第一溝槽的第一圖案。此方法進一步包括對圖案化的第一光阻劑層執行第一氫電漿處理。第一氫電漿處理係用以在不蝕刻第一抗反射塗層的情況下改良圖案化的第一光阻劑層的線寬度粗糙度(line width roughness; LWR)。此方法進一步包括將第一圖案轉印至第一抗反射塗層及第一有機平坦化層中。此方法進一步包括將第一圖案轉印至硬式遮罩層中,以在硬式遮罩層中形成複數個第二溝槽。此方法進一步包括在圖案化的硬式遮罩層上方及在所述第二溝槽中形成一第二光阻結構,此第二光阻結構包括一第二有機平坦化層、在第二有機平坦化層上方的一第二抗反射塗層及在第二抗反射塗層上方的一第二光阻劑層。此方法進一步包括對第二光阻劑層進行圖案化,以在第二光阻劑層中形成包含複數個第三溝槽的一第二圖案。此方法進一步包括對圖案化的第二光阻劑層執行第二氫電漿處理。第二氫電漿處理係用以在不蝕刻第二抗反射塗層的情況下改良圖案化的第二光阻劑層的線寬度粗糙度。此方法進一步包括將第二圖案轉印至第二抗反射塗層及第二有機平坦化層中。此方法進一步包括將第二圖案轉印至圖案化的硬式遮罩層中,以在圖案化的硬式遮罩層中形成複數個第四溝槽。這些第四溝槽中的每一者安置在所述第二溝槽中的兩個鄰近的第二溝槽之間。此方法進一步包括使用圖案化的硬式遮罩層作為一蝕刻遮罩並對層間介電層進行圖案化,以在層間介電層中形成複數個互連開口。此方法進一步包括在所述互連開口中形成複數個互連結構。這些互連結構接觸所述導電結構。在一些實施例中,執行第一氫電漿處理及執行第二氫電漿處理包含使用一製程氣體,此製程氣體包含氫氣及載體氣體。在一些實施例中,形成硬式遮罩層包含在層間介電層上方形成一底部硬式遮罩層、在底部硬式遮罩層上方形成一中間硬式遮罩層及在中間硬式遮罩層上方形成一頂部硬式遮罩層。這些第二溝槽及這些第四溝槽係形成於頂部硬式遮罩層中。
前文概述幾個實施例的特徵,使得熟習此項技術者可更好地理解本揭示各實施例的態樣。熟習此項技術者將瞭解,該些熟習此項技術者可容易使用本揭示各實施例作為用於設計或修改用於實現相同目的及/或達成在本文中引入的實施例的相同優點的其他製程及結構的基礎。熟習此項技術者亦將認識到,此等等效構造不背離本揭示各實施例的精神及範疇,且熟習此項技術者可在不背離本揭示各實施例的精神及範疇的情況下作出本文中的各種改變、取代及更改。
100:方法 102:操作 104:操作 106:操作 108:操作 110:操作 112:操作 114:操作 116:操作 118:操作 120:操作 122:操作 124:操作 126:操作 128:操作 200:半導體裝置結構 210:基板 212A:第一導電結構 212B:第二導電結構 220:蝕刻終止層 230:層間介電(ILD)層 240:硬式遮罩層 242:底部硬式遮罩層 244:中間硬式遮罩層 246:頂部硬式遮罩層 250:第一光阻結構 252:第一有機平坦化層(OPL) 254:第一抗反射塗層(ARC)層 256:第一光阻劑層 260:第一溝槽 262:側壁 264:溝槽 266:溝槽 270:第二光阻結構 272:第二有機平坦化層(OPL) 274:第二抗反射塗層(ARC)層 276:第二光阻劑層 280:第二溝槽 282:側壁 284:溝槽 286:溝槽 288:溝槽 P:間距 290:互連開口 290T:溝槽 290V:介層窗開口 292:導電襯裡層 292L:導電襯裡 294:導電材料層 294P:導電材料部分 296A:互連結構 296B:互連結構 296L:金屬線 296:V介層窗
在結合附圖閱讀時自以下詳細描述最好地理解本發明。要強調的是,根據常見作法,圖式的各種特徵未必成比例。相反地,為清楚起見,可任意地擴大或縮小各種特徵的尺寸及空間關係。相似的參考數字在說明書和圖式中指示相似特徵。 第1圖係根據一或多個實施例的製造半導體裝置結構的方法的流程圖。 第2圖至第15圖係根據一或多個實施例的在各種製造階段期間的橫截面圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:半導體裝置結構
210:基板
212A:第一導電結構
212B:第二導電結構
220:蝕刻終止層
230:層間介電(ILD)層
242:底部硬式遮罩層
244:中間硬式遮罩層
292:導電襯裡層
294:導電材料層

Claims (20)

  1. 一種形成一半導體裝置結構的方法,包含以下步驟: 在一基板上方形成一光阻結構,該光阻結構包含一抗反射塗層及在該抗反射塗層上方的一光阻劑層; 圖案化該光阻劑層,以形成一溝槽; 對該圖案化光阻劑層執行一氫電漿處理,其中該氫電漿處理係用以使該溝槽的多個側壁平滑;以及 使用該圖案化光阻劑層作為一蝕刻遮罩,對該抗反射塗層進行圖案化。
  2. 如請求項1所述之方法,其中執行該氫電漿處理之步驟包含:使用一製程氣體,該製程氣體包含一氫氣及一載體氣體。
  3. 如請求項2所述之方法,其中該載體氣體包含選自由氮氣、氬氣及氦氣組成的群組的至少一種惰性氣體。
  4. 如請求項2所述之方法,其中執行該氫電漿處理之步驟包含:以在約20標準立方公分每分鐘(sccm)至約500 sccm範圍內的一流動速率供應該氫氣。
  5. 如請求項2所述之方法,其中執行該氫電漿處理之步驟包含:以在約10 sccm至約300 sccm範圍內的一流動速率供應該載體氣體。
  6. 如請求項2所述之方法,其中該製程氣體進一步包含氟甲烷(CH3 F)、二氟甲烷(CH2 F2 )或三氟甲烷(CHF3 )。
  7. 如請求項1所述之方法,其中形成該光阻結構進一步包含: 形成一有機平坦化層,其中該抗反射塗層位於該有機平坦化層上方;以及 使用該圖案化光阻劑層作為一蝕刻遮罩,對該有機平坦化層進行圖案化。
  8. 如請求項1所述之方法,進一步包含: 在該基板上形成另一光阻結構,該另一光阻結構包含另一抗反射塗層及在該另一抗反射塗層上方的另一光阻劑層; 圖案化該另一光阻劑層,以形成另一溝槽; 對該圖案化的另一光阻劑層執行另一氫電漿處理,其中該另一氫電漿處理係用以在不蝕刻該另一抗反射塗層的情況下使該另一溝槽的側壁平滑;以及 使用該圖案化另一光阻劑層作為一蝕刻遮罩對該另一抗反射塗層進行圖案化。
  9. 一種形成一半導體裝置結構的方法,包含以下步驟: 接收一基板; 在該基板上方形成一層間介電層; 在該層間介電層上方形成一硬式遮罩層; 在該基板上方形成一第一光阻結構,該第一光阻結構包含一第一有機平坦化層、在該第一有機平坦化層上方的一第一抗反射塗層及在該第一抗反射塗層上方的一第一光阻劑層; 圖案化該第一光阻劑層,以形成包含複數個第一溝槽的一第一圖案; 對該圖案化第一光阻劑層執行一第一氫電漿處理,其中該第一氫電漿處理係用以使該些第一溝槽的多個側壁平滑; 將該第一圖案轉印至該第一抗反射塗層及該第一有機平坦化層中; 將該第一圖案轉印至該硬式遮罩層中,以形成複數個第二溝槽; 在該圖案化硬式遮罩層上方及在該些第二溝槽中形成一第二光阻結構,該第二光阻結構包含一第二有機平坦化層、在該第二有機平坦化層上方的一第二抗反射塗層及在該第二抗反射塗層上方的一第二光阻劑層; 圖案化該第二光阻劑層,以形成包含複數個第三溝槽的一第二圖案; 對該圖案化第二光阻劑層執行一第二氫電漿處理,其中該第二氫電漿處理係用以使該些第三溝槽的多個側壁平滑; 將該第二圖案轉印至該第二抗反射塗層及該第二有機平坦化層中; 將該第二圖案轉印至該圖案化硬式遮罩層中,以形成複數個第四溝槽,其中該些第四溝槽中的每一者安置在該些第二溝槽中的兩個鄰近的該些第二溝槽之間;以及 使用該圖案化硬式遮罩層作為一蝕刻遮罩對該層間介電層進行圖案化。
  10. 如請求項9所述之方法,其中執行該第一氫電漿處理之步驟及該執行該第二氫電漿處理之步驟包含:使用一製程氣體,該製程氣體包含一氫氣及一載體氣體。
  11. 如請求項10所述之方法,其中該載體氣體包含氮氣、氬氣、氦氣或其一混合物。
  12. 如請求項9所述之方法,其中該第一氫電漿處理及該第二氫電漿處理在約200℃至600℃範圍內的一溫度下獨立地執行。
  13. 如請求項9所述之方法,其中該第一氫電漿處理及該第二氫電漿處理在約5毫托(mT)至約20 mT範圍內的一壓力下獨立地執行。
  14. 如請求項9所述之方法,其中該第一氫電漿處理及該第二氫電漿處理使用約400 W至約1000 W範圍內的一功率獨立地執行。
  15. 如請求項9所述之方法,其中該些第二溝槽中的一個與該些第四溝槽中的一鄰近第四溝槽之間的一間距為約30 nm至約50 nm。
  16. 如請求項9所述之方法,其中在執行該第一氫電漿處理步驟之後的該第一光阻劑層中的該些第一溝槽的一線寬度粗糙度為2 nm至5 nm。
  17. 如請求項9所述之方法,其中執行該第二氫電漿處理之步驟時的多個處理參數中的至少一個處理參數不同於執行該第一氫電漿處理時的多個處理參數。
  18. 一種形成一半導體裝置結構的方法,包含以下步驟: 接收一基板,該基板包含複數個導電結構; 在該基板上方形成一層間介電層; 在該層間介電層上方形成一硬式遮罩層; 在該基板上方形成一第一光阻結構,該第一光阻結構包含一第一有機平坦化層、在該第一有機平坦化層上方的一第一抗反射塗層及在該第一抗反射塗層上方的一第一光阻劑層; 對該第一光阻劑層進行圖案化,以在該第一光阻劑層中形成包含複數個第一溝槽的一第一圖案; 對該圖案化的第一光阻劑層執行一第一氫電漿處理,其中該第一氫電漿處理係用以改良該圖案化的第一光阻劑層的一線寬度粗糙度; 將該第一圖案轉印至該第一抗反射塗層及該第一有機平坦化層中; 將該第一圖案轉印至該硬式遮罩層中,以在該硬式遮罩層中形成複數個第二溝槽; 在該圖案化的硬式遮罩層上方及在該些第二溝槽中形成一第二光阻結構,該第二光阻結構包含一第二有機平坦化層、在該第二有機平坦化層上方的一第二抗反射塗層及在該第二抗反射塗層上方的一第二光阻劑層; 對該第二光阻劑層進行圖案化,以在該第二光阻劑層中形成包含複數個第三溝槽的一第二圖案; 對該圖案化的第二光阻劑層執行一第二氫電漿處理,其中該第二氫電漿處理係用以改良該圖案化的第二光阻劑層的一線寬度粗糙度; 將該第二圖案轉印至該第二抗反射塗層及該第二有機平坦化層中; 將該第二圖案轉印至該圖案化的硬式遮罩層中,以在該圖案化的硬式遮罩層中形成複數個第四溝槽,其中該些第四溝槽中的每一者安置在該些第二溝槽中的兩個鄰近第二溝槽之間; 使用該圖案化的硬式遮罩層作為一蝕刻遮罩對該層間介電層進行圖案化,以在該層間介電層中形成複數個互連開口;以及 在該些互連開口中形成複數個互連結構,其中該些互連結構接觸該些導電結構。
  19. 如請求項18所述之方法,其中執行該第一氫電漿處理之步驟及執行該第二氫電漿處理之步驟包含以下步驟:使用一製程氣體,該製程氣體包含一氫氣及一載體氣體。
  20. 如請求項18所述之方法,其中形成該硬式遮罩層包含以下步驟: 在該層間介電層上方形成一底部硬式遮罩層; 在該底部硬式遮罩層上方形成一中間硬式遮罩層;以及 在該中間硬式遮罩層上方形成一頂部硬式遮罩層,其中該些第二溝槽及該些第四溝槽係形成於該頂部硬式遮罩層中。
TW109115731A 2019-12-11 2020-05-12 形成半導體裝置結構的方法 TWI744897B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201911270313.8 2019-12-11
CN201911270313.8A CN112951721A (zh) 2019-12-11 2019-12-11 用于光致抗蚀剂线粗糙度改善的沟槽蚀刻工艺

Publications (2)

Publication Number Publication Date
TW202123335A true TW202123335A (zh) 2021-06-16
TWI744897B TWI744897B (zh) 2021-11-01

Family

ID=76234290

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109115731A TWI744897B (zh) 2019-12-11 2020-05-12 形成半導體裝置結構的方法

Country Status (3)

Country Link
US (1) US11527406B2 (zh)
CN (1) CN112951721A (zh)
TW (1) TWI744897B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7462394B2 (ja) * 2019-09-10 2024-04-05 富士電機株式会社 炭化珪素半導体装置および炭化珪素半導体装置の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390753B2 (en) 2005-11-14 2008-06-24 Taiwan Semiconductor Mfg. Co., Ltd. In-situ plasma treatment of advanced resists in fine pattern definition
JP5362176B2 (ja) * 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8329585B2 (en) * 2009-11-17 2012-12-11 Lam Research Corporation Method for reducing line width roughness with plasma pre-etch treatment on photoresist
US8435419B2 (en) * 2010-06-14 2013-05-07 Applied Materials, Inc. Methods of processing substrates having metal materials
JP5868753B2 (ja) * 2012-03-26 2016-02-24 東レ・デュポン株式会社 ポリイミドフィルム
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US9431528B2 (en) * 2014-05-19 2016-08-30 Globalfoundries Inc. Lithographic stack excluding SiARC and method of using same
US9761488B2 (en) 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure

Also Published As

Publication number Publication date
TWI744897B (zh) 2021-11-01
CN112951721A (zh) 2021-06-11
US20210183644A1 (en) 2021-06-17
US11527406B2 (en) 2022-12-13
US20230060956A1 (en) 2023-03-02

Similar Documents

Publication Publication Date Title
US7064059B2 (en) Method of forming dual damascene metal interconnection employing sacrificial metal oxide layer
US11984355B2 (en) Method for manufacturing an interconnection structure having a bottom via spacer
US8450212B2 (en) Method of reducing critical dimension process bias differences between narrow and wide damascene wires
US7157366B2 (en) Method of forming metal interconnection layer of semiconductor device
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US7291553B2 (en) Method for forming dual damascene with improved etch profiles
US7470616B1 (en) Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
TWI536520B (zh) 半導體裝置及方法
TWI567870B (zh) 內連接結構及其製造方法
CN109755126B (zh) 半导体器件的制造方法
US11227792B2 (en) Interconnect structures including self aligned vias
TW202117851A (zh) 半導體結構的形成方法
TW202109618A (zh) 圖案化半導體裝置的方法
TW202145392A (zh) 半導體結構
US8647991B1 (en) Method for forming dual damascene opening
CN109309042B (zh) 半导体器件及其形成方法
TWI744897B (zh) 形成半導體裝置結構的方法
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
TWI690003B (zh) 用於形成雙鑲嵌互連結構的方法
TWI829013B (zh) 形成半導體裝置的方法
TW202303759A (zh) 內連線結構的形成方法
US12020933B2 (en) Trench etching process for photoresist line roughness improvement
TWI509740B (zh) 雙鑲嵌製程
US20230045826A1 (en) Methods for fabricating semicondcutor structures
TW202405890A (zh) 半導體裝置結構的形成方法