TWI567870B - 內連接結構及其製造方法 - Google Patents

內連接結構及其製造方法 Download PDF

Info

Publication number
TWI567870B
TWI567870B TW104144500A TW104144500A TWI567870B TW I567870 B TWI567870 B TW I567870B TW 104144500 A TW104144500 A TW 104144500A TW 104144500 A TW104144500 A TW 104144500A TW I567870 B TWI567870 B TW I567870B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
conductor
hole
layer
etch stop
Prior art date
Application number
TW104144500A
Other languages
English (en)
Other versions
TW201635437A (zh
Inventor
鄭志聖
王智麟
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201635437A publication Critical patent/TW201635437A/zh
Application granted granted Critical
Publication of TWI567870B publication Critical patent/TWI567870B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)

Description

內連接結構及其製造方法
本揭露是關於一種半導體結構與其製程。
超大尺度積體電路(very large-scale integrated circuits;VLSIs)中的用語「內連接」意謂連接各電子裝置的金屬接線。除接觸區域之外,藉由絕緣層使內連接金屬接線與基板分離。自1960年積體電路(integrated circuit;IC)產生以來,鋁(Al)或鋁合金已成為內連接金屬接線的主要材料,且二氧化矽已成為絕緣層的主要材料。
銅基晶片為半導體積體電路,通常為微處理器,銅基晶片使用銅實施內連接。由於銅係比鋁更好的導體,使用此技術的晶片可具有較小金屬組件,及使用較少能量電氣通過金屬組件。前述之組合可以產生較高效能的處理器。
根據一些實施例,提供一種製造內連接結構的方法。方法包含:在第一介電層中形成至少一個第一孔;在第一孔中形成第一導體;回蝕第一介電層,以使得第一導體具有自 第一介電層隆起的部分;在第一介電層及第一導體之隆起部分上形成蝕刻終止層;在蝕刻終止層上形成第二介電層;穿過第二介電層及蝕刻終止層形成至少一個第二孔,以使得第二孔至少部分曝露第一導體之隆起部分;及在第二孔中形成第二導體。
根據一些實施例,內連接結構包含第一介電層、第一導體、蝕刻終止層、第二介電層及第二導體。第一介電層內具有至少一個孔。在第一介電層之孔中至少部分設置第一導體。在第一介電層上設置蝕刻終止層。蝕刻終止層具有開口以至少部分曝露第一導體。在蝕刻終止層上設置第二介電層且第二介電層內具有至少一個孔。第二介電層之孔與蝕刻終止層之開口連通。在第二介電層之孔中至少部分設置第二導體且經由蝕刻終止層之開口將第二導體電氣連接至第一導體。
根據至少一個些實施例,內連接結構包含第一介電層、至少一個第一導體、第二介電層、第三介電層及第二導體。在第一介電層中至少部分設置第一導體。第二介電層內具有孔。在第一介電層與第二介電層之間設置第三介電層。第三介電層具有與第二介電層之孔連通的開口。藉由第三介電層之開口至少部分曝露第一導體。第三介電層對用於蝕刻第二介電層之孔之蝕刻劑具有比第二介電層更高的耐蝕刻性。經由第二介電層之孔及蝕刻終止層之開口將第二導體電氣連接至第一導體。
110‧‧‧第一介電層
112‧‧‧第一孔
114‧‧‧第二孔
120‧‧‧第一阻障層
130‧‧‧第一導電材料
132‧‧‧第一底部導體
134‧‧‧第二底部導體
140‧‧‧蝕刻終止層
142‧‧‧帽蓋部分
144‧‧‧間隔墊部分
146‧‧‧開口
150‧‧‧第二介電層
152‧‧‧第三孔
155‧‧‧光阻劑
160‧‧‧第二阻障層
170‧‧‧第二導電材料
172‧‧‧頂部導體
R‧‧‧凸起部分
TC‧‧‧厚度
TS‧‧‧厚度
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本揭露之態樣。應注意,根據工業中的標準實務,各特徵並非按比例繪製。事實上,出於論述清晰之目的,可任意增加或減小各特徵之尺寸。
第1圖至第13圖係根據本揭露之一些實施例之各階段處的內連接結構之橫截面視圖。
以下揭露內容提供許多不同實施例或範例,以便實施所提供標的之不同特徵。下文描述組件及排列之特定範例以簡化本揭露。當然,這些範例僅為示例性且並不意欲為限制性。舉例而言,以下描述中在第二特徵上方或第二特徵上形成第一特徵可包含以直接接觸形成第一特徵及第二特徵的實施例,且亦可包含可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵及第二特徵可不處於直接接觸的實施例。另外,本揭露可在各範例中重複元件符號及/或字母。此重複係出於簡明性及清晰之目的,且本身並不指示所論述之各實施例及/或配置之間的關係。
進一步地,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所圖示一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含使用或操作中裝置之 不同定向。設備可經其他方式定向(旋轉90度或處於其他定向上)且因此可同樣解讀本文所使用之空間相對性描述詞。
參照第1圖。在基板上形成第一介電層110。第一介電層110為層間介電(interlayer dielectric;ILD)層。第一介電層110由介電材料製成,介電材料諸如氧化矽、氮化矽、氮氧化矽或上述之組合。在一些實施例中,第一介電層110由低介電常數材料製成以改良阻容(resistive-capacitive;RC)延遲。低介電常數介電材料之介電常數比二氧化矽(SiO2)之介電常數低。減小介電材料之介電常數的一種方法為引入碳(C)或氟(F)原子。舉例而言,在SiO2(介電常數=3.9)中,引入C原子形成摻雜氫化碳的氧化矽(SiCOH)(介電常數介於2.7與3.3之間)及引入氟原子形成氟矽酸鹽玻璃(fluorosilicate glass;FSG)(介電常數介於3.5與3.9之間)減小了材料之介電常數。減小介電材料之介電常數的另一種方法係藉由引入氣隙或微孔。由於空氣之介電常數為1,可藉由增加介電薄膜之孔隙率減小介電薄膜之介電常數。在一些實施例中,低介電常數介電材料為例如多孔氧化矽(亦即,乾凝膠或氣凝膠)、奈米微孔摻碳氧化物(carbon doped oxide;CDO)、黑金剛石(black diamond;BD)、苯并環丁烯(benzocyclobutene;BCB)基聚合物、芳族(烴)熱固性聚合物(aromatic thermosetting polymer;ATP)、三氧化矽烷(hydrogen silsesquioxane;HSQ)、甲基矽倍半氧烷(methyl silsesquioxane;MSQ)、聚伸芳基醚(poly-arylene ethers;PAE)、摻有氮的類金剛石碳(diamond-like carbon;DLC)或上述之組合。藉由例如化學氣 相沉積(chemical vapor deposition;CVD)、旋轉塗佈或上述之組合形成第一介電層110。第一介電層110具有約400Å至約600Å範圍內之厚度。
參照第2圖。在第一介電層110中形成至少一個第一孔112及至少一個第二孔114。藉由光微影及蝕刻製程形成第一孔112及第二孔114。光微影及蝕刻製程包含光阻劑塗覆、曝露、顯影、蝕刻及光阻劑移除。藉由例如旋轉塗佈將光阻劑塗覆至第一介電層110上。隨後預烘乾光阻劑以驅除過量光阻劑溶劑。在預烘乾後,使光阻劑曝露於強光之圖案中。
強光為例如具有約436nm之波長的G線、具有約365nm之波長的I線、具有約248nm之波長的氟化氪(KrF)準分子雷射、具有約193nm之波長的氟化氬(ArF)準分子雷射、具有約157nm之波長的氟化物(F2)準分子雷射或上述之組合。可在曝露期間用具有大於1之折射率的液體介質填充曝露工具之末級透鏡與光阻劑表面之間的空間以增強光微影解析度。曝光造成化學變化,從而允許一些光阻劑可溶於照相顯影劑中。
隨後,在顯影前可執行後曝光烘乾(post-exposure bake;PEB)以幫助減少由入射光之相消與相長干涉圖案所引起的駐波現象。隨後將照相顯影劑塗覆至光阻劑上以移除可溶於照相顯影劑中的此些光阻劑。隨後硬烘乾剩餘光阻劑以固結剩餘光阻劑。
蝕刻未受剩餘光阻劑保護的第一介電層110之多個部分以形成第一孔112及第二孔114。第一介電層110之蝕刻 可為乾式蝕刻,諸如反應性離子蝕刻(reactive ion etching;RIE)、電漿增強(plasma enhanced;PE)蝕刻或電感耦合電漿(inductively coupled plasma;ICP)蝕刻。在一些實施例中,當第一介電層110由氧化矽製成時,可使用氟基RIE形成第一孔112及第二孔114。用於乾式蝕刻第一介電層110的氣體蝕刻劑為例如CF4/O2、ClF3、CCl3F5、SF4/O2或上述之組合。
在形成第一孔112及第二孔114後,藉由例如電漿灰化、剝離或上述之組合自第一介電層110移除光阻劑。電漿灰化使用電漿源產生單原子反應性物種,諸如氧或氟。反應性物種與光阻劑組合以形成灰,灰可用真空泵移除。剝離可使用光阻劑剝離劑(諸如丙酮或苯酚溶劑),以自第一介電層110移除光阻劑。
參照第3圖。在第一孔112及第二孔114之側壁及底表面上形成第一阻障層120。在第3圖中,在第一介電層110之頂表面上進一步形成第一阻障層120。第一阻障層120由一材料製成,此材料可將第一孔112及第二孔114中的導體黏合至第一介電層110及可終止導體擴散至第一介電層110中。在一些實施例中,當第一孔112及第二孔114中的導體由銅(Cu)製成時,第一阻障層120由例如氮化鉭(TaN)、鉭(Ta)/TaN、Ta、其他過渡金屬基底材料或上述之組合製成。在一些其他實施例中,當第一孔112及第二孔114中的導體由鋁製成時,第一阻障層120由例如氮化鈦(TaN)、鈦(Ti)/TiN、Ti、其他過渡金屬基底材料或上述之組合製成。藉由例如物理氣相沉積(physical vapor deposition;PVD)、離子化物理氣相沉積 (ionized physical vapor deposition;IPVD)、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(CVD)或上述之組合形成第一阻障層120。
參照第4圖。第一導電材料130過度填充第一孔112及第二孔114。第一導電材料130由金屬製成,金屬諸如銅(Cu)、鋁(Al)、鎢(W)、鎳(Ni)、鈷(Co)、鈦(Ti)、鉑(Pt)、鉭(Ta)或上述之組合。藉由例如電化學沉積、物理氣相沉積(PVD)、化學氣相沉積(CVD)或上述之組合形成第一導電材料130。
參照第5圖。經由移除製程移除第一孔112及第二孔114外部的過量第一導電材料130及第一阻障層120。在一些實施例中,藉由化學機械研磨(chemical mechanical polishing;CMP)製程移除第一導電材料130及第一阻障層120。在一些實施例中,當第一導電材料130由銅(Cu)製成時,CMP漿料由例如懸浮磨料顆粒、氧化劑及腐蝕抑制劑之混合物製成,且CMP漿料為酸性。可使用兩步CMP製程移除過量第一導電材料130及第一阻障層120。在第一步驟中,磨料將移除塊狀第一導電材料130而未干擾第一阻障層120。在第二步驟中,將使用矽石磨料移除殘餘第一導電材料130及第一阻障層120。在CMP製程後,在第一孔112中形成第一底部導體132,及在第二孔114中形成第二底部導體134。
參照第6圖。回蝕第一介電層110。第一底部導體132及第二底部導體134對此回蝕步驟具有比第一介電層110更高的耐蝕刻性。因此,第一底部導體132具有自第一介電層 110之頂表面隆起的部分,第二底部導體134亦具有自第一介電層110之頂表面隆起的部分。第一介電層110之回蝕可為乾式蝕刻,諸如反應性離子蝕刻(RIE)、電漿增強(PE)蝕刻或電感耦合電漿(ICP)蝕刻。在一些實施例中,可使用氟基RIE回蝕第一介電層110。用於回蝕第一介電層110的氣體蝕刻劑為例如CF4/O2、ClF3、CCl3F5、SF4/O2或上述之組合。在一些實施例中,第一介電層110之回蝕具有自約25Å至約275Å範圍內之蝕刻深度。
參照第7圖。在第一介電層110及第一底部導體132及第二底部導體134之隆起部分上形成蝕刻終止層140。
參照第8圖。在蝕刻終止層140上形成第二介電層150。第二介電層150亦為層間介電(ILD)層。第二介電層150由介電材料製成,介電材料諸如氧化矽、氮化矽、氮氧化矽或上述之組合。在一些實施例中,第二介電層150由低介電常數介電材料製成,低介電常數介電材料諸如摻雜氫化碳的氧化矽(SiCOH)、氟矽酸鹽玻璃(FSG)、多孔氧化矽(亦即,乾凝膠或氣凝膠)、奈米微孔摻碳氧化物(CDO)、黑金剛石(BD)、苯并環丁烯(BCB)基聚合物、芳族(烴)熱固性聚合物(ATP)、三氧化矽烷(HSQ)、甲基矽倍半氧烷(MSQ)、聚伸芳基醚(PAE)、摻有氮的類金剛石碳(DLC)或上述之組合。藉由例如化學氣相沉積(CVD)、旋轉塗佈或上述之組合形成第二介電層150。第二介電層150具有約400Å至約600Å範圍內之厚度。
參照第9圖。在第二介電層150中形成第三孔152。藉由光微影及蝕刻製程形成第三孔152。光微影及蝕刻 製程包含光阻劑塗覆、曝露、顯影及蝕刻。藉由例如旋轉塗佈將光阻劑塗覆至第二介電層150上。隨後預烘乾光阻劑以驅除過量光阻劑溶劑。在預烘乾後,使光阻劑曝露於強光之圖案中。
強光為例如具有約436nm之波長的G線、具有約365nm之波長的I線、具有約248nm之波長的氟化氪(KrF)準分子雷射、具有約193nm之波長的氟化氬(ArF)準分子雷射、具有約157nm之波長的氟化物(F2)準分子雷射或上述之組合。可在曝露期間用具有大於1之折射率的液體介質填充曝露工具之末級透鏡與光阻劑表面之間的空間以增強光微影解析度。曝光造成化學變化,從而允許一些光阻劑可溶於照相顯影劑中。
隨後,在顯影前可執行後曝光烘乾(post-exposure bake;PEB)以幫助減少由入射光之相消與相長干涉圖案所引起的駐波現象。隨後將照相顯影劑塗覆至光阻劑上以移除可溶於照相顯影劑中的此些光阻劑。隨後硬烘乾剩餘光阻劑155以固結剩餘光阻劑155。
蝕刻未受剩餘光阻劑155保護的第二介電層150之至少一個部分以形成第三孔152。第二介電層150之蝕刻可為乾式蝕刻,諸如反應性離子蝕刻(RIE)、電漿增強(PE)蝕刻或電感耦合電漿(ICP)蝕刻。在一些實施例中,當第二介電層150由氧化矽製成時,可使用氟基RIE形成第三孔152,及用於乾式蝕刻第二介電層150的氣體蝕刻劑為例如CF4/O2、ClF3、CCl3F5、SF4/O2或上述之組合。
蝕刻終止層140及第二介電層150具有不同的耐蝕刻特性。在一些實施例中,蝕刻終止層140由一材料製成,材料對用於蝕刻第三孔152的蝕刻劑具有比第二介電層150更高的耐蝕刻性。因此,可藉由蝕刻終止層140終止第二介電層150之蝕刻而不會過度蝕刻第一介電層110。在一些實施例中,當第二介電層150由氧化矽製成時,蝕刻終止層140由富碳材料製成,富碳材料諸如碳氮化矽(SiCN)。藉由例如電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition;PECVD)形成蝕刻終止層140。
蝕刻終止層140及第一介電層110亦具有不同的耐蝕刻特性。在一些實施例中,蝕刻終止層140對用於蝕刻第三孔152的蝕刻劑具有比第一介電層110更高的耐蝕刻性。因此,即使第二介電層150之蝕刻發生過度蝕刻而蝕刻至蝕刻終止層140,蝕刻終止層140之過度蝕刻的情況會比在不具有蝕刻終止層140情況下讓第一介電層110直接被過度蝕刻的情況更輕微。在一些實施例中,當第一介電層110由氧化矽製成時,蝕刻終止層140由富碳材料製成,富碳材料諸如碳氮化矽(SiCN)。
蝕刻終止層140具有自約50Å至約300Å範圍內之厚度。蝕刻終止層140具有凸起部分R,凸起部分R分別覆蓋第一底部導體132及第二底部導體134之隆起部分。凸起部分R中的至少一者具有帽蓋部分142及至少一個間隔墊部分144。帽蓋部分142覆蓋第一底部導體132及第二底部導體134中的至少一者之隆起部分之頂表面。在第一底部導體132及第二底 部導體134中的至少一者之隆起部分之至少一個側壁上設置間隔墊部分144。間隔墊部分144比帽蓋部分142厚。在一些實施例中,帽蓋部分142具有自約50Å至約500Å範圍內之厚度TC,及間隔墊部分144具有自約150Å至約700Å範圍內之厚度TS。
參照第10圖。蝕刻第三孔152所曝露的蝕刻終止層140之至少一個部分以形成開口146。開口146與第三孔152連通,且開口146至少部分曝露第一底部導體132之隆起部分。蝕刻終止層140之蝕刻可為乾式蝕刻,諸如反應性離子蝕刻(RIE)、電漿增強(PE)蝕刻或電感耦合電漿(ICP)蝕刻。在一些實施例中,當蝕刻終止層140由碳氮化矽(SiCN)製成時,可使用氟基RIE形成開口146,且RIE之氣體蝕刻劑為例如C2F6、CF4/O2、CF4/H2、C3F8或上述之組合。
由於間隔墊部分144比帽蓋部分142厚,蝕刻終止層140之蝕刻可移除帽蓋部分142以曝露第一底部導體132,同時留下第一介電層110上的間隔墊部分144的至少一部分。亦即,在到達第一介電層110之前終止蝕刻開口146,且因此開口146並未曝露第一介電層110。在一些實施例中,開口146具有自約0Å至約100Å範圍內之深度D。
在形成開口146後,藉由例如電漿灰化、剝離或上述之組合自第二介電層150移除光阻劑155。電漿灰化使用電漿源產生單原子反應性物種,諸如氧或氟。反應性物種與光阻劑155組合以形成灰,灰用真空泵移除。剝離使用光阻劑剝 離劑(諸如丙酮或苯酚溶劑)以自第二介電層150移除光阻劑155。
參照第11圖。在第三孔152之側壁及開口146之側壁及底表面上形成第二阻障層160。在第11圖中,在第二介電層150之頂表面上進一步形成第二阻障層160。由於開口146具有低深寬比,可以至少可接受階梯覆蓋在開口146中形成第二阻障層160。第二阻障層160由一材料製成,此材料可將第三孔152及開口146中的導體黏合至第二介電層150及蝕刻終止層140且終止導體擴散至第二介電層150及蝕刻終止層140中。在一些實施例中,當第三孔152及開口146中的導體由銅(Cu)製成時,第二阻障層160由例如氮化鉭(TaN)、鉭(Ta)/TaN、Ta、其他過渡金屬基底材料或上述之組合製成。在一些實施例中,當第三孔152及開口146中的導體由鋁(Al)製成時,第二阻障層160由例如氮化鈦(TiN)、鈦(Ti)/TiN、Ti、其他過渡金屬基底材料或上述之組合製成。藉由例如物理氣相沉積(PVD)、離子化物理氣相沉積(IPVD)、原子層沉積(ALD)、化學氣相沉積(CVD)或上述之組合形成第二阻障層160。
參照第12圖。第二導電材料170過度填充第三孔152及開口146。第二導電材料170由金屬製成,金屬諸如銅(Cu)、鋁(Al)、鎢(W)、鎳(Ni)、鈷(Co)、鈦(Ti)、鉑(Pt)、鉭(Ta)或上述之組合。藉由例如電化學沉積、物理氣相沉積(PVD)、化學氣相沉積(CVD)或上述之組合形成第二導電材料170。
參照第13圖。經由移除製程移除第三孔152及開口146外部的過量第二導電材料170及第二阻障層160。在一些實施例中,藉由化學機械研磨(CMP)製程移除超量的第二導電材料170及第二阻障層160。在一些實施例中,當第二導電材料170由銅(Cu)製成時,CMP漿料由例如懸浮磨料顆粒、氧化劑及腐蝕抑制劑之混合物製成,且CMP漿料為酸性。可使用兩步CMP製程移除過量第二導電材料170及第二阻障層160。在第一步驟中,磨料將移除塊狀第二導電材料170而不干擾第二阻障層160。在第二步驟中,將使用矽石磨料移除殘餘第二導電材料170及第二阻障層160。在CMP製程後,在第三孔152及開口146中形成頂部導體172,且將頂部導體172電氣連接至第一底部導體132。
在一些實施例中,第一介電層110及第二介電層150可由實質上相同的材料製成,因此第一介電層110與第二介電層150之間的蝕刻選擇性(etching selectivity)較低。在此類實施例中,若在第一介電層110與第二介電層150之間並未形成蝕刻終止層140,則第二介電層150之蝕刻可過度蝕刻第一介電層110,從而在第一介電層110中形成具有高深寬比的至少一個凹部。由於凹部之深寬比較高,第二阻障層160無法在凹部中形成可接受的階梯式覆蓋。因此,在後續的熱製程(諸如烘乾),會在頂部導體172與第一底部導體132之間形成阻礙孔隙。
為了避免第一介電層110受到過度蝕刻,在第一介電層110與第二介電層150之間形成蝕刻終止層140。蝕刻終 止層140對第二介電層150之蝕刻具有高耐蝕刻性。因此,可藉由蝕刻終止層140終止第二介電層150之蝕刻而不會過度蝕刻第一介電層110。由於並第一介電層110未被過度蝕刻而形成高深寬比的凹部,第二阻障層160可以至少形成可接受的階梯式覆蓋,而將頂部導體172黏合至第二介電層150及蝕刻終止層140。因此,在後續熱製程(諸如烘乾)後,在頂部導體172與第一底部導體132之間將不會形成阻礙孔隙。
上文概述若干實施例之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離本揭露之精神及範疇的情況下產生本文的各種變化、替代及更改。
110‧‧‧第一介電層
112‧‧‧第一孔
114‧‧‧第二孔
120‧‧‧第一阻障層
132‧‧‧第一底部導體
134‧‧‧第二底部導體
140‧‧‧蝕刻終止層
142‧‧‧帽蓋部分
144‧‧‧間隔墊部分
146‧‧‧開口
150‧‧‧第二介電層
152‧‧‧第三孔
160‧‧‧第二阻障層
172‧‧‧頂部導體
R‧‧‧凸起部分
TC‧‧‧帽蓋部分厚度
TS‧‧‧間隔墊部分厚度

Claims (10)

  1. 一種內連接結構的製造方法,該方法包含:在一第一介電層中形成至少一第一孔;在該第一孔中形成一第一導體;回蝕該第一介電層,以使得該第一導體具有自該第一介電層隆起的一隆起部分;在該第一介電層及該第一導體之該隆起部分上形成一蝕刻終止層;在該蝕刻終止層上形成一第二介電層;蝕刻該第二介電層與該蝕刻終止層以形成至少一第二孔,該第二孔至少部分曝露該第一導體之該隆起部分,其中蝕刻形成該第二孔到達該第一介電層之前,終止該蝕刻;以及在該第二孔中形成一第二導體。
  2. 如請求項1所述之方法,其中該形成該第二孔之步驟包含:在該第二介電層中蝕刻一頂部孔;以及在該蝕刻終止層中蝕刻一開口,以使得該開口至少部分曝露該第一導體之該隆起部分,其中該開口及該頂部孔彼此連通以形成該第二孔。
  3. 如請求項2所述之方法,其中該蝕刻終止層對用於蝕刻該第二介電層中的該頂部孔之一蝕刻劑具有比該第二介電層更高的耐蝕刻性。
  4. 如請求項2所述之方法,其中該蝕刻終止層對用於蝕刻該第二介電層中的該頂部孔之一蝕刻劑具有比該第一介電層更高的耐蝕刻性。
  5. 一種內連接結構,包含:一第一介電層,具有至少一孔;一第一導體,至少部分設置在該第一介電層的該孔中;一蝕刻終止層,設置在該第一介電層上,該蝕刻終止層具有一開口以至少部分曝露該第一導體;一第二介電層,設置在該蝕刻終止層上且該第二介電層內具有至少一孔,其中該第二介電層之該孔與該蝕刻終止層之該開口連通;以及一第二導體,經至少部分設置在該第二介電層的該孔中且經由該蝕刻終止層之該開口將該第二導體電氣連接至該第一導體,其中該蝕刻終止層具有一間隔墊部分,該間隔墊部分係介於該第二導體與該第一介電層之間,且該蝕刻終止層之該間隔墊部分係圍繞該第一導體。
  6. 如請求項5所述之內連接結構,更包含:一第三導體,經部分地設置在該第一介電層中,其中該第一介電層具有面向該蝕刻終止層的一頂表面,該第三導體具有自該第一介電層之該頂表面隆起的一隆起部分,且該蝕刻終止層覆蓋該第三導體之該隆起部分。
  7. 如請求項6所述之內連接結構,其中該蝕刻終止層具有覆蓋該第三導體之該隆起部分的一凸起部分,該凸起部分具有覆蓋該第三導體之該隆起部分之一頂表面的一帽蓋部分及設置在該第三導體之該隆起部分之至少一側壁上的至少一間隔墊部分,且該間隔墊部分比該帽蓋部分厚。
  8. 一種內連接結構,包含:一第一介電層;至少一第一導體,至少部分設置在該第一介電層中;一第二介電層,具有一孔;一第三介電層,設置在該第一介電層與該第二介電層之間,該第三介電層具有與該第二介電層之該孔連通的一開口,其中該第三介電層之該開口至少部分曝露該第一導體,且該第三介電層對用於蝕刻該第二介電層之該孔之一蝕刻劑具有比該第二介電層更高的耐蝕刻性;一第二導體,經由該第二介電層之該孔及該第二介電層之該開口與該第一導體電氣連接;以及一第三導體,至少部分設置在該第一介電層中,該第三導體具有從該第一介電層隆起之一隆起部,其中該第三介電層覆蓋該第三導體之該隆起部。
  9. 如請求項8所述之內連接結構,其中該第三介電層對用於蝕刻該第一介電層之該孔之該蝕刻劑具有比該第一介電層更高的耐蝕刻性。
  10. 如請求項8所述之內連接結構,其中該第三介電層之該開口並未曝露該第一介電層。
TW104144500A 2014-12-30 2015-12-30 內連接結構及其製造方法 TWI567870B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462098184P 2014-12-30 2014-12-30
US14/850,848 US9780025B2 (en) 2014-12-30 2015-09-10 Interconnection structure and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201635437A TW201635437A (zh) 2016-10-01
TWI567870B true TWI567870B (zh) 2017-01-21

Family

ID=56117030

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104144500A TWI567870B (zh) 2014-12-30 2015-12-30 內連接結構及其製造方法

Country Status (5)

Country Link
US (5) US9780025B2 (zh)
KR (1) KR101829963B1 (zh)
CN (2) CN114361104A (zh)
DE (1) DE102015115617A1 (zh)
TW (1) TWI567870B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865533B2 (en) * 2014-12-24 2018-01-09 Medtronic, Inc. Feedthrough assemblies
US10136535B2 (en) 2014-12-24 2018-11-20 Medtronic, Inc. Hermetically-sealed packages including feedthrough assemblies
US9780025B2 (en) * 2014-12-30 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
US10098589B2 (en) 2015-12-21 2018-10-16 Medtronic, Inc. Sealed package and method of forming same
US10658318B2 (en) 2016-11-29 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Film scheme for bumping
US10978337B2 (en) * 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
US10943983B2 (en) * 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US11145544B2 (en) * 2018-10-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact etchback in room temperature ionic liquid
US11502001B2 (en) * 2018-10-31 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned vias
US11646352B2 (en) 2019-06-27 2023-05-09 Intel Corporation Stacked source-drain-gate connection and process for forming such
TWI752464B (zh) * 2020-04-14 2022-01-11 華邦電子股份有限公司 半導體結構及其形成方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200534458A (en) * 2004-04-06 2005-10-16 Taiwan Semiconductor Mfg Stable metal structure with tungsten plug

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09191084A (ja) * 1996-01-10 1997-07-22 Nec Corp 半導体装置及びその製造方法
US6147005A (en) * 1999-07-23 2000-11-14 Worldwide Semiconductor Manufacturing Corp. Method of forming dual damascene structures
WO2001080318A1 (en) * 2000-04-14 2001-10-25 Fujitsu Limited Semiconductor device and method of manufacturing thereof
JP2001358214A (ja) * 2000-06-15 2001-12-26 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法
KR100382738B1 (ko) * 2001-04-09 2003-05-09 삼성전자주식회사 반도체 소자의 메탈 컨택 형성 방법
KR100532455B1 (ko) * 2003-07-29 2005-11-30 삼성전자주식회사 Mim 커패시터 및 배선 구조를 포함하는 반도체 장치의제조 방법
KR100534100B1 (ko) 2003-12-15 2005-12-06 삼성전자주식회사 콘택 플러그의 상부 측벽을 노출시켜 전하저장전극을형성하는 반도체 소자의 제조 방법들
US8772938B2 (en) * 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US9627250B2 (en) * 2013-03-12 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for back end of line semiconductor device processing
US9583434B2 (en) * 2014-07-18 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Metal line structure and method
US9780025B2 (en) * 2014-12-30 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200534458A (en) * 2004-04-06 2005-10-16 Taiwan Semiconductor Mfg Stable metal structure with tungsten plug

Also Published As

Publication number Publication date
DE102015115617A1 (de) 2016-06-30
US9780025B2 (en) 2017-10-03
CN114361104A (zh) 2022-04-15
US10978389B2 (en) 2021-04-13
US20210225765A1 (en) 2021-07-22
US9911691B2 (en) 2018-03-06
US11694955B2 (en) 2023-07-04
KR101829963B1 (ko) 2018-02-19
TW201635437A (zh) 2016-10-01
KR20160082464A (ko) 2016-07-08
US20200111740A1 (en) 2020-04-09
US20180174962A1 (en) 2018-06-21
US10504833B2 (en) 2019-12-10
US20170098605A1 (en) 2017-04-06
CN105742235A (zh) 2016-07-06
US20160190062A1 (en) 2016-06-30

Similar Documents

Publication Publication Date Title
TWI567870B (zh) 內連接結構及其製造方法
US11264321B2 (en) Semiconductor devices employing a barrier layer
US7871923B2 (en) Self-aligned air-gap in interconnect structures
US9613880B2 (en) Semiconductor structure and fabrication method thereof
US10269705B2 (en) Semiconductor structure and manufacturing method thereof
US7545045B2 (en) Dummy via for reducing proximity effect and method of using the same
US20060246717A1 (en) Method for fabricating a dual damascene and polymer removal
US20070134917A1 (en) Partial-via-first dual-damascene process with tri-layer resist approach
KR102064571B1 (ko) 반도체 디바이스용 인터커넥트 구조
CN107731739B (zh) 半导体结构的形成方法
US20060194426A1 (en) Method for manufacturing dual damascene structure with a trench formed first
KR101842903B1 (ko) 에어 갭 상호연결 구조의 형성 방법
TW202123335A (zh) 形成半導體裝置結構的方法
TWI509740B (zh) 雙鑲嵌製程
KR20050118469A (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법