TWI829013B - 形成半導體裝置的方法 - Google Patents
形成半導體裝置的方法 Download PDFInfo
- Publication number
- TWI829013B TWI829013B TW110136068A TW110136068A TWI829013B TW I829013 B TWI829013 B TW I829013B TW 110136068 A TW110136068 A TW 110136068A TW 110136068 A TW110136068 A TW 110136068A TW I829013 B TWI829013 B TW I829013B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- forming
- patterned
- mask
- semiconductor device
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 185
- 239000004065 semiconductor Substances 0.000 title claims abstract description 97
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 62
- 238000000059 patterning Methods 0.000 claims abstract description 42
- 230000008569 process Effects 0.000 claims description 131
- 239000010408 film Substances 0.000 claims description 53
- 239000000758 substrate Substances 0.000 claims description 24
- 239000010409 thin film Substances 0.000 claims description 20
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 18
- 239000001301 oxygen Substances 0.000 claims description 18
- 229910052760 oxygen Inorganic materials 0.000 claims description 18
- 238000009832 plasma treatment Methods 0.000 claims description 17
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 11
- 229910052710 silicon Inorganic materials 0.000 claims description 11
- 239000010703 silicon Substances 0.000 claims description 11
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 6
- 238000000151 deposition Methods 0.000 claims description 6
- 230000001590 oxidative effect Effects 0.000 claims description 3
- 239000010410 layer Substances 0.000 description 454
- 238000005530 etching Methods 0.000 description 51
- 230000003667 anti-reflective effect Effects 0.000 description 19
- 230000007547 defect Effects 0.000 description 15
- 238000012876 topography Methods 0.000 description 13
- 239000000463 material Substances 0.000 description 12
- 238000012546 transfer Methods 0.000 description 11
- 238000012545 processing Methods 0.000 description 9
- 239000002893 slag Substances 0.000 description 9
- 238000000231 atomic layer deposition Methods 0.000 description 8
- 239000004020 conductor Substances 0.000 description 7
- 238000001459 lithography Methods 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 5
- 239000007789 gas Substances 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- 239000006117 anti-reflective coating Substances 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- 229910000673 Indium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- -1 SiOC Chemical compound 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- IISBACLAFKSPIT-UHFFFAOYSA-N bisphenol A Chemical compound C=1C=C(O)C=CC=1C(C)(C)C1=CC=C(O)C=C1 IISBACLAFKSPIT-UHFFFAOYSA-N 0.000 description 2
- KGBXLFKZBHKPEV-UHFFFAOYSA-N boric acid Chemical compound OB(O)O KGBXLFKZBHKPEV-UHFFFAOYSA-N 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical compound CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 229920003986 novolac Polymers 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 239000010802 sludge Substances 0.000 description 2
- 238000007740 vapor deposition Methods 0.000 description 2
- 229910052582 BN Inorganic materials 0.000 description 1
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 239000004593 Epoxy Substances 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 1
- AJGDITRVXRPLBY-UHFFFAOYSA-N aluminum indium Chemical compound [Al].[In] AJGDITRVXRPLBY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- URQUNWYOBNUYJQ-UHFFFAOYSA-N diazonaphthoquinone Chemical compound C1=CC=C2C(=O)C(=[N]=[N])C=CC2=C1 URQUNWYOBNUYJQ-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 239000002355 dual-layer Substances 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910052752 metalloid Inorganic materials 0.000 description 1
- 150000002738 metalloids Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- OWKFQWAGPHVFRF-UHFFFAOYSA-N n-(diethylaminosilyl)-n-ethylethanamine Chemical compound CCN(CC)[SiH2]N(CC)CC OWKFQWAGPHVFRF-UHFFFAOYSA-N 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000012856 packing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920001296 polysiloxane Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 230000011218 segmentation Effects 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- GIRKRMUMWJFNRI-UHFFFAOYSA-N tris(dimethylamino)silicon Chemical compound CN(C)[Si](N(C)C)N(C)C GIRKRMUMWJFNRI-UHFFFAOYSA-N 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31058—After-treatment of organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
Abstract
一種形成半導體裝置的方法包括形成光阻層在遮罩層上、圖案化光阻層、以及形成氧化層在已圖案化的光阻層的暴露表面上。使用已圖案化的光阻層作為遮罩以圖案化遮罩層。使用已圖案化的遮罩層作為遮罩以圖案化目標層。
Description
本揭示案實施例是有關於形成半導體裝置的方法。
隨著半導體裝置尺寸的縮小,各種製程技術(例如,微影技術)亦相應地調整以生產出更小尺度的裝置。舉例來說,由於閘極密度的增加,半導體裝置中各種特徵的製程技術相應地調整以縮小整體裝置特徵。然而,隨著半導體製程的製程容許範圍(process window)逐漸窄化,這類裝置的製程現已面臨逼近或甚至超過微影設備的理論極限。當半導體裝置的尺寸持續縮小,裝置內各元件之間的距離(即節距(pitch)),亦持續縮短,而使用傳統的光學遮罩和微影設備可能無法進一步縮短節距。
根據本揭示案的一個實施例,一種形成半導體裝置的方法包括圖案化光阻層,其中光阻層在遮罩層上。形成半導體裝置的方法還包括對光阻層進行氧電漿處理,其中
氧電漿處理藉由氧化光阻層的暴露表面以使光阻層轉變成已處理的光阻層。形成半導體裝置的方法還包括圖案化遮罩層,其中使用已處理的光阻層作為遮罩。形成半導體裝置的方法還包括圖案化目標層,其中使用已圖案化的遮罩層作為遮罩。
根據本揭示案的另一實施例,一種形成半導體裝置的方法包括形成薄膜在已圖案化的頂層,已圖案化的頂層在遮罩層上,遮罩層在目標層上,其中數個開口延伸穿過已圖案化的頂層以暴露遮罩層,薄膜的第一部分在已圖案化的頂層並具有第一厚度,薄膜的第二部分在開口的底表面並具有第二厚度,第一厚度大於第二厚度。形成半導體裝置的方法還包括圖案化遮罩層,其中使用已圖案化的頂層作為遮罩。形成半導體裝置的方法還包括使用已圖案化的遮罩層作為遮罩以圖案化目標層。
根據本揭示案的又一實施例,一種形成半導體裝置的方法包括形成薄膜堆疊在目標層上,目標層在基材上。形成半導體裝置的方法還包括形成光阻在薄膜堆疊上,光阻包括底層、中間層在底層上、及頂層在中間層上。形成半導體裝置的方法還包括圖案化頂層。形成半導體裝置的方法還包括形成氧化層在已圖案化的頂層的暴露表面上。形成半導體裝置的方法還包括圖案化中間層,其中已圖案化的頂層作為遮罩。形成半導體裝置的方法還包括圖案化底層,其中已圖案化的中間層作為遮罩。形成半導體裝置的方法還包括圖案化薄膜堆疊,其中已圖案化的底層作為
遮罩。形成半導體裝置的方法還包括圖案化目標層以形成數個開口穿過目標層,其中已圖案化的薄膜堆疊作為遮罩。形成半導體裝置的方法還包括形成數個導電特徵在開口中。
100:半導體裝置
102:目標層
104:半導體基材
106:抗反射層
108:硬遮罩層
110:介電層
114:底層
116:中間層
118:頂層
120:光阻
122:開口
124:開口
128:除渣製程
134:開口
140:開口
142:襯墊
144:導電材料
200:處理製程
220:已處理的頂層
302:薄膜
P1:節距
W1:寬度
W2:寬度
W3:寬度
W4:寬度
T1:厚度
T2:厚度
T3:厚度
閱讀以下實施方法時搭配附圖以清楚理解本揭示案的觀點。應注意的是,根據業界的標準做法,各種特徵並未按照比例繪製。事實上,為了能清楚地討論,各種特徵的尺寸可能任意地放大或縮小。
第1圖根據本揭示案的一些實施例繪示半導體裝置在其中一個製程階段的截面圖。
第2圖至第8圖根據本揭示案的一些實施例繪示半導體裝置在各個製程階段的截面圖。
第9圖根據本揭示案的一些實施例繪示半導體裝置在其中一個製程階段的俯視圖。
第10圖至第12圖根據本揭示案的一些實施例繪示半導體裝置在各個製程階段的截面圖。
以下的揭示內容提供許多不同的實施例或範例,以展示本揭示案的不同特徵。以下將揭示本揭示案各部件及其排列方式之特定範例,用以簡化本揭示案敘述。當然,這些特定範例並非用於限定本揭示案。例如,若是本揭示
案以下的發明內容敘述了將形成第一結構於第二結構之上或上方,即表示其包括了所形成之第一及第二結構是直接接觸的實施例,亦包括了尚可將附加的結構形成於上述第一及第二結構之間,則第一及第二結構為未直接接觸的實施例。此外,本揭示案說明中的各式範例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(些)元件或特徵部件的關係,可使用空間相關用語,例如「在...之下」、「下方」、「下部」、「上方」、「上部」及諸如此類用語。除了圖式所繪示之方位外,空間相關用語亦涵蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如,旋轉90度或者其他方位),則其中所使用的空間相關形容詞亦將依轉向後的方位來解釋。
本揭示案的一些實施例提供一種半導體裝置及方法。尤其是提供一種方法可保護已圖案化的光阻層的形貌,方法包括對光阻層進行處理製程(例如,電漿處理製程)以及形成薄膜在光阻層上。保護已圖案化的光阻層的形貌可使已圖案化的光阻層的圖案轉移至下方層的缺陷減少,從而可使後續形成在目標層中的導電特徵(例如金屬線)的線寬粗糙度(line width roughness,LWR)降低。具有較平滑形貌的導電特徵可降低表面電阻(sheet resistance)並因此提升裝置表現。
第1圖至第8圖根據本揭示案的一些實施例繪示形成特徵在半導體裝置100的目標層102上的各個製程階段之截面圖。根據本揭示案的一些實施例,數個圖案將形成在目標層102中。在一些實施例中,半導體裝置為較大晶圓的一部分。在這樣的實施例中,在形成半導體裝置100的各種特徵(例如,主動裝置、內連接結構、或類似者)之後,為了從晶圓中分開各個半導體晶粒(亦可被稱作分割(singulation)),可進行分割製程以劃分出晶圓的區域。
在一些實施例中,目標層102是金屬間介電(inter-metal dielectric,IMD)層。在這樣的實施例中,目標層102包括低介電常數(low-k)介電材料,舉例來說,介電常數(k值)低於3.8、低於3.0、或低於2.5。在一些實施例中,目標層102是具有高介電常數(high-k)介電材料的IMD層,其介電常數高於3.8。在一些實施例中,可藉由圖案化製程形成數個開口在目標層102中,及/或形成數個導電線或介層窗(via)在這些開口中,如下文中所述。
在一些實施例中,目標層102是半導體基材。半導體基材可包括半導體材料,例如矽、矽鍺、或類似者。在一些實施例中,半導體基材是結晶半導體基材,例如結晶矽基材、III-V族化合物半導體基材、或類似者。在一些實施例中,半導體基材可經過圖案化以定義出半導體鰭部(fin),並在後續製程中形成淺溝槽隔離(shallow trench isolation,STI)區域。半導體鰭部可能從STI
區域之間突出。S/D區域可形成在半導體鰭部上,以及閘極介電質與電極層可形成在半導體鰭部的通道區域上,藉此形成如鰭式場效電晶體(fin field effect transistor,finFET)或奈米結構場效電晶體(nanostructure field effect transistor,nano-FET)等的半導體裝置。
在一些實施例中,目標層102是導電層,例如毯覆式沉積的金屬層或多晶矽層。在一些實施例中,可對目標層102進行圖案化製程以圖案化出電晶體(例如finFET或nano-FET等)的半導體閘極及/或虛設閘極。在一些對導電目標層102進行圖案化製程之實施例中,相鄰的閘極距離越近,則閘極密度越高。
在第1圖中,具有目標層102的薄膜堆疊形成在半導體裝置100中。在一些實施例中,目標層102可形成在半導體基材104上。半導體基材104可由半導體材料組成,例如矽(摻雜或未摻雜)、或絕緣體上半導體(semiconductor-on-insulator,SOI)基材等。半導體基材104可包括其他半導體材料,例如鍺、化合物半導體、合金半導體、上述各者的組合、或類似者。化合物半導體可包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦。合金半導體可包括矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦及/或鎵銦砷磷。亦可使用其他基材,如多層基材或梯度基材。裝置如電晶體、二極體、電容、電阻等(未繪出)可形成在半導體基材104的主動表面中及/或上。在目標層102具有半導體基材用以形成
finFET的一些其他實施例中,半導體基材104可被省略。
雖然第1圖繪出目標層102物理接觸半導體基材104,但是目標層102和半導體基材104之間可設置任何數量的中介層。這些中介層可包括層間介電(inter-layer dielectric,ILD)層(具有低介電常數介電材料並且具有接觸栓塞形成在裡面)、其他IMD層(具有導電線及/或介層窗形成在裡面)、一或多個中間層(例如蝕刻終止層或黏著層等)、上述之組合、或類似者。舉例來說,蝕刻終止層(未繪出)可選擇性地設置在目標層102的正下方。蝕刻終止層可作為後續對目標層102進行蝕刻的終止位置。可根據目標層102的材料來調整蝕刻終止層的材料或形成蝕刻終止層的製程。在一些實施例中,蝕刻終止層可包括氮化矽、SiON、SiCON、SiC、SiOC、SiCxNy、SiOx、其他介電材料、或上述之組合等,並且蝕刻終止層的形成方式可包括電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、低壓CVD(low pressure CVD,LPCVD)、電漿氣相沉積(plasma vapor deposition,PVD)、或類似者。
薄膜堆疊可進一步包括抗反射層(anti-reflective coating,ARC)106形成在目標層102上。在圖案化光阻層的過程中,抗反射層106可有助於光阻層(位於抗反射層106上方)的曝光以及聚焦(稍後討論)。在一些實施例中,抗反射層106可包括SiON、碳
化矽、摻有氧(O)和氮(N)之材料、或類似者。在一些實施例中,抗反射層106實質上不具有氮,而可能由氧組成。在這樣的實施例中,抗反射層106可被稱作無氮抗反射層(nitrogen-free ARC,NFARC)。抗反射層106的形成方式可包括PECVD、高密度電漿(high-density plasma,HDP)沉積、或類似者。
薄膜堆疊可進一步包括硬遮罩層108,硬遮罩層108形成在抗反射層106和目標層102上。硬遮罩層108的組成材料可包括金屬(例如,氮化鈦、鈦、氮化鉭、鉭、金屬摻雜的碳化物(例如碳化鎢)、或類似者)及/或類金屬(metalloid)(例如氮化矽、氮化硼、碳化矽、或類似者),並且硬遮罩層108的形成方式可包括PCD、射頻PVD(radio frequency PVD,RFPVD)、原子層沉積(atomic layer deposition,ALD)、或類似者。在後續製程中,使用圖案化製程以在硬遮罩層108上形成圖案。接下來,在蝕刻目標層102時,硬遮罩層108可使用來當作目標層102的蝕刻遮罩。
薄膜堆疊可進一步包括介電層110,介電層110形成在硬遮罩層108上。介電層110可包括氧化矽,並可由摻硼磷矽酸鹽四乙氧基矽烷玻璃(borophosphosilicate tetraethylorthosilicate,BPTEOS)或未摻雜四乙氧基矽烷(TEOS)所組成,並藉由CVD、ALD、旋轉塗佈(spin-on coating)等形成介電層110。在一些實施例中,在對雙層或三層光阻遮罩中的
底層進行圖案化時,介電層110可作為蝕刻終止層。在一些實施例中,介電層110亦可作為抗反射層。
三層光阻120形成在薄膜堆疊的介電層110上。三層光阻120可包括底層114、在底層114上方的中間層116、以及在中間層116上方的頂層118。底層114和頂層118可由光阻(例如光敏性材料)所組成,其中包括有機材料。在一些實施例中,頂層118的材料包括碳,例如有機材料,舉例來說重氮萘醌(diazonaphthoquinone)、酚醛環氧樹脂(novolac resins)、雙酚A酚醛環氧樹脂(Bisphenol A novolac epoxy)、非化學計量硫醇烯(OSTE)聚合物(off-stoichiometry thiol-enes(OSTE)polymer)、類似者、或上述之組合。在一些實施例中,底層114亦可能是底部抗反射(bottom anti-reflective coating,BARC)層。
中間層116可包括無機材料,無機材料可能是氮化物(例如,氮化矽)、氮氧化物(例如,氮氧化矽)、氧化物(例如,氧化矽)、上述之組合、或類似者。中間層116具有相對於頂層118和底層114的高蝕刻選擇比(在經過如下文所述之調整以後)。可藉由如旋轉塗佈製程來依序地毯覆沉積三層光阻120中的各層。其他合適沉積方式,例如CVD、ALD、PCV等,亦可使用。
雖然僅討論三層光阻120,但是在一些其他的實施例中,光阻120可能是單層光阻或是雙層(例如,只具有底層114和頂層118,而不具有中間層116)光阻。在
使用微影製程以圖案化目標層102或在介電層110上的心軸層(mandrel)/間隔層的過程中,光阻的使用種類(例如,單層、雙層或三層)可能依據所採用的微影製程而定。
頂層118的圖案化是使用微影製程,如下文所述。隨後,頂層118可用來當作圖案化中間層116(見第4圖)的蝕刻遮罩。接下來,中間層116可用來當作圖案化底層114(見第5圖)的蝕刻遮罩。然後,底層114可用來圖案化介電層110和硬遮罩層108(見第6圖和第7圖),並且硬遮罩層108可接著用來圖案化抗反射層106(見第8圖)和目標層102(見第9圖)。
可使用任何合適的微影製程來圖案化頂層118以形成開口122在頂層118中。為了直接在單次圖案化製程中完成窄線寬的結構,微影製程可能採用極紫外(extreme ultraviolet,EUV)的光源。在一些實施例中,在圖案化頂層118以開口122在頂層118中的製程中,可在輻射光和頂層118之間設置光罩(未繪出)。隨後,在一些實施例中,頂層118暴露在輻射光之中以曝光頂層118。在一些實施例中,輻射光可包括輻射源,例如EUV、紫外光(ultraviolet,UV)、或準分子雷射,其中準分子雷射如KrF準分子雷射(248nm之波長)、ArF準分子雷射(193nm之波長)、或F2準分子雷射(157nm之波長)等,而光罩遮蔽頂層118的部分面積。在一些實施例中,可使用浸潤式微影系統來曝光頂層118,藉此增加解析度並縮小可達到的最小節距(pitch)。可進行烘烤(bake)或固化
(cure)操作以硬化頂層118,並且使用顯影劑以移除頂層118中的曝光部分或是未曝光部分(依據是否使用正型光阻或負型光阻)。
開口122可能在平面圖(未繪出)中具有長條狀。開口122的節距P1可能是單獨使用微影技術製程所能達到的最小節距。舉例而言,在一些實施例中,開口122的節距P1可在約30奈米(nm)至約100nm的範圍之間。開口122的節距P1亦可為其他範圍。開口122的寬度W1可在約15nm至約50nm的範圍之間,而頂層118的保留下來的各部分具有寬度W2,寬度W2可在約15nm至約50nm的範圍之間。寬度W1及寬度W2亦可為其他範圍。
在第2圖中,對頂層118進行一處理製程200,使頂層118轉變成已處理的頂層220。處理製程200可使後續形成在目標層102中的導電特徵(見第9圖和第10圖),例如金屬線,的線寬粗糙度(line width roughness,LWR)降低。具有較平滑形貌的導電特徵可降低表面電阻(sheet resistance)並因此提升裝置表現。在一些實施例中,在進行處理製程200之前進行除渣(de-scum)製程(見第3圖)。
在一些實施例中,處理製程200可為電漿處理,例如使用氧(O2)電漿。氧電漿可和頂層118的有機光阻材料產生反應,使得頂層118轉變成已處理的頂層220。在一些實施例中,頂層118轉變成已處理的頂層220的改變是一種氧化反應,是頂層118中的暴露表面的含碳成分
產生的氧化反應。已處理的頂層的邊緣和形貌的氧化可改變已處理的頂層的暴露表面上的鍵結,並且,相較於原本未處理的頂層118,可改善相對於中間層116材料的蝕刻選擇比,藉此可改善在後續製程(見第4圖)中中間層116的蝕刻情況。如此一來,可使後續形成在目標層102中的導電特徵的LWR降低。
處理製程200的操作溫度低於約攝氏200度,例如在約攝氏70度至約攝氏200度之間,這樣的操作溫度可有助於改善已處理的頂層相對於中間層116的蝕刻選擇比。處理製程200的操作溫度低於約攝氏70度可能是不利的,因電漿處理的效率下降而使已處理的頂層相對於中間層116的蝕刻選擇比變差。處理製程200的操作溫度高於約攝氏200度可能是不利的,因已處理的頂層的形貌可能受到損傷而使後續形成在目標層102中的導電特徵的LWR增加。
處理製程200的操作時間在約1秒至約10秒的範圍內,這樣的操作時間可有助於改善已處理的頂層相對於中間層116的蝕刻選擇比。處理製程200的操作時間低於約1秒可能是不利的,因電漿處理的效率下降而使已處理的頂層相對於中間層116的蝕刻選擇比變差。處理製程200的操作時間高於約10秒可能是不利的,因已處理的頂層的形貌可能受到損傷而使後續形成在目標層102中的導電特徵的LWR增加。
第3圖繪示在圖案化頂層118(如第1圖所示)之
後對半導體裝置100進行除渣製程128。除渣製程128自已處理的頂層和中間層116所暴露的部分均勻地移除少量已處理的頂層和中間層116的材料。除渣製程128提供已處理的頂層的光阻垂直形貌並移除圖案化操作後殘留在開口122內的已處理的頂層之殘留物。濕式除渣製程可使用任何合適的化學蝕刻劑,其包括氫氧化四甲銨(TMAH)、硫酸(H2SO4)、氫氧化鉀(KOH)、氫氧化硼(BOH)、其他合適的酸或氫氧化物、或上述之組合。乾式除渣製程可使用任何合適的氣體,其包括氧氣、含氟氣體、含氯氣體、氧基氣體、或上述之組合。除渣製程128可包括一或多個步驟。因為除渣製程128,使得開口122增大而形成開口124。開口124的寬度W3可在約16nm至約53nm的範圍之間。因此,已處理的頂層的最窄部分具有寬度W4,寬度W4可在約12nm至約49nm的範圍之間。雖然第2圖及第3圖描述除渣製程128是在處理製程200之後才進行,在一些其他的實施例中,除渣製程128可在處理製程200之前進行。
在圖案化頂層118、進行處理製程200、以及進行除渣製程128之後,第4圖繪示在蝕刻製程中已處理的頂層的圖案轉移至中間層116。蝕刻製程可為非等向性的,使得開口124可延伸穿過中間層116,並且開口124在中間層116的尺寸大約相同於開口在上方層(中間層116上方)的尺寸。已處理的頂層中已氧化的頂表面和側壁可抵抗來自蝕刻製程中的傷害,因此可降低已處理的頂層在蝕刻
製程的消耗量。由於已處理的頂層可保有足夠的量而不被蝕刻製程消耗,因此在蝕刻製程中已處理的頂層圖案轉移至中間層116的缺陷減少。具有較少圖案缺陷的中間層116可降低開口124側壁的粗糙度並改善後續轉移至下方層的圖案品質,藉此可使後續形成在目標層102中的導電特徵的LWR降低。
在第5圖中,進行蝕刻製程以將中間層116的圖案轉移至底層114,使得開口124可延伸穿過底層114。底層114的蝕刻製程可為非等向性的,因此在中間層116的開口124可延伸穿過底層114,並且開口124在底層114的尺寸大約相同於開口124在中間層116的尺寸。在已處理的頂層圖案轉移至中間層116的蝕刻製程中,已處理的頂層中已氧化的頂表面和側壁可降低已處理的頂層在此蝕刻製程中的消耗量,因此可降低開口124側壁的粗糙度並使中間層116的圖案轉移至底層114的缺陷減少。具有較少圖案缺陷的底層114可改善後續轉移至下方層的圖案品質,藉此可使後續形成在目標層102中的導電特徵的LWR降低。在底層114的蝕刻過程中,已處理的頂層的留下部分會被消耗。在一些實施例中,在對底層114進行蝕刻製程之前或之後,可使用不同的製程移除已處理的頂層的留下部分。
第6圖及第7圖繪示藉由將上方層圖案轉移至下方層的操作,持續對後續的層進行圖案化直到目標層102。第8圖繪示特徵沉積在目標層102中。第9圖繪示特徵沉
積在目標層102中的平面圖(俯視圖)。
在第6圖中,進行蝕刻製程以將底層114的圖案轉移至介電層110和硬遮罩層108,並形成開口134穿過介電層110和硬遮罩層108。介電層110和硬遮罩層108的蝕刻製程可為非等向性的,因此在底層114的開口124(見第5圖)可延伸穿過介電層110和硬遮罩層108,並使得開口134在介電層110的尺寸大約相同於開口124在底層114的尺寸。在已處理的頂層圖案轉移至中間層116的蝕刻製程中,已處理的頂層中已氧化的頂表面和側壁可降低已處理的頂層在此蝕刻製程中的消耗量,因此可降低開口124側壁的粗糙度並使轉移至底層114的圖案缺陷減少。具有較少圖案缺陷的底層114可改善後續轉移至介電層110和硬遮罩層108的圖案品質並可降低開口134側壁的粗糙度,藉此可進一步使後續形成在目標層102中的導電特徵的LWR降低。在介電層110和硬遮罩層108的蝕刻過程中,中間層116會被消耗而底層114可能至少部分被消耗。在一些實施例中,若在介電層110的蝕刻製程中底層114未被完全消耗,則可進行灰化(ashing)製程以移除底層114的殘留物。
在第7圖中,接下來,蝕刻抗反射層106和目標層102,其中硬遮罩層108可作為蝕刻遮罩以使開口134延伸至目標層102,從而形成開口140。已處理的頂層中已氧化的頂表面和側壁可使已處理的頂層的圖案轉移至硬遮罩層108的缺陷減少,以及使開口140側壁的粗糙度降
低。藉此,目標層102可具有較少的圖案缺陷、目標層102中的開口140的側壁可具有較低的粗糙度、以及後續形成在開口140中的導電特徵可具有較低的LWR。在抗反射層106和目標層102的蝕刻製程前,可進行濕式清潔製程以移除介電層110的任何殘留物。目標層102的蝕刻製程可包括非等向性的乾式及/或濕式蝕刻製程,蝕刻製程依序地蝕刻抗反射層106至目標層102。在開口134經圖案化而形成開口140之後,可進行濕式清潔製程以移除硬遮罩層108和抗反射層106的任何殘留物(見第8圖)。
在第8圖中,在圖案化目標層102中的開口140之後,可形成特徵在開口140中。在一些實施例中,目標層102包括低介電常數(low-k)介電材料,並且已圖案化的目標層102可作為內連接結構的IMD。導電特徵例如銅線、銅介層窗及/或鈷插塞可形成在如第8圖所示的IMD層中。導電特徵的形成方法可包括沿著開口140的側壁和底表面(見第7圖)沉積一或多個襯墊142。襯墊142可包括TiO、TiN、TaO、TaN或類似者,以及襯墊142可作為導電特徵的擴散阻擋層、黏著層及/或晶種層。襯墊的沉積方法可包括PVD、CVD、ALD或類似者。因為已處理的頂層中已氧化的頂表面和側壁(見第2圖)可使已處理的頂層的圖案較清楚地轉移至目標層102,所以導電特徵可具有較低的LWR。
在沉積襯墊142之後,將導電材料144填入剩下的開口部分,導電材料144可包括例如銅,並藉由例如
PVD、電鍍等方式。可先將導電材料144填滿並超過開口140(見第7圖),接著進行平坦化製程以從目標層102上移除導電材料144的多餘部分。因此,導電特徵可形成於目標層102中。
第9圖根據本揭示案的一些實施例繪示在導電特徵形成於目標層102中之後半導體裝置100的俯視圖。第9圖為導電材料144的圖案的一部分示意圖,其中目標層102環繞導電材料144。
第10圖承接第1圖,繪示出薄膜302形成在頂層118和中間層116的暴露表面上。在一些實施例中,薄膜302形成在已處理的頂層上,如第2圖所示。薄膜302形成在頂層118的頂表面上的厚度大於薄膜302形成在中間層116的暴露表面上的厚度,這是因為沉積製程上的限制和開口122(介於已圖案化的頂層內的各部分之間)的較大深寬比。薄膜302在頂層118的頂表面上的較厚的部分可在後續蝕刻製程中(見第12圖)保護頂層118的形貌,藉此可改善頂層118和中間層116之間的蝕刻選擇比並且使後續形成在目標層102中的導電特徵的LWR降低。在一些實施例中,薄膜302可包括氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽、碳氮氧化矽、類似者、或上述之組合。薄膜302可藉由ALD製程、電漿增強原子層沉積(plasma enhanced atomic layer deposition,PEALD)、CVD、PVD、類似者、或上述之組合而形成。在一些實施例中,薄膜302包括氧化矽並藉由PEALD而
形成,其中在PEALD中使用的前驅物氣體可包括三(二甲氨基)矽烷(tris(dimethylamino)silane,3DMAS)、雙(叔丁基氨基)矽烷(bis(tertiary-butyl-amino)silane,BTBAS)、雙(二乙氨基)矽烷(bis(diethylamino)silane,BDEAS)、類似者、或上述之組合。
薄膜302形成在頂層118的頂表面上的厚度T1在約3埃(Å)至約30埃的範圍內,這樣的厚度範圍可在後續蝕刻製程中保護頂層118的形貌。若薄膜302形成在頂層118的頂表面上的厚度小於約3埃,則在頂層118的頂表面上的薄膜302無法在後續蝕刻製程中保護頂層118的形貌,這導致後續形成在目標層102中的導電特徵(見第8圖)的LWR增加。若薄膜302形成在頂層118的頂表面上的厚度大於約30埃,則後續蝕刻製程無法對中間層116造成足夠的蝕刻量,這導致導電特徵以較差的方式形成在目標層102中。
薄膜302形成在中間層116的頂表面上的厚度T2在約5埃至約25埃的範圍內。在一些實施例中,厚度T1比厚度T2的比值在約1至約1.5的範圍內,這樣的比值範圍有助於在後續蝕刻製程中保護頂層118的形貌。若薄膜302的厚度T1比厚度T2的比值小於約1,則薄膜302無法在後續蝕刻製程中保護頂層118的形貌,這導致後續形成在目標層102中的導電特徵(見第9圖)的LWR增加。若薄膜302的厚度T1比厚度T2的比值大於約1.5,
則後續蝕刻製程無法對中間層116造成足夠的蝕刻量,這導致導電特徵以較差的方式形成在目標層102中。
第11圖承接第10圖,繪示出藉由任何合適的製程以對薄膜302進行薄化製程。薄化的薄膜302暴露出中間層116的頂表面,以便於後續將頂層118的圖案轉移至中間層116(見第12圖)。可藉由非等向性蝕刻以薄化頂層118,非等向性蝕刻例如反應性離子蝕刻(reactive ion etching,RIE)製程。在薄化製程之後,薄膜302可覆蓋頂層118的頂表面和側壁而使頂層118的有效高度增加。頂層118的有效高度增加可使頂層118的圖案轉移至中間層116的缺陷減少。
在薄化製程之後,薄膜302在頂層118的頂表面上的厚度T3在約0.1埃至約12.5埃的範圍內,這樣的厚度有助於在後續蝕刻製程中保護頂層118的形貌。若在薄化製程之後薄膜302在頂層118的頂表面上的厚度小於約0.1埃,則薄膜302無法在後續蝕刻製程中保護頂層118的形貌,這導致後續形成在目標層102中的導電特徵(見第9圖)的LWR增加。若在薄化製程之後薄膜302在頂層118的頂表面上的厚度大於約12.5埃,則在後續蝕刻製程中圖案以較差的方式轉移至中間層116,並進一步導致導電特徵以較差的方式形成在目標層102中。
第12圖承接第11圖,繪示頂層118的圖案在蝕刻製程中轉移至中間層116。在一些實施例中,蝕刻製程可為非等向性的,例如反應性離子蝕刻(reactive ion
etching,RIE)製程,使得開口124可延伸穿過中間層116,並且開口124在中間層116的尺寸大約相同於開口在上方層(中間層116上方)的尺寸。在一些實施例中,薄膜302的薄化及頂層118的圖案轉移至中間層116的操作可在同一的蝕刻製程或是分開的蝕刻製程中進行。在蝕刻製程中,薄膜302在頂層118的頂表面上的剩餘部分使頂層118的有效高度增加。頂層118的有效高度增加可提升頂層118相對於中間層116的蝕刻選擇比。如此一來,可使頂層118的圖案轉移至中間層116的缺陷減少,並且可使後續圖案轉移至更下方層(第5圖至第7圖)的缺陷減少,藉此使後續形成在目標層102中的導電特徵(例如,金屬導線)的LWR降低(見第8圖及第9圖)。蝕刻製程可移除薄膜302在頂層118的頂表面上的部分。在一些實施例中,在蝕刻製程之後薄膜302在頂層118的側壁上的部分保留下來,並且已圖案化的中間層116具有的寬度大於已圖案化的頂層118具有的寬度。薄膜302的剩下部分可在後續底層114的蝕刻製程(見第5圖)中移除。
在頂層118的圖案轉移至中間層116之後,後續的製程可如前面第5圖至第9圖中所描述。由於薄膜302保護頂層118的形貌,頂層118的圖案可透過中間層(例如中間層116、底層114、介電層110、硬遮罩層108和抗反射層106)轉移至目標層102並且較少圖案缺陷,藉此使後續形成在目標層102中的導電特徵的LWR降低。形成較平滑形貌的導電特徵可降低表面電阻並因此提升裝
置表現。
在本揭示的各種實施例中,當導線的間距為50nm或小於50nm時,在單一圖案化製程中發生較少的製程缺陷以及較高的良率可提升導線的製程可靠度。在一些其他的實施例中,當目標層102是導體層或半導體層時,以硬遮罩層108的互補圖案(見第6圖)對目標層102進行圖案化,其操作方法相似於前文所述,可參照第7圖。舉例來說,可沉積額外的遮罩層(未繪出)在硬遮罩層108的周圍。接著移除硬遮罩層108並使用額外的遮罩層來圖案化目標層102。形成的已圖案化的目標層102具有的圖案與硬遮罩層108具有的圖案相反(互補)。
實施例具有益處。對已圖案化的光阻進行處理製程(例如電漿處理)或形成薄膜在已圖案化的光阻上,藉此在後續蝕刻製程中保護已圖案化的光阻的形貌。受到保護的已圖案化的光阻的形貌可使已圖案化的光阻的圖案轉移至下方層的缺陷減少,從而可使後續形成在目標層中的導電特徵(例如金屬線)的LWR降低。具有較平滑形貌的導電特徵可降低表面電阻並因此提升裝置表現。
根據本揭示案的一些實施例,一種形成半導體裝置的方法包括圖案化光阻層,其中光阻層在遮罩層上。形成半導體裝置的方法還包括對光阻層進行氧電漿處理,其中氧電漿處理藉由氧化光阻層的暴露表面以使光阻層轉變成已處理的光阻層。形成半導體裝置的方法還包括圖案化遮罩層,其中使用已處理的光阻層作為遮罩。形成半導體裝
置的方法還包括圖案化目標層,其中使用已圖案化的遮罩層作為遮罩。在一些實施例中,氧電漿處理的操作溫度低於攝氏200度。在一些實施例中,氧電漿處理的操作時間在約1秒至約10秒的範圍內。在一些實施例中,光阻層包括碳。在一些實施例中,形成半導體裝置的方法還包括在圖案化光阻層之後對半導體裝置進行除渣製程。在一些實施例中,在對光阻層進行氧電漿處理之前進行除渣製程。在一些實施例中,在對光阻層進行氧電漿處理之後進行除渣製程。
根據本揭示案的另一些實施例,一種形成半導體裝置的方法包括形成薄膜在已圖案化的頂層,已圖案化的頂層在遮罩層上,遮罩層在目標層上,其中數個開口延伸穿過已圖案化的頂層以暴露遮罩層,薄膜的第一部分在已圖案化的頂層並具有第一厚度,薄膜的第二部分在開口的底表面並具有第二厚度,第一厚度大於第二厚度。形成半導體裝置的方法還包括圖案化遮罩層,其中使用已圖案化的頂層作為遮罩。形成半導體裝置的方法還包括使用已圖案化的遮罩層作為遮罩以圖案化目標層。在一些實施例中,薄膜為氧化矽、碳氧化矽、或碳氮氧化矽。在一些實施例中,形成薄膜包括電漿增強原子層沉積製程。在一些實施例中,形成半導體裝置的方法進一步包括在圖案化遮罩層之前進行薄化製程。在一些實施例中,在進行薄化製程之前,薄膜在已圖案化的頂層的頂表面上的厚度在約3埃至約30埃的範圍內。在一些實施例中,在進行薄化製程之後,
薄膜在已圖案化的頂層的頂表面上的厚度在約0.1埃至約12.5埃的範圍內。在一些實施例中,遮罩層具有薄膜堆疊在目標層上及光阻在薄膜堆疊上。
根據本揭示案的又一些實施例,一種形成半導體裝置的方法包括形成薄膜堆疊在目標層上,目標層在基材上。形成半導體裝置的方法還包括形成光阻在薄膜堆疊上,光阻包括底層、中間層在底層上、及頂層在中間層上。形成半導體裝置的方法還包括圖案化頂層。形成半導體裝置的方法還包括形成氧化層在已圖案化的頂層的暴露表面上。形成半導體裝置的方法還包括圖案化中間層,其中已圖案化的頂層作為遮罩。形成半導體裝置的方法還包括圖案化底層,其中已圖案化的中間層作為遮罩。形成半導體裝置的方法還包括圖案化薄膜堆疊,其中已圖案化的底層作為遮罩。形成半導體裝置的方法還包括圖案化目標層以形成數個開口穿過目標層,其中已圖案化的薄膜堆疊作為遮罩。形成半導體裝置的方法還包括形成數個導電特徵在開口中。在一些實施例中,形成氧化層包括進行O2電漿製程。在一些實施例中,形成氧化層包括沉積氧化物薄膜在已圖案化的頂層上。在一些實施例中,氧化物薄膜包括矽及碳。在一些實施例中,薄膜堆疊包括抗反射層、硬遮罩在抗反射層上、及介電層在硬遮罩上。在一些實施例中,形成半導體裝置的方法進一步包括形成襯墊在開口中。
以上概略說明了本揭示案數個實施例的特徵,使所屬技術領域內具有通常知識者對於本揭示案可更為容易理
解。任何所屬技術領域內具有通常知識者應瞭解到本揭示案可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本揭示案實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構並未脫離本揭示案之精神及保護範圍內,且可在不脫離本揭示案之精神及範圍內,可作更動、替代與修改。
100:半導體裝置
102:目標層
104:半導體基材
106:抗反射層
108:硬遮罩層
110:介電層
114:底層
116:中間層
122:開口
200:處理製程
220:已處理的頂層
Claims (10)
- 一種形成半導體裝置的方法,包括:圖案化一光阻層,其中該光阻層在一遮罩層上;在圖案化該光阻層之後,對該光阻層進行一氧電漿處理,其中該氧電漿處理藉由氧化該光阻層的一暴露表面以使該光阻層轉變成一已處理的光阻層;圖案化該遮罩層,其中使用該已處理的光阻層作為一第一遮罩;以及圖案化一目標層,其中使用已圖案化的該遮罩層作為一第二遮罩。
- 如請求項1所述之形成半導體裝置的方法,其中該氧電漿處理的一操作溫度低於攝氏200度。
- 如請求項1所述之形成半導體裝置的方法,其中該氧電漿處理的一操作時間在約1秒至約10秒的一範圍內。
- 一種形成半導體裝置的方法,包括:形成一薄膜在一已圖案化的頂層,該已圖案化的頂層在一遮罩層上,該遮罩層在一目標層上,其中複數個開口延伸穿過該已圖案化的頂層以暴露該遮罩層,該薄膜的一第一部分在該已圖案化的頂層並具有一第一厚度,該薄膜的一第二部分在該些開口的一底表面並具有一第二厚度,該 第一厚度大於該第二厚度;對該薄膜進行一薄化製程;在進行該薄化製程之後,圖案化該遮罩層,其中使用該已圖案化的頂層作為一第一遮罩;以及使用已圖案化的該遮罩層作為一第二遮罩以圖案化該目標層。
- 如請求項4所述之形成半導體裝置的方法,其中該薄膜為氧化矽、碳氧化矽、或碳氮氧化矽。
- 如請求項4所述之形成半導體裝置的方法,其中在進行該薄化製程之前,該薄膜在該已圖案化的頂層的一頂表面上的一厚度在約3埃(Å)至約30埃的一範圍內。
- 如請求項4所述之形成半導體裝置的方法,其中在進行該薄化製程之後,該薄膜在該已圖案化的頂層的一頂表面上的一厚度在約0.1埃(Å)至約12.5埃的一範圍內。
- 一種形成半導體裝置的方法,包括:形成一薄膜堆疊在一目標層上,該目標層在一基材上;形成一光阻在該薄膜堆疊上,該光阻包括一底層、一中間層在該底層上、及一頂層在該中間層上; 圖案化該頂層;形成一氧化層在已圖案化的該頂層的一暴露表面上;圖案化該中間層,其中已圖案化的該頂層作為一第一遮罩;圖案化該底層,其中已圖案化的該中間層作為一第二遮罩;圖案化該薄膜堆疊,其中已圖案化的該底層作為一第三遮罩;圖案化該目標層以形成複數個開口穿過該目標層,其中已圖案化的該薄膜堆疊作為一第四遮罩;以及形成複數個導電特徵在該些開口中。
- 如請求項8所述之形成半導體裝置的方法,其中形成該氧化層包括進行一O2電漿製程。
- 如請求項8所述之形成半導體裝置的方法,其中形成該氧化層包括沉積一氧化物薄膜在已圖案化的該頂層上。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/388,209 | 2021-07-29 | ||
US17/388,209 US11887851B2 (en) | 2021-07-29 | 2021-07-29 | Method for forming and using mask |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202305873A TW202305873A (zh) | 2023-02-01 |
TWI829013B true TWI829013B (zh) | 2024-01-11 |
Family
ID=84115429
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110136068A TWI829013B (zh) | 2021-07-29 | 2021-09-28 | 形成半導體裝置的方法 |
Country Status (3)
Country | Link |
---|---|
US (2) | US11887851B2 (zh) |
CN (1) | CN115394633A (zh) |
TW (1) | TWI829013B (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN116153779A (zh) * | 2023-03-03 | 2023-05-23 | 业成科技(成都)有限公司 | 导电结构及其制造方法 |
TWI833669B (zh) * | 2023-05-02 | 2024-02-21 | 南亞科技股份有限公司 | 具有複合式硬遮罩的半導體裝置結構及其製備方法 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20200373154A1 (en) * | 2018-08-31 | 2020-11-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Device and Method |
US20210066121A1 (en) * | 2017-11-15 | 2021-03-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Patterning Methods for Semiconductor Devices and Structures Resulting Therefrom |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7241683B2 (en) * | 2005-03-08 | 2007-07-10 | Lam Research Corporation | Stabilized photoresist structure for etching process |
US8764995B2 (en) | 2010-08-17 | 2014-07-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof |
US8841047B2 (en) | 2012-04-02 | 2014-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet lithography process and mask |
US8877409B2 (en) | 2012-04-20 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reflective mask and method of making same |
US9213234B2 (en) | 2012-06-01 | 2015-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photosensitive material and method of lithography |
US9256133B2 (en) | 2012-07-13 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for developing process |
US8828625B2 (en) | 2012-08-06 | 2014-09-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same |
US9028915B2 (en) | 2012-09-04 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a photoresist layer |
US9093530B2 (en) | 2012-12-28 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure of FinFET |
US9012132B2 (en) | 2013-01-02 | 2015-04-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Coating material and method for photolithography |
US9223220B2 (en) | 2013-03-12 | 2015-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photo resist baking in lithography process |
US9146469B2 (en) | 2013-03-14 | 2015-09-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Middle layer composition for trilayer patterning stack |
US8796666B1 (en) | 2013-04-26 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with strain buffer layer and methods of forming the same |
US9548303B2 (en) | 2014-03-13 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices with unique fin shape and the fabrication thereof |
US9529268B2 (en) | 2014-04-03 | 2016-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Systems and methods for improving pattern transfer |
US9256123B2 (en) | 2014-04-23 | 2016-02-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of making an extreme ultraviolet pellicle |
US9184054B1 (en) | 2014-04-25 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US9536759B2 (en) | 2015-05-29 | 2017-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd | Baking apparatus and method |
US10978301B2 (en) * | 2018-08-31 | 2021-04-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Morphology of resist mask prior to etching |
-
2021
- 2021-07-29 US US17/388,209 patent/US11887851B2/en active Active
- 2021-09-28 TW TW110136068A patent/TWI829013B/zh active
-
2022
- 2022-02-18 CN CN202210152792.9A patent/CN115394633A/zh active Pending
-
2024
- 2024-01-02 US US18/401,800 patent/US20240136184A1/en active Pending
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20210066121A1 (en) * | 2017-11-15 | 2021-03-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Patterning Methods for Semiconductor Devices and Structures Resulting Therefrom |
US20200373154A1 (en) * | 2018-08-31 | 2020-11-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Device and Method |
Also Published As
Publication number | Publication date |
---|---|
CN115394633A (zh) | 2022-11-25 |
US20230032703A1 (en) | 2023-02-02 |
TW202305873A (zh) | 2023-02-01 |
US11887851B2 (en) | 2024-01-30 |
US20240136184A1 (en) | 2024-04-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10867804B2 (en) | Patterning method for semiconductor device and structures resulting therefrom | |
US10340141B2 (en) | Patterning method for semiconductor device and structures resulting therefrom | |
US10049919B2 (en) | Semiconductor device including a target integrated circuit pattern | |
US10170307B1 (en) | Method for patterning semiconductor device using masking layer | |
TWI735934B (zh) | 半導體裝置的形成方法 | |
US20240136184A1 (en) | Method for forming and using mask | |
US10867794B2 (en) | Patterning method for semiconductor devices and structures resulting therefrom | |
US11854798B2 (en) | Semiconductor device and method | |
US11521856B2 (en) | Semiconductor patterning and resulting structures | |
TW201946100A (zh) | 半導體裝置之製造方法 | |
CN112017946B (zh) | 半导体结构的形成方法、晶体管 | |
TWI744897B (zh) | 形成半導體裝置結構的方法 | |
US11121026B2 (en) | Semiconductor device and method of manufacture | |
US6551938B1 (en) | N2/H2 chemistry for dry development in top surface imaging technology | |
US11392036B2 (en) | Photoresist and method | |
US20230154753A1 (en) | Patterned Semiconductor Device and Method | |
TW202234474A (zh) | 半導體裝置的形成方法 | |
TW202133225A (zh) | 形成半導體結構的方法 |