TWI690003B - 用於形成雙鑲嵌互連結構的方法 - Google Patents

用於形成雙鑲嵌互連結構的方法 Download PDF

Info

Publication number
TWI690003B
TWI690003B TW108106501A TW108106501A TWI690003B TW I690003 B TWI690003 B TW I690003B TW 108106501 A TW108106501 A TW 108106501A TW 108106501 A TW108106501 A TW 108106501A TW I690003 B TWI690003 B TW I690003B
Authority
TW
Taiwan
Prior art keywords
layer
hard mask
forming
dual damascene
dielectric stack
Prior art date
Application number
TW108106501A
Other languages
English (en)
Other versions
TW202027179A (zh
Inventor
許健
肖亮
董金文
嚴孟
肖莉紅
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Application granted granted Critical
Publication of TWI690003B publication Critical patent/TWI690003B/zh
Publication of TW202027179A publication Critical patent/TW202027179A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種用於形成雙鑲嵌互連結構的方法。首先提供一基底,所述基底具有一導體層、導體層上的一蝕刻停止層、蝕刻停止層上的一介電堆疊層和介電堆疊層上的一硬遮罩層。在硬遮罩層上形成具有一光阻開口的一光阻層。透過光阻開口蝕刻硬遮罩層以形成硬遮罩開口。透過硬遮罩開口蝕刻介電堆疊層以形成局部通路孔。修整光阻層以在局部通路孔上方形成一加寬的光阻開口。透過加寬的光阻開口蝕刻硬遮罩層,以在局部通路孔上方形成一加寬的硬遮罩開口。透過加寬的硬遮罩開口和局部通路孔蝕刻介電堆疊層,以形成雙鑲嵌通孔。

Description

用於形成雙鑲嵌互連結構的方法
本發明總體涉及半導體技術領域,更具體地說,涉及一種用於形成雙鑲嵌互連結構的方法。
如本領域中已知的,立體(3D)集成允許在面積和體積上減小系統尺寸。此外,由於3D互連比2D互連配置更短,因此可以提高性能,進而實現更高的操作步驟速度和更低的功耗。與其他新技術一樣,關注製程效率對於實現滿足性能、產量和成本要求的大批量製造(HVM)至關重要。
與超大型積體電路(ULSI)半導體佈線相關聯的高密度和高性能要求不斷提高,這需要愈來愈複雜的互連技術。隨著器件尺寸的減小,提供滿足低電阻和電容互連特性要求的互連技術變得越來越困難,特別是在亞微米(sub micro)層間互連和層內互連具有越來越高的深寬比的情況下。
圖1至圖10是示出用於形成介面雙鑲嵌通孔結構的現有技術方法的示意性截面圖。如圖1所示,提供例如半導體基底的基底100。基底100可以包括導體層101,例如金屬層、金屬線或金屬墊。在導體層101上形成蝕刻停止層102和介電堆疊層110。例如,介電堆疊層110可以包括下氧化層104、居間介電層106 和上氧化層108。例如,下氧化層104和上氧化層108可以是TEOS(四乙氧基矽烷的縮寫)氧化層。例如,居間介電層106可以是氮化矽層。
然後在介電堆疊層110上形成第一光阻層120。對第一光阻層120進行第一微影製程,以在第一光阻層120中形成開口120a。開口120a曝露出上氧化層108的頂表面的一部分。可以在第一光阻層120和介電堆疊層110之間形成例如氮氧化矽(SiON)層、旋塗碳(SOC)層或底部抗反射塗敷(BARC)層的附加材料層。
如圖2所示,接下來,執行例如異向性電漿乾式蝕刻製程的第一乾蝕刻製程,以透過第一光阻層120中的開口120a蝕刻穿過介電堆疊層110。第一乾蝕刻製程在蝕刻停止層102上停止,進而在介電堆疊層110中形成第一通孔110a。第一通孔110a延伸穿過上氧化層108、居間介電層106和下氧化層104。第一通孔110a曝露出蝕刻停止層102的頂表面的一部分。
如圖3所示,接下來,透過使用例如電漿灰化製程的常規方法剝離剩餘的第一光阻層120。例如,可以透過使處理室中的基底100經受含氧電漿或本領域已知的任何合適的剝離氣體來剝離剩餘的第一光阻層120。
如圖4所示,在去除剩餘的第一光阻層120之後,然後在介電堆疊層110上和第一通孔110a中形成例如旋塗碳(SOC)材料層或旋塗有機抗反射塗敷(ARC)層的硬遮罩層130。
如圖5所示,接下來,在硬遮罩層130上然後形成第二光阻層140。對 第二光阻層140進行第二微影製程,以在第二光阻層140中形成開口140a。開口140a曝露出硬遮罩層130的頂表面的一部分。開口140a與下面的第一通孔110a重疊。
如圖6所示,接下來,執行例如異向性電漿乾式蝕刻製程的硬遮罩蝕刻製程,以透過第二光阻層140中的開口140a蝕刻硬遮罩層130,進而在硬遮罩層130中形成開口130a。在硬遮罩乾式蝕刻製程期間,第一通孔110a的上部中的硬遮罩層130也被去除,進而在第一通孔110a的底部留下少量的硬遮罩層130。
如圖7所示,在圖案化硬遮罩層130之後,執行例如異向性電漿乾式蝕刻製程的第二乾式蝕刻製程,以透過硬遮罩層130中的開口130a蝕刻介電堆疊層110,進而在介電堆疊體110中並且在第一通孔110a上方形成第二通孔110b。第一通孔110a和第二通孔110b一起構成介面雙鑲嵌通孔11。
如圖8所示,在將硬遮罩層130的通孔圖案轉移到介電堆疊層110之後,透過使用例如電漿灰化製程的常規方法來剝離剩餘的硬遮罩層130。留在第一通孔110a的底部的少量剩餘硬遮罩層130也被去除。在剝離剩餘的硬遮罩層130之後,露出第一通孔110a。
如圖9所示,接下來,執行蝕刻製程以透過第一通孔110a蝕刻曝露的蝕刻停止層102,進而部分地曝露導體層101。
如圖10所示,例如金屬層的導體層201沉積在介面雙鑲嵌通孔11中。第一通孔110a和第二通孔110b填充有導體層201,進而形成介面雙鑲嵌通孔結構 201a,其電性連接到下面的導體層101。
如上所述,用於形成介面雙鑲嵌結構的現有技術方法涉及2個循環步驟的微影-蝕刻和一步的硬遮罩填充,這導致較長的時間和較高的成本,並且對於大規模生產是不利的。因此,在該工業中需要提供一種用於形成介面雙鑲嵌結構的改進方法,其能夠應對上述現有技術的缺點。
本發明的一個目的是提供一種用於形成介面雙鑲嵌結構的改進方法,以解決上述現有技術的缺點和不足。
本發明的一個方面提供一種用於形成雙鑲嵌互連結構的方法,包括:提供基底,所述基底具有導體層、導體層上的蝕刻停止層、蝕刻停止層上的介電堆疊層、以及介電堆疊層上的硬遮罩層;在硬遮罩層上形成具有光阻開口的光阻層;透過光阻開口蝕刻硬遮罩層,以在硬遮罩層中形成硬遮罩開口;透過硬遮罩開口蝕刻介電堆疊層,以在介電堆疊層中形成局部通路孔;修整光阻層以在局部通路孔上方形成加寬的光阻開口;透過加寬的光阻開口蝕刻硬遮罩層,以在局部通路孔上方形成加寬的硬遮罩開口;以及透過加寬的硬遮罩開口和局部通路孔蝕刻介電堆疊層,以在介電堆疊層中形成雙鑲嵌通孔。在一些實施例中,可以執行修整製程以修整硬遮罩層。
根據一些實施例,介電堆疊層包括下氧化層、下氧化層上的居間介電層和居間介電層上的上氧化層。
根據一些實施例,局部通路孔延伸穿過上氧化層和居間介電層,並曝露出下氧化層的頂表面的一部分。
根據一些實施例,下氧化層和上氧化層可以包括TEOS氧化層或HDP氧化層,並且居間介電層可以包括氮化矽層或氮摻雜碳化矽(SiCN)層。
根據一些實施例,雙鑲嵌通孔包括下氧化層中的下通孔部分和延伸穿過上氧化層、居間介電層且部分地穿過下氧化層的上通孔部分。下通孔部分曝露出蝕刻停止層的頂表面的一部分。
根據一些實施例,透過加寬的硬遮罩開口蝕刻介電堆疊層以在介電堆疊層中形成雙鑲嵌通孔還包括:穿過雙鑲嵌通孔蝕刻該蝕刻停止層,進而部分地曝露導體層。
根據一些實施例,用於形成雙鑲嵌互連結構的方法還包括:用填充層填充雙鑲嵌通孔。根據一些實施例,填充層包含銅、鋁、金、鎢、鈦、氮化鈦、矽化物、其任何組合或合金。
根據一些實施例,硬遮罩層包括旋塗碳(SOC)材料層或旋塗有機抗反射塗敷(ARC)層。
在閱讀了在各個視圖和附圖中示出的較佳實施例的以下詳細描述之後,本發明的這些和其他目的無疑將對本領域普通技術人員變得顯而易見。
10、201、301:導體層
100、300:基底
102、302:蝕刻停止層
104、304:下氧化層
106、306:居間介電層
108、308:上氧化層
11:介面雙鑲嵌通孔
110、310:介電堆疊層
110a:第一通孔
110b:第二通孔
120:第一光阻層
120a、130a、140a:開口
130、330:硬遮罩層
140:第二光阻層
201a、501a:介面雙鑲嵌通孔結構
31:雙鑲嵌通孔
310a:局部通路孔
31a:下通孔部分
31b:上通孔部分
330a:硬遮罩開口
330b:加寬的硬遮罩開口
410:光阻層
410a:光阻開口
411:微影膠圖案
411a:加寬的光阻開口
501:填充層
併入本文中並且構成說明書的部分的附圖示出了本發明的實施例,並且與說明書一起進一步用來對本發明的原理進行解釋,並且使相關領域技術人員能夠實施和使用本發明。
圖1至圖10是示出用於形成介面雙鑲嵌通孔結構的現有技術方法的示意性截面圖;圖11至圖19是示出根據本發明的一個實施例的用於形成介面雙鑲嵌通孔結構的方法的示意性截面圖;以及圖20和圖21是示出根據本發明另一實施例的用於形成介面雙鑲嵌通孔結構的方法的示意性截面圖。
將參考附圖來描述本發明的實施例。
現在將詳細參考本發明的示例性實施例,其在附圖中示出以便理解和實現本發明並實現技術效果。可以理解,以下描述僅透過示例的方式進行,而不是限制本發明。本發明的各種實施例和實施例中的彼此不衝突的各種特徵可以以各種方式組合和重新排列。在不脫離本發明的精神和範圍的情況下,對本發明的修改、等同物或改進對於本領域技術人員來說是可以理解的,並且旨在包含在本發明的範圍內。
要指出的是,在說明書中提到“一個實施例”、“實施例”、“示例性實施例”、“一些實施例”等指示所述的實施例可以包括特定特徵、結構或特性,但未必各個實施例都包括該特定特徵、結構或特性。此外,這樣的短語未必是指同一個實施例。
另外,在結合實施例描述特定特徵、結構或特性時,結合其它實施例(無論是否明確描述)影響這種特徵、結構或特性應在相關領域技術人員的知識範圍內。
通常,可以至少部分從上下文中的使用來理解術語。例如,至少部分取決於上下文,本文中使用的術語“一個或多個”可以用於描述單數意義的任何特徵、結構或特性,或者可以用於描述複數意義的特徵、結構或特性的組合。類似地,至少部分取決於上下文,諸如“一”或“所述”的術語可以被理解為表達單數使用或表達複數使用。
應當容易理解,本發明中的“在…上”、“在…之上”和“在…上方”的含義應當以最寬方式被解讀,以使得“在…上”不僅表示“直接在”某物“上”而且還包括在某物“上”且其間有居間特徵或層的含義,並且“在…之上”或“在…上方”不僅表示“在”某物“之上”或“上方”的含義,而且還可以包括其“在”某物“之上”或“上方”且其間沒有居間特徵或層(即,直接在某物上)的含義。
此外,諸如“在…之下”、“在…下方”、“下部”、“在…之上”、 “上部”等空間相關術語在本文中為了描述方便可以用於描述一個元件或特徵與另一個或多個元件或特徵的關係,如在附圖中示出的。
空間相關術語旨在涵蓋除了在附圖所描繪的取向之外的在設備使用或操作步驟中的不同取向。設備可以以另外的方式被定向(旋轉90度或在其它取向),並且本文中使用的空間相關描述詞可以類似地被相應解釋。
如本文中使用的,術語“基底”是指向其上增加後續材料的材料。可以對基底自身進行圖案化。增加在基底的頂部上的材料可以被圖案化或可以保持不被圖案化。此外,基底可以包括寬範圍的半導體材料,例如矽、鍺、砷化鎵、磷化銦等。替代地,基底可以由諸如玻璃、塑膠或藍寶石晶圓的非導電材料製成。
如本文中使用的,術語“層”是指包括具有厚度的區域的材料部分。層可以在下方或上方結構的整體之上延伸,或者可以具有小於下方或上方結構範圍的範圍。此外,層可以是厚度小於連續結構的厚度的均質或非均質連續結構的區域。例如,層可以位於在連續結構的頂表面和底表面之間或在頂表面和底表面處的任何水平面對之間。層可以水平、垂直和/或沿傾斜表面延伸。基底可以是層,其中可以包括一個或多個層,和/或可以在其上、其上方和/或其下方具有一個或多個層。層可以包括多個層。例如,互連層可以包括一個或多個導體和接觸層(其中形成觸點、互連線和/或通孔)和一個或多個介電層。
如本文使用的,術語“標稱/標稱地”是指在生產或製程的設計階段期間設置的針對部件或製程操作步驟的特性或參數的期望或目標值,以及高於 和/或低於期望值的值的範圍。值的範圍可能是由於製造製程或容限中的輕微變化導致的。如本文使用的,術語“大約”指示可以基於與主題半導體器件相關聯的特定技術節點而變化的給定量的值。基於特定技術節點,術語“大約”可以指示給定量的值,其例如在值的10%-30%(例如,值的±10%、±20%或±30%)內變化。
本發明涉及一種用於形成雙鑲嵌互連結構(例如介面雙鑲嵌通孔結構)的改進方法。儘管這裡的實施例和附圖示出了用於形成介面雙鑲嵌通孔結構的示例性方法,但是應該理解,本發明可以適用於形成其他雙鑲嵌互連結構,其具有增加通孔製程餘量的益處。此外,本發明中的方法可適用於後段工序(BEOL)通孔/金屬製程。
圖11至圖19是示出根據本發明的一個實施例的用於形成介面雙鑲嵌通孔結構的方法的示意性截面圖。如圖11所示,提供例如半導體基底的基底300。半導體基底被定義為包括半導體材料的任何構造,包括但不限於塊狀矽、半導體晶片、絕緣體上矽(SOI)基底或矽鍺基底。基底300可以包括導體層301,例如金屬層、金屬線或金屬墊,但不限於此。根據一個實施例,導體層301可以包括銅,但不限於此。根據一個實施例,導體層301可以包括多晶矽,但不限於此。可以在導體層301上形成蝕刻停止層302和介電堆疊層310。根據一個實施例,蝕刻停止層302可以直接沉積在導體層301上。
根據一個實施例,蝕刻停止層302可以包括氮化矽、氮氧化矽、碳化矽等,但不限於此。根據一個實施例,介電堆疊層310可包括下氧化層304、下氧化層304上的居間介電層306、以及居間介電層306上的上氧化層308。例如, 下氧化層304和上氧化層308可包括TEOS(的縮寫)氧化層或HDP(高密度電漿)氧化層。例如,居間介電層306可以包括氮化矽層或摻氮碳化矽(SiCN)層。在一些實施例中,介電堆疊層310可以是氧化物-氮化物-氧化物堆疊層。在一些實施例中,介電堆疊層310可僅包括氧化物。在一些實施例中,介電堆疊層310可僅包括氮化物。介電堆疊層310可以包括其他多層堆疊體。
應理解,根據其他實施例,介電堆疊層110可包括其他介電材料或層。例如,介電材料可包括二氧化矽、氟化四乙氧基矽烷、碳摻雜氧化物、氫倍半矽氧烷、低k介電、超低k(k<2.6)介電等。例如,蝕刻停止層302和介電堆疊層110可以透過多種沉積技術中的任一種來形成,沉積技術包括LPCVD(低壓化學氣相沉積)、APCVD(大氣壓化學氣相沉積)、PECVD(電漿增強化學氣相沉積)、PVD(物理氣相沉積)、濺射和未來開發的沉積製程。
應當理解,基底300可以包括其他層和/或元件,並且為了簡單起見,這些層和/或元件未在圖中明確示出。例如,基底300還可以包括在導體層301下面和/或導體層301周圍的層間介電(ILD)層。例如,可以在基底300的其他區域內的層間介電層中形成金屬互連結構和/或儲存結構。
根據一個實施例,然後在介電堆疊層310上形成例如旋塗碳(SOC)材料層或旋塗有機抗反射塗敷(ARC)層的硬遮罩層330。根據一個實施例,例如,上述SOC材料層可具有高碳含量,用於耐蝕刻性、低膜收縮和/或良好的間隙填充性能。然後在硬遮罩層330上形成光阻層410。光阻層410可以透過多種技術形成,例如,在基底300的表面上旋塗微影膠。例如,光阻層410的厚度的範圍可以在約1000和約20000埃之間,但不限於此。對光阻層410進行微影製程以 在光阻層410中形成光阻開口410a。光阻開口410a曝露硬遮罩層330的頂表面的一部分。
如圖12所示,接下來,執行例如異向性電漿乾式蝕刻製程的第一硬遮罩蝕刻製程,以透過光阻層410中的光阻開口410a蝕刻硬遮罩層330。根據一個實施例,第一硬遮罩蝕刻製程可以在上氧化層308上停止。在硬遮罩層330中形成硬遮罩開口330a。硬遮罩開口330a曝露上氧化層308的頂表面的一部分。
如圖13所示,在圖案化硬遮罩層330之後,執行例如異向性電漿乾式蝕刻製程的第一乾蝕刻製程,以透過硬遮罩層330中的硬遮罩開口330a蝕刻介電堆疊層310,進而形成介電堆疊層310中的局部通路孔310a。根據一個實施例,第一乾蝕刻製程在下氧化層304上停止。即,局部通路孔310a延伸穿過上氧化層308和居間介電層306,並曝露出下氧化層304的頂表面的一部分。
如圖14所示,在完成第一乾蝕刻製程之後,對剩餘的光阻層410進行例如電漿灰化製程的修整製程,以在局部通路孔310a上方形成減小的微影膠圖案411和加寬的光阻開口411a。透過加寬的光阻開口411a部分地曝露出硬遮罩層330的頂表面。在一些實施例中,可以執行修整製程以修整硬遮罩層。
應當理解,可以透過改變修整製程的一個或多個參數來控制可以透過修整製程,而調整減少體積和大小。例如,可以改變修整氣體化學、在修整製程期間提供的功率和/或修整製程的持續時間,以影響在修整製程中去除的剩餘光阻層410的量。
還應注意,儘管圖14中描繪的減少的微影膠圖案411呈現為具有非常精確和清晰的輪廓,但是本領域技術人員將認識到,在修整製程期間,這些圖案在形狀上可以變得更圓潤並且波狀成形。因此,結果是產生更小的微影膠圖案411,其尺寸小於圖13中所示的尺寸。
如圖15所示,在修整製程完成之後,執行例如異向性電漿乾式蝕刻製程的第二硬遮罩蝕刻製程,以透過減小的微影膠圖案411的加寬的光阻開口411a,選擇性地蝕刻硬遮罩層330,進而在硬遮罩層330中形成加寬的硬遮罩開口330b。根據一個實施例,第二硬遮罩蝕刻製程可以是選擇性蝕刻製程。這意味著在第二硬遮罩蝕刻製程期間基本上不蝕刻介電堆疊層310。加寬的硬遮罩開口330b位於局部通路孔310a的正上方。加寬的硬遮罩開口330b限定了將在介電堆疊層310中形成的雙鑲嵌通孔的上部的形狀和圖案。
根據另一個實施例,如圖20和圖21所示,在第一乾蝕刻製程期間光阻層410被完全消耗的情況下,可以執行修整製程以減小剩餘的硬遮罩層330的尺寸。在剩餘的硬遮罩層330減小之後,同樣地,加寬的硬遮罩開口330b形成在局部通路孔310a的正上方,如圖21所示。
如圖16所示,執行例如異向性電漿乾式蝕刻製程的第二乾式蝕刻製程,以透過硬遮罩層330中的加寬的硬遮罩開口330b蝕刻介電堆疊層310的上氧化層308和居間介電層306,並透過局部通路孔310a蝕刻下氧化層304,進而在介電堆疊層310中形成雙鑲嵌通孔31。
根據一個實施例,雙鑲嵌通孔31包括下氧化層304中的下通孔部分 31a和延伸穿過上氧化層308、居間介電層306且部分地穿過下氧化層304的上通孔部分31b。根據一個實施例,下通孔部分31a曝露蝕刻停止層302的頂表面的一部分。應理解,在一些實施例中,曝露的蝕刻停止層302的一部分可在上述第二乾式蝕刻製程期間被蝕刻掉。根據一個實施例,上通孔部分31b的尺寸(或通孔直徑)可以大於下通孔部分31a的尺寸。
如圖17所示,在將硬遮罩層330的通孔圖案轉移到介電堆疊層310之後,透過使用例如電漿灰化製程的常規方法來剝離剩餘的硬遮罩層330。在剝離剩餘的硬遮罩層330之後,露出上氧化層308的頂表面。
如圖18所示,接下來,可以執行例如濕式蝕刻製程或乾式蝕刻製程的蝕刻製程,以透過雙鑲嵌通孔31蝕刻曝露的蝕刻停止層302,進而部分地曝露出導體層301。根據一個實施例,在將蝕刻停止層302開口的蝕刻製程期間,可以消耗上氧化層308的一部分和下氧化層304的一部分。
如圖19所示,例如金屬層的填充層501沉積在雙鑲嵌通孔31中。下通孔部分31a和上通孔部分31b填充有填充層501,進而形成電性連接到下部導體層301的介面雙鑲嵌通孔結構501a。根據一個實施例,填充層501可以包括銅、鋁、金、鎢、鈦、氮化鈦、矽化物、其任何組合或合金。根據一個實施例,填充層501可以包括銅層和擴散阻擋層(未示出),但不限於此。雖然未在圖中示出,但是根據一些實施例,可以執行例如化學機械拋光製程的後續拋光製程以去除介面雙鑲嵌通孔31外部的多餘填充層501。
使用所公開的方法具有相較傳統技術的優點,因為透過使用光阻/硬 遮罩修整製程來代替現有技術的2個循環(迴圈)的微影-蝕刻方法,用於形成介面雙鑲嵌通孔結構的製造製程變得更有效。由於可以節省一個光遮罩,因此處理時間和成本都可降低。當將該方法實現為M1的最小設計CD(臨界尺寸)作為3D-NAND製程中的位元線時,本發明還提供了增大的通孔製程餘量的益處。本發明中的方法還可以適用於後段工序(BEOL)通孔/金屬製程。
因此,本發明的一個方面提供了一種用於形成雙鑲嵌互連結構的方法,包括:提供基底,所述基底具有導體層、導體層上的蝕刻停止層、蝕刻停止層上的介電堆疊層、以及介電堆疊層上的硬遮罩層;在硬遮罩層上形成具有光阻開口的光阻層;透過光阻開口蝕刻硬遮罩層,以在硬遮罩層中形成硬遮罩開口;透過硬遮罩開口蝕刻介電堆疊層,以在介電堆疊層中形成局部通路孔;修整光阻層以在局部通路孔上方形成加寬的光阻開口;透過加寬的光阻開口蝕刻硬遮罩層,以在局部通路孔上方形成加寬的硬遮罩開口;以及透過加寬的硬遮罩開口和局部通路孔蝕刻介電堆疊層,以在介電堆疊層中形成雙鑲嵌通孔。
根據一些實施例,介電堆疊層包括下氧化層、下氧化層上的居間介電層和居間介電層上的上氧化層。
根據一些實施例,局部通路孔延伸穿過上氧化層和居間介電層,並曝露出下氧化層的頂表面的一部分。
根據一些實施例,下氧化層和上氧化層可以包括TEOS氧化層或HDP氧化層,並且居間介電層可以包括氮化矽層或氮摻雜碳化矽(SiCN)層。
根據一些實施例,雙鑲嵌通孔包括下氧化層中的下通孔部分和延伸穿過上氧化層、居間介電層且部分地穿過下氧化層的上通孔部分。下通孔部分曝露出蝕刻停止層的頂表面的一部分。
根據一些實施例,透過加寬的硬遮罩開口蝕刻介電堆疊層以在介電堆疊層中形成雙鑲嵌通孔還包括:透過雙鑲嵌通孔蝕刻該蝕刻停止層,進而部分地曝露出導體層。
根據一些實施例,用於形成雙鑲嵌互連結構的方法還包括:用填充層填充雙鑲嵌通孔。根據一些實施例,填充層包含銅、鋁、金、鎢、鈦、氮化鈦、矽化物、其任何組合或合金。
根據一些實施例,硬遮罩層包括旋塗碳(SOC)材料層或旋塗有機抗反射塗敷(ARC)層。
本領域技術人員將容易地觀察到,可以在保留本發明的教導的同時對裝置和方法進行多種修改和變更。因此,上述公開內容應被解釋為僅受所附權利要求的範圍和界限的限制。
以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
301:導體層
300:基底
302:蝕刻停止層
304:下氧化層
306:居間介電層
308:上氧化層
310:介電堆疊層
501a:介面雙鑲嵌通孔結構
31:雙鑲嵌通孔
31a:下通孔部分
31b:上通孔部分
501:填充層

Claims (16)

  1. 一種用於形成一雙鑲嵌互連結構的方法,包括:提供一基底,所述基底具有一導體層、所述導體層上的一蝕刻停止層、所述蝕刻停止層上的一介電堆疊層、以及所述介電堆疊層上的一硬遮罩層,其中所述介電堆疊層包括一下氧化層、所述下氧化層上的一居間介電層、以及所述居間介電層上的一上氧化層;在所述硬遮罩層上形成具有一光阻開口的一光阻層;透過所述光阻開口蝕刻所述硬遮罩層,以在所述硬遮罩層中形一成硬遮罩開口;透過所述硬遮罩開口蝕刻所述介電堆疊層,以在所述介電堆疊層中形成一局部通路孔;修整所述光阻層以在所述局部通路孔上方形成一加寬的光阻開口;透過所述加寬的光阻開口蝕刻所述硬遮罩層,以在所述局部通路孔上方形成一加寬的硬遮罩開口;以及透過所述加寬的硬遮罩開口和所述局部通路孔蝕刻所述介電堆疊層,以在所述介電堆疊層中形成一雙鑲嵌通孔,其中所述雙鑲嵌通孔包括所述下氧化層中的下通孔部分,以及延伸穿過所述上氧化層、所述居間介電層且部分地穿過所述下氧化層的上通孔部分。
  2. 如申請專利範圍第1項所述的用於形成雙鑲嵌互連結構的方法,其中,所述局部通路孔延伸穿過所述上氧化層和所述居間介電層,並曝露出所述下氧化層的頂表面的一部分。
  3. 如申請專利範圍第1項所述的用於形成雙鑲嵌互連結構的方法, 其中,所述下氧化層和所述上氧化層包括一四乙氧基矽烷(TEOS)氧化層或一高密度電漿(HDP)氧化層,並且其中,所述居間介電層包括一氮化矽層或一氮摻雜碳化矽(SiCN)層。
  4. 如申請專利範圍第1項所述的用於形成雙鑲嵌互連結構的方法,其中,所述下通孔部分曝露出所述蝕刻停止層的頂表面的一部分。
  5. 如申請專利範圍第1項所述的用於形成雙鑲嵌互連結構的方法,其中,所述透過加寬的硬遮罩開口蝕刻所述介電堆疊層,以在所述介電堆疊層中形成所述雙鑲嵌通孔還包括:透過所述雙鑲嵌通孔蝕刻所述蝕刻停止層,進而部分地曝露出所述導體層。
  6. 如申請專利範圍第5項所述的用於形成雙鑲嵌互連結構的方法,還包括:用一填充層填充所述雙鑲嵌通孔。
  7. 如申請專利範圍第6項所述的用於形成雙鑲嵌互連結構的方法,其中,所述填充層包括銅、鋁、金、鎢、鈦、氮化鈦、矽化物、其任何組合或合金。
  8. 如申請專利範圍第1項所述的用於形成雙鑲嵌互連結構的方法,其中,所述硬遮罩層包括一旋塗碳(SOC)材料層或一旋塗有機抗反射塗敷(ARC)層。
  9. 一種用於形成雙鑲嵌互連結構的方法,包括:提供一基底,所述基底具有一導體層、所述導體層上的一蝕刻停止層、所述蝕刻停止層上的一介電堆疊層、以及所述介電堆疊層上的一硬遮罩層,其中所述介電堆疊層包括一下氧化層、所述下氧化層上的一居間介電層、以及所述居間介電層上的一上氧化層;在所述硬遮罩層上形成具有一光阻開口的一光阻層;透過所述光阻開口蝕刻所述硬遮罩層,以在所述硬遮罩層中形成一硬遮罩開口;透過所述硬遮罩開口蝕刻所述介電堆疊層,以在所述介電堆疊層中形成一局部通路孔;蝕刻所述硬遮罩層,以在所述局部通路孔上方形成一加寬的硬遮罩開口;以及穿過所述加寬的硬遮罩開口和所述局部通路孔蝕刻所述介電堆疊層,以在所述介電堆疊層中形成一雙鑲嵌通孔,其中所述雙鑲嵌通孔包括所述下氧化層中的下通孔部分,以及延伸穿過所述上氧化層、所述居間介電層且部分地穿過所述下氧化層的上通孔部分。
  10. 如申請專利範圍第9項所述的用於形成雙鑲嵌互連結構的方法,其中,所述局部通路孔延伸穿過所述上氧化層和所述居間介電層,並曝露出所述下氧化層的頂表面的一部分。
  11. 如申請專利範圍第9項所述的用於形成雙鑲嵌互連結構的方法,其中,所述下氧化層和所述上氧化層包括一四乙氧基矽烷(TEOS)氧化層或一高密度電漿(HDP)氧化層,並且其中,所述居間介電層包括一氮化矽層或一氮摻雜 碳化矽(SiCN)層。
  12. 如申請專利範圍第9項所述的用於形成雙鑲嵌互連結構的方法,其中,所述下通孔部分曝露出所述蝕刻停止層的頂表面的一部分。
  13. 如申請專利範圍第9項所述的用於形成雙鑲嵌互連結構的方法,其中,所述透過加寬的硬遮罩開口蝕刻所述介電堆疊層,以在所述介電堆疊層中形成所述雙鑲嵌通孔還包括:透過所述雙鑲嵌通孔蝕刻所述蝕刻停止層,進而部分地曝露出所述導體層。
  14. 如申請專利範圍第13項所述的用於形成雙鑲嵌互連結構的方法,還包括:用一填充層填充所述雙鑲嵌通孔。
  15. 如申請專利範圍第14項所述的用於形成雙鑲嵌互連結構的方法,其中,所述填充層包括銅、鋁、金、鎢、鈦、氮化鈦、矽化物、其任何組合或合金。
  16. 如申請專利範圍第9項所述的用於形成雙鑲嵌互連結構的方法,其中,所述硬遮罩層包括一旋塗碳(SOC)材料層或一旋塗有機抗反射塗敷(ARC)層。
TW108106501A 2019-01-02 2019-02-26 用於形成雙鑲嵌互連結構的方法 TWI690003B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/CN2019/070118 2019-01-02
PCT/CN2019/070118 WO2020140202A1 (en) 2019-01-02 2019-01-02 Method for forming dual damascene interconnect structure

Publications (2)

Publication Number Publication Date
TWI690003B true TWI690003B (zh) 2020-04-01
TW202027179A TW202027179A (zh) 2020-07-16

Family

ID=66564424

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108106501A TWI690003B (zh) 2019-01-02 2019-02-26 用於形成雙鑲嵌互連結構的方法

Country Status (4)

Country Link
US (1) US10692756B1 (zh)
CN (1) CN109804463B (zh)
TW (1) TWI690003B (zh)
WO (1) WO2020140202A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110494971B (zh) * 2019-06-27 2020-06-26 长江存储科技有限责任公司 互连结构及其形成方法
DE102021121370A1 (de) 2021-08-17 2023-02-23 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Verfahren zum prozessieren einer halbleiterschichtenfolge und bauelement

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020173160A1 (en) * 2001-03-30 2002-11-21 Douglas Keil Plasma etching of organic antireflective coating
US20030024902A1 (en) * 2001-03-30 2003-02-06 Li Si Yi Method of plasma etching low-k dielectric materials
US20040115876A1 (en) * 2002-10-10 2004-06-17 Asm Japan K.K. Method of manufacturing silicon carbide film
US20130143408A1 (en) * 2010-03-29 2013-06-06 Silecs Oy Etch resistant alumina based coatings

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6083822A (en) * 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6617257B2 (en) * 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
JP2002373936A (ja) * 2001-06-14 2002-12-26 Nec Corp デュアルダマシン法による配線形成方法
JP2003303808A (ja) * 2002-04-08 2003-10-24 Nec Electronics Corp 半導体装置の製造方法
JP4034115B2 (ja) * 2002-05-14 2008-01-16 富士通株式会社 半導体装置の製造方法
KR20050032308A (ko) * 2003-10-01 2005-04-07 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
KR100568257B1 (ko) * 2004-07-29 2006-04-07 삼성전자주식회사 듀얼 다마신 배선의 제조방법
KR20060065184A (ko) * 2004-12-10 2006-06-14 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
KR100707652B1 (ko) * 2005-12-26 2007-04-13 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US8188527B2 (en) * 2006-06-07 2012-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded capacitor in semiconductor device and method for fabricating the same
DE102008063430B4 (de) 2008-12-31 2016-11-24 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
US9917027B2 (en) * 2015-12-30 2018-03-13 Globalfoundries Singapore Pte. Ltd. Integrated circuits with aluminum via structures and methods for fabricating the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020173160A1 (en) * 2001-03-30 2002-11-21 Douglas Keil Plasma etching of organic antireflective coating
US20030024902A1 (en) * 2001-03-30 2003-02-06 Li Si Yi Method of plasma etching low-k dielectric materials
US20040115876A1 (en) * 2002-10-10 2004-06-17 Asm Japan K.K. Method of manufacturing silicon carbide film
US20130143408A1 (en) * 2010-03-29 2013-06-06 Silecs Oy Etch resistant alumina based coatings

Also Published As

Publication number Publication date
US20200211895A1 (en) 2020-07-02
US10692756B1 (en) 2020-06-23
WO2020140202A1 (en) 2020-07-09
CN109804463A (zh) 2019-05-24
TW202027179A (zh) 2020-07-16
CN109804463B (zh) 2021-04-16

Similar Documents

Publication Publication Date Title
JP5562087B2 (ja) ビア構造とそれを形成するビアエッチングプロセス
US6605545B2 (en) Method for forming hybrid low-K film stack to avoid thermal stress effect
JP2003023069A (ja) 半導体素子の金属配線層形成方法
US6680252B2 (en) Method for planarizing barc layer in dual damascene process
JP2000106396A (ja) 半導体装置の製造方法
US5960316A (en) Method to fabricate unlanded vias with a low dielectric constant material as an intraline dielectric
US6265307B1 (en) Fabrication method for a dual damascene structure
US7217663B2 (en) Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof
JP2004179659A (ja) 集積回路におけるダマシン金属導体のためのビア形成
KR20040015789A (ko) 이온 주입에 의한 측벽 밀도의 국부적 증가
TWI690003B (zh) 用於形成雙鑲嵌互連結構的方法
CN106952863B (zh) 半导体器件的形成方法
US6319820B1 (en) Fabrication method for dual damascene structure
US7196002B2 (en) Method of making dual damascene with via etch through
CN109427650B (zh) 半导体结构及其形成方法
US6524962B2 (en) Method for forming dual-damascene interconnect structure
JP2001176965A (ja) 半導体装置及びその製造方法
TWI744897B (zh) 形成半導體裝置結構的方法
US6352919B1 (en) Method of fabricating a borderless via
CN111211095A (zh) 导电互连线的制造方法
US6365505B1 (en) Method of making a slot via filled dual damascene structure with middle stop layer
US20060099787A1 (en) Method for damascene formation using plug materials having varied etching rates
US11804406B2 (en) Top via cut fill process for line extension reduction
TWI685040B (zh) 半導體裝置的製造方法
JP2002329779A (ja) 半導体装置およびその製造方法