JP2004179659A - 集積回路におけるダマシン金属導体のためのビア形成 - Google Patents

集積回路におけるダマシン金属導体のためのビア形成 Download PDF

Info

Publication number
JP2004179659A
JP2004179659A JP2003393229A JP2003393229A JP2004179659A JP 2004179659 A JP2004179659 A JP 2004179659A JP 2003393229 A JP2003393229 A JP 2003393229A JP 2003393229 A JP2003393229 A JP 2003393229A JP 2004179659 A JP2004179659 A JP 2004179659A
Authority
JP
Japan
Prior art keywords
trench
layer
dielectric layer
conductor
etch stop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003393229A
Other languages
English (en)
Inventor
Robert Kraft
クラフト ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JP2004179659A publication Critical patent/JP2004179659A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Abstract

【課題】集積回路において、誤配列を許容できるデュアルダマシンメタライゼーション加工法を提供し、ビアおよびトレンチ開口部の間の誤配列の結果、ビアの幅が縮小しないような方法を提供することである。
【解決手段】第1の導体(22)上に、ビアエッチストップ層(24)、レベル間誘電層(26)、トレンチエッチストップ層(28)、金属間誘電層(30)を形成し、(30)を部分的に貫通するビアを形成し、これと少なくとも一部重なるようにトレンチ位置を(30)上に定め、底部反射防止膜の働きも利用して、ビア位置とトレンチ位置の位置決めに誤配列があっても、ビア幅が縮小しないように、同時に(30)および(26)をエッチングし、できたトレンチおよびビア中に導体(40)を堆積して、(22)と(40)の接続を形成する。
【選択図】図4j

Description

本発明は集積回路製造分野に属し、さらに具体的には、最新の集積回路における金属導体の形成を目的としている。
集積回路製造において、集積回路の設計および製造の基礎的な目的は、できるだけ小型にすることである。業界でよく知られているように、集積回路の製造原価は、ダイまたはチップによって占められるウェーハの面積に、強く対応している。これは、チップの面積が、製造されたウェーハ1個あたりの可能な集積回路の数と直接相関があることと、ある決められた不良発生率に対する理論的な収率は、チップの面積が減少するに従って、増大するからである。加えて、形状が小さければ、チップ面積も小さくなり、デバイスの性能が改善され、単位面積当たりの機能が増大する。
最新の集積回路のために必要なチップの面積を削減した重要な進歩は、多層の金属導体を形成する技術である。利用可能な金属層が増すことにより、劇的にチップ面積が削減され、デバイスおよび機能性の密度も削減される。
もちろん、多層の金属層を実施しようとすると、多くの課題や矛盾が起こってくる。それぞれの金属導体の断面積は最小化することが好ましく、殊に、下層の場合、それより上にある層が、それより下にある金属のレベルまたは下にある能動デバイスと垂直な結線あるいはコンタクトができるようにするためにそれが言える。このような、より狭いピッチの金属線のためには、高解像度のフォトリソグラフィーが必要となる。加えて、導体の断面積が減少するにつれて、電流密度は増大し、このことにより、できた導体がエレクトロマイグレーションを受けやすくなる。近接する金属層間の誘電体絶縁層もまた、上側の金属層から、下にある金属層および能動デバイスに対するコンタクトが容易になるように、できるだけ薄くすることが望ましい。これらの要素が、最新の集積回路のメタライゼーションに用いる材料と加工法の選択に影響する。
最新の集積回路においては、多くの例でアルミニウムメタライゼーションを置き代えて、銅がよく用いられるメタライゼーション材料になってきている。銅はアルミニウムより、大幅に導電性が大きく、また、アルミニウムよりエレクトロマイグレーションによる故障が起こりにくい。ダマシンプロセスは、多レベルの銅導体を形成するために利用されることが多い。一般に、ダマシンプロセスは、導体線の位置決めをするために、絶縁体にエッチングされたグルーブまたはトレンチに、銅(または他の金属)を埋めこむことを意味する。対照的に、従来のメタライゼーションは、金属薄膜をウェーハ上の絶縁薄膜に堆積し、次いで、パターンをエッチングして導体の位置決めをすることにより形成される。
一般に、銅金属デュアルダマシンプロセスは、堆積された金属が、エッチングされた絶縁層中のトレンチおよびビアの双方を充填するメタライゼーション方式を意味する。ビアは、銅金属が、下にある形状要素(underlying feature)と接続し、ビアが完全に絶縁層を貫通してエッチングされる位置に対応している。トレンチは、銅導体が配置されている位置で、トレンチが絶縁層に厚さ方向に部分的にだけ伸びているような位置に対応している。プロセスのあるものは、“トレンチ優先”と称し、この場合、ビアをエッチングする前にトレンチがエッチングされ、一方、他のプロセスは“ビア優先”と称するが、これはビアがトレンチのエッチングに先だって位置決めされるからである。どちらの場合も、銅金属は、通常電気化学的堆積法(例えば電鋳加工)により、ウェーハ表面ならびにトレンチおよビア内部に堆積される。いくつかのプロセス(すなわちシングルダマシンプロセス)では、タングステンまたはポリシリコンの導電性の栓状物が、銅の堆積の前に、ビア中に形成される。絶縁体表面から過剰な銅を除去するために、化学的機械的研磨(CMP)が実施され、銅導体がトレンチおよびビア内部に埋めこまれた状態で残る。新たに形成される銅導体を、次の金属層から絶縁するために、全構造を覆うように、絶縁層が堆積される。
従来のデュアルダマシンプロセスでは、トレンチはビアと同時に加工され、その結果、1回の金属の堆積で、同時に導体の形成と、前工程での導体、言いかえれば、下の層の導体に対する接続をする。トレンチとビアのパターンの露光はお互いに別で、独立なので、トレンチ優先プロセスでも、ビア優先プロセスでも、ビアパターンと相対的な位置関係におけるトレンチパターンの誤配列は起こりがちである。最大のデバイス密度を得るために、ビアがプロセスの限界まで形成されるレベルの場合、この誤配列の危険および程度は高まる。
図1aおよび1bは従来法のデュアルダマシンプロセス、およびトレンチとビアの誤配列の影響を示している。このプロセスは,テキサスインスツルメント社(Texas Instruments Incorporated)に与えられ、参照により本明細書の一部とする米国特許6,410,426と類似である。この例では、下のレベルの導体2は、金属または他の導体構造であり、絶縁膜1の中に配置されており、デバイス中の能動構造(図示なし)の上に配置されている。この例によれば、デュアルダマシンプロセスで形成され、絶縁膜4中のトレンチ中に配置される、上の層の銅メタライゼーション素子(図示なし)は、絶縁膜4を通過するビアを経由して、導体2に対し結線を作るためのものである。エッチストップ層3は絶縁膜1および導体2の表面に配置される。絶縁膜4は、たとえば、低誘電率絶縁体であって、エッチストップ層3の上に配置されており、キャッピング層5によりキャッピングされている。キャッピング層5は、ビアおよびトレンチを作るために絶縁膜4を貫通する過酷なエッチングから、絶縁膜4を保護するための炭化ケイ素、窒化タンタルまたは他の類似の物質である。
図1aに示すように、ビアVは、絶縁膜4を通して、従来法により、フォトリソグラフィーにより定められる位置でエッチングされる。このビアVはエッチストップ層3まで伸びるが、エッチストップ層は、絶縁膜4をエッチングするのに用いられる薬剤によるエッチングに対し抵抗性を有する。図1aに示す状況では、フォトレジストのパターン8は、底部反射防止膜(BARC)層6の助けにより、トレンチ開口部Tをフォトリソグラフィーのパターンにより位置決めされていて、ビアVの上位置にあり、その結果導体ができ、ビアVを経由して下の導体2に、最終のトレンチに形成された導体が接続する。しかし、図1aに示すように、トレンチ開口部TはビアVとの相対関係において誤配列されており、フォトレジスト8がビアVに重なっている。フォトレジスト8を貫通するトレンチ開口部が完全にビアVに対して配列されているならば、トレンチ開口部Tは中心に位置決めされ、ビアVに対し、対称となるであろう。フォトレジスト8を貫通するビアVおよびトレンチ開口部Tの誤配列を図1bの平面図に示す。加えて、誤配列であるか否かにかかわらず、BARC層6がビア作成の後に加工されるときに、BARCフィラメント7がビアVの底部に存在することになる。BARCフィラメント7は、ビアVの底部にあって、絶縁膜4中にトレンチをエッチングする際、エッチストップ層3を保護する。
いくつかの製造上の問題が、この型の従来法に共通に存在する。図1から明らかなように、また、上述のように、BARCフィラメント7がビアV中にできる。ビアVの深さは、このプロセスの段階においては、絶縁膜4を貫通して形成されるので、ビアVの深さのために、BARCフィラメント7の最上部の表面は、絶縁膜4およびキャッピング誘電体5上のBARC層6よりもある程度の距離、下になるであろう。今日の高解像度フォトリソグラフィープロセスと設備および露光に使用される非常に短い波長を考慮すると、本発明と関連づけて、BARC層6との相対的関係における、このBARCフィラメント7の格差によりトレンチ開口部Tのフォトリソグラフィーは大変難しくなると信じられる。BARC層6はスピンコートされるので、ビアVの縁では薄くなり、最適の厚さではなくなり、ビアVの縁における反射防止性能が劣化する。このため、次には、トレンチ位置Tにおけるフォトレジストパターンの信頼性が減少する。加えて、ビアVが深いことによって、ビア密度が高い場所で、フォトレジスト8が薄くなることがさらに悪化する。フォトレジスト8が薄くなり過ぎると、トレンチのエッチングプロセスに、抵抗できなくなる。これらの理由で、上述の従来法では、フォトレジスト8のトレンチ開口部位置における適当な露光ができるかどうかは、危うくなり、特に、小さい面積でビア密度の高い最新の高性能集積回路の製造にあたってはそうであることが予想される。従って、図1aおよび1bに示された方法と類似の、多くの最新のビア優先のダマシン法では、BARC層6の使用を、まさにこの問題を回避するために避けている。このため、BARC層を使用して達成できる結果と比べ、キャッピング層5およびエッチストップ層3の表面からの露光の反射により引き起こされる反射干渉縞、定在波等に起因する最適には及ばない次善のフォトリソグラフィーの結果しか得られない。
さらに背景技術の例として、他の従来法のデュアルダマシントレンチ−ビアプロセスは、この方法のトレンチの深さを定めるために中間のエッチストップ層を使用している。このような方法の例は、米国特許6,054,384に記載されている。本発明との関連において、これら従来法における下部のビアパターンとの相対的な位置におけるトレンチパターンの誤配列は、トレンチパターンのフォトリソグラフィーを困難にするだけではなく、ビア自体の幅も小さくすることが観察されてきている。ビアの幅が狭くなると、金属レベル間の導電性が悪くなる結果となり、極端な場合、ビアに堆積した金属中にボイドまたは断線が起こり得る。
図2a〜2dは、従来法デュアルダマシンプロセスにおけるこのような誤配列によるビア幅の減少を説明している。構造10はトレンチ中に配置された下層の銅導体のような下層の導体であってよい。この例では、マスキング層11(例えば窒化ケイ素)が構造10の上に置かれ、絶縁層12がマスキング層11の上に置かれる。マスキング層13は絶縁層12の上に置かれ、フォトリソグラフィーによりパターンが形成され、ビア開口部Vがそこにできるようにエッチングされる。ビア開口部Vは、絶縁層12を貫通するビアの位置を定めるが、これは後の記述により明らかになるであろう。
マスキング層13中のビア開口部Vの穴あけに続いて、絶縁層14が全体に配置される。前と同様に、マスキング層15が絶縁層14上に配置され、トレンチ開口部Tがマスキング層15を貫通して、フォトリソグラフィーでパターンが形成されたフォトレジスト16により位置決めされたトレンチ位置Tにおいてエッチングされる。しかし、この例では、フォトレジスト16(従ってマスキング層15も)におけるトレンチ開口部のパターン形成が、ビア開口部Vとの関連で、図2aに示すように誤配列されている。この例の誤配列は、トレンチ開口部Tが、完全にはマスキング層13中のビア開口部Vの上に重ならない程度に厳しい。これは異常な状況ではなく、特にビア開口部Vおよびトレンチ開口部Tの寸法がそのレベルのプロセス限界に近づけられた場合にそうである。
図2bは、トレンチ開口部Tをマスキング層15中に形成した後に、フォトレジスト16を除去してできる構造を示している。この構造は次いでエッチングされ、絶縁層14および絶縁層12のエッチングされた部分が除去された。最小サイズの形状要素については、このエッチングは、マスキング層15、13および(ビアの底でエッチストップとして働く)11に比べ、絶縁層14、12に対し選択的に作用する異方性エッチングであることが好ましい。このようにして、マスキング層15中のトレンチ開口部Tおよび、マスキング層13中のビア開口部Vが、絶縁層14、12がそれぞれエッチングされる位置を定める。このエッチングの後の結果の構造を図2cに示すが、これはマスキング層13、15の残りの部分がよいマスキング性能を持っていることを示している。マスキング層15ならびにマスキング層13およびマスキング層11(ビア底部にある)の前のエッチングにさらされた部分は、次いで、絶縁層12、14および導体10に比べ、マスキング層13、15に対し選択的に作用するエッチングで除去される。完成した構造を図2dに示す。
図2dに明らかなように、トレンチ開口部Tのビア開口部Vに対する誤配列により、絶縁層12を貫通するビアは細くなる。図2dの、絶縁層12を貫通する、できたビアは明らかにパターン形成されたビア開口部Vよりも細くなっているが、これは、パターン形成されたマスキング層13がビア(ビアの左の端面にそって図2dをたどる)に対して後退していることから明らかである。従来法によるトレンチおよびビアの開口部の誤配列によってもたらされる、このビアが細くなることにより、ビアへメッキまたは堆積されるべき金属に、不充分な段差被覆性、金属中のボイドおよび下の構造10に対する不充分な接続もしくは断線をもたらす可能性がある。
従って、本発明の目的は、集積回路構造において、誤配列を許容できるデュアルダマシンメタライゼーション(dual damascene metallization)加工法を提供することである。
さらに本発明の目的は、ビア(via)とトレンチ開口部(trench openings)との間の誤配列の結果、ビアの幅が縮小しないような方法を提供することである。
さらに本発明の目的は、反射防止膜を、トレンチおよびビア開口部の位置決めに使用できる方法を提供することである。
さらに本発明の目的は、トレンチおよびビアの形成プロセスを通してトポグラフィー(topography)を最小にするような方法を提供することである。
本発明の他の目的および利点は、以下の明細書をその図面とともに参照することにより当業者には明らかであろう。
本発明は、トレンチおよびビアが、絶縁材料中に形成されるデュアルダマシンプロセスについて実施できる。絶縁材料は、下部のレベル間の分画(lower interlevel portion)と上部の金属間の分画(upper intermetal portion)を含む。エッチストップ層(etch stop layer)は、レベル間の分画の下側とともに、上記の両分画の間に配置することができる。ハードマスク層は金属間の分画の上に配置される。第一の工程では、ビア開口部はフォトリソグラフィーによりハードマスク層に位置決めされ、次いで、上側のレベル間絶縁絶縁膜を、存在すればであるが、中間のエッチストップ層までエッチングして、部分的にビアを形成する。ビア中のこの中間のエッチストップ層は次いで除去される。次いで、トレンチ開口部を、フォトレジストを用いてパターン形成し、適切な反射防止膜を必要ならばコーティングする。このトレンチ開口部は、最上部のハードマスク層に、この層のエッチングにより転写され、反射防止膜およびフォトレジストは除去される。次いで、トレンチを、上側の金属間絶縁分画を貫通してエッチングし、同時に、下側の絶縁分画を貫通する残りのビアのエッチングを行う。各層のエッチングは相応するエッチストップ層上で止まる。ハードマスクおよびエッチングにさらされたエッチストップは除かれ、トレンチ−ビアの組み合わせの中へ、メタライゼーションによる堆積が行われる。化学的機械的研磨(CMP)に続いて、この構造は、場合に応じて、次の金属レベル形成または完成につき準備完了となる。
(本発明の詳細な説明)
本発明は、多レベルの金属導体を集積回路中に形成することと結びつけて説明され、具体的にはデュアルダマシンプロセスによる銅メタライゼーションと結びつけて説明される。後述することから明らかになるように、本発明は、デュアルダマシンプロセスで用いられたときに特に有益であると考えられる。しかし、本発明は、さらに、トレンチおよびビアが絶縁層中で形成される多くの他の用途、および集積回路製造プロセスの他の工程でも有益であろうということが予想される。さらに、本明細書中に記載されている具体的な材料および化学薬品は、例示の方法としてだけ提示されていること、および本発明の他の代替実施例との関係では、他の材料と薬剤も使われる可能性があることが予想される。従って、本明細書は、例示の方法としてだけ提示されたもので、請求項に示した発明の範囲を、限定することを意図したものではないことが、理解されなくてはならない。
本発明の好ましい実施態様を、図3、図4a〜4jおよび図5a〜5jを参照して詳細に説明する。平面図、図3で、導体40は、金属間誘電層30中に形成されたトレンチの中に配置されるとして示されている。導体40はビア位置V1、V2にも伸びており、次の記述から明らかなように、レベル間誘電層26を貫通して前のレベルの導体22に接続する。レベル間誘電層26は、金属間誘電層30の下側にあり、前のレベルの導体22の上側にある。この例では、導体40および導体40を内包しているトレンチはビアの位置V1との相対関係で適正に配列されており、一方導体40およびそのトレンチは距離MAだけV2との相対関係で誤配列になっている。この誤配列は、厳しく、その結果、ビア位置V2の一部が、導体40およびそのトレンチの、そうでなければ、正常な境界の外側に広がっている。しかし、下記の記述から明らかなように、この誤配列にもかかわらず、導体40の材料はビア位置V2でビアを充たすであろう。その結果、図示した誤配列にもかかわらず、ビア位置V1、V2の二つのビアは互いに同じ寸法となる。
導体40の材料は、多くの知られている材料のいずれでもよく、具体的には、ダマシンプロセスによる導体の形成に適合しているものである。本発明の好ましい実施態様によれば、導体40に好ましい材料は銅であり、これはその優れた導電性およびエレクトロマイグレーションの観点からの強度によるものである。もちろん、集積回路製造技術で知られている他の材料を代わりに使用できる。加えて、前のレベルの層の導体22もたいていは、導体40と同じ材料でできており、そのため、本発明の好ましい実施態様によるこのプロセスは、集積回路においてメタライゼーションにより二番目およびさらに上のレベルを形成することと関連して、有用である。もちろん、導体22は、特定の実施例に適当なように、他の材料に代えて形成してもよい。
今度は図4aおよび5aを参照して、導体40を本発明の好ましい実施態様に従って形成する製造プロセスを詳細に説明する。図4aは、ビアの位置V1での断面図で、図3に示すように導体40は適切に配列されている。一方、図5aは、ビアの位置V2での断面図で、同じく図3に示すように導体40はV2との関係で、誤配列されている。図4aおよび図5aで示された段階では、トレンチ(またはビア)は形成されていないので、これら2つの位置は本質的に同一の構造である。
図4aおよび図5aで示されたそれぞれの位置には、絶縁層20の中に、導体22の例が配置されている。上述のように、導体22は上方にある導体40によりコンタクトが作成されるべき素子である。導体40が銅で形成されている、本発明の好ましい実施態様に従って、導体22も銅で形成されていてよく、例えば、下の層のメタライゼーションとして機能する。これに代わって、特に導体40が第一のダマシン法の銅層を形成するならば、タングステンのような導体22は別の金属または導電材料であってもよい。しかし、本発明のこの実施態様は、より典型的には、第二のまたはさらに上の層のメタライゼーションにおいて有用である。絶縁層20は、酸化ケイ素、のような誘電材料で形成されており、導体22を、他の同類から、および絶縁層20の下にある他の導体または半導体素子(図示なし)からの絶縁体として働いている。これに代えて、絶縁層20は、フッ素ドープ酸化ケイ素(フッ素化シリケートガラスまたはFSGとも呼ばれる)、有機シリケートガラス(OSG)および他のこのような材料のような、低誘電率絶縁体であってもよい。トランジスタ類、受動デバイスおよび類似物は集積回路にとって、従来から行われている様に、絶縁層20の下に存在すると考えられる。これらの能動デバイスまたは受動デバイスは基板(図示なし)の半導体表面に、この技術の基礎として、形成され、基板は、従来から行われている様に、本発明の好ましい実施態様に従って形成される構造の支持体となる。導体22はこのような絶縁層20の下部に存在する他の能動および受動デバイスならびに能動および受動素子に接続していて、そのため、基板の表面で形成されている集積回路全体の一部となっている。
ビアエッチストップ層24は、絶縁層20および、導体22の上に存在する。ビアエッチストップ層24は、上にあるレベル間誘電層26とは異なるエッチング性を有する材料でできた、相対的に薄い層である。従って、ビアエッチストップ層24の厚さおよび組成は、レベル間誘電層26の組成にによって決まる。レベル間誘電層26の役割は、名前が示すように、主として、近接するレベルの導体を互いに絶縁するためにあり、垂直方向にある導体間を分離する。図4aおよび図5aの例では、これら導体22、40がお互いに交差する所以外の場所、もちろんビア以外の場所で、レベル間誘電層26は導体22を導体40から、絶縁している。レベル間誘電層26の材料は、所望ならば、低誘電率(“低k”)材料でよく、あるいはこれに代えて、ドープまたは非ドープの酸化ケイ素でよい。レベル間誘電層26の好ましい材料には、フッ素化シリケートガラス(FSG)および有機シリケートガラス(OSG)が含まれ、この場合ビアエッチストップ層24は、好ましくは窒化ケイ素または炭化ケイ素である。この説明から明らかであろうが、どの場合でも、ビアエッチストプ層24は、導体22同士が短絡しないように絶縁物でなくてはならない。
トレンチエッチストップ層28はレベル間誘電層26の上に配置され、金属間誘電層30はトレンチエッチストップ層28の上に配置される。金属間誘電層30は、主として、互いに同じレベルにある近接する導体40同士を、水平方向に効果的に、絶縁することを目的としている。前述のように、トレンチエッチストップ層28の機能が、金属間誘電層30をエッチングするエッチング剤によるエッチングに抵抗することであることを考慮して、トレンチエッチストップ層28の厚さおよび組成は、金属間誘電層30の組成によって決まるであろう。次の説明から明らかになるように、金属間誘電層30およびレベル間誘電層26は同時にエッチングされるであろうから、金属間誘電層30は、レベル間誘電層26と同じ材料でできていることが好ましく、ほぼ同じ厚さであることが好ましい。従って、金属間誘電層30の好ましい材料には、FSGおよびOSGが含まれ、トレンチエッチストップ層28は炭化ケイ素または窒化ケイ素のような絶縁材料である。
別な方法として、レベル間誘電層26および金属間誘電層30を、異なったエッチング性とエッチング速度をもつ、異なった材料から加工することができる。この場合、トレンチエッチストップ層28は除くことができる。これらの層26、30に異なる材料を使用するならば、層の厚さは、好ましくは金属間誘電層30およびレベル間誘電層26のエッチングにより、ほぼ同じ時間でトレンチを形成し、ビアが完成するように調整する。例えば、レベル間誘電層26が金属間誘電層30の二分の一の速度でエッチングされるとすれば、レベル間誘電層26の厚さは、金属間誘電層30の厚さの二分の一に設定できる。その結果、トレンチのエッチングが下部にあるビアのエッチングが完成するとほぼ同じ時間で完成する。
図4aおよび5aそれぞれに、示されている様に、ハードマスク層32は、金属間誘電層30の上に配置されている。以下の説明から明らかなように、ハードマスク層32は、金属間誘電層30の中に作られるトレンチの位置を定めるために使われ、従って、金属間誘電層30およびレベル間誘電層26の材料のエッチングに対して抵抗性がある材料が好ましい。ハードマスク層32は、所望ならば、エッチストップ層24、28より幾分か厚くてよく、導電材料であってもよい(所望ならば、記載の加工の後、剥ぎ取ることができるので)。加えて、もしもハードマスク層32が、後続のフォトリソグラフィーに使われる波長に対して反射防止能を持つ材料から選ばれるならば、反射防止層の堆積と加工は除外でき、追加の製造コストは節約できる。ハードマスク層32のための好ましい材料の例には、窒化ケイ素、炭化ケイ素、窒化タンタルおよび窒化チタンが含まれる。
ビアエッチストップ層24、レベル間誘電層26、トレンチエッチストップ層28、金属間誘電層30およびハードマスク層32を作るのに用いられる特定の堆積技術は、もちろん、これらの層それぞれに用いられる特定の材料によって決まる。個々の場合に、化学気相堆積法、スピンオン塗布法、その他のような従来法による堆積技術が、特定の材料に適したものとして、これらの層の堆積に適している。化学的機械的研磨(CMP)のような平坦化プロセスも、所望ならば、構造の表面を平坦化するために実施される。例えば、所望ならば、金属間誘電層30の堆積の後に、ハードマスク層32堆積に先だって、CMPを適用できる。もちろん、導体22が周囲の絶縁体20と同一平面にある、本発明の実施態様によれば、非常に細かいトポグラフィーしか存在しないので、CMPは必要がない可能性が大きい。
図4aおよび5aに示す種々の層の厚さは、使用される特定の加工技術によって決まるが、また、特定の実施形態における種々の材料の組成にも依る。一例をあげれば、金属間誘電層30およびレベル間誘電層26は、両方ともOSGでできていて、5000Å台の厚さである。この例では、ビアエッチストップ層24およびトレンチエッチストップ層28は、両方とも炭化ケイ素でできていて、600Å台の厚さであり、ハードマスク層32は炭化ケイ素でできていて、2000Å台の厚さであろう。
本発明の好ましい実施態様によれば、フォトレジスト34は、ハードマスク層32の表面に塗工される。底部反射防止膜(図示なし)は、これが、プロセスのこの段階で、フォトレジスト34の露光の際に、定在波効果ならびに反射ノッチングおよび薄膜干渉等を除去するのに有用ならば、フォトレジスト34の下に加工することができる。フォトレジスト34は次いで、従来法のマスキングを使用する露光および現像により、フォトリソグラフィーによるパターン形成を行い、ビアV1、V2を形成するべき位置を定める。図4bおよび図5bは製造のこの段階における構造を説明するもので、フォトレジスト34は、下側のハードマスク層32をビア位置V1、V2で露出させる。この例では、ある程度の誤配列の許容差は、本発明に存在するが、最終的なビアは、導体22の縁の上にあり、なお、十分な導電性を保っているので、ビア位置V1、V2は、下部にある導体22の位置と、適切に配列されている。
パターン形成したフォトレジスト34を、マスクとして用いて、ハードマスク層32、金属間誘電層30およびトレンチエッチストップ28は次いで、ビア位置V1、V2でエッチングされ、結果として図4cおよび5cに示される構造になる。この段階でエッチングされる複数の材料が異なったものであることを考慮すると、このエッチング操作では、複数の段階および化学反応を実行することが必要である可能性がある。しかし、ビア位置V1、V2は、概して、最小か最小に近い幅なので、このエッチング操作は、形成された側壁を実質的に垂直とするために、異方性プラズマエッチングが適当である。炭化ケイ素材料のハードマスク層32およびトレンチエッチストップ層28ならびにOSG材料の金属間誘電層30の例では、エッチングの事例としては、C、NおよびArによるプラズマエッチングが示される。図4cおよび5cに示すように、ビア位置V1、V2のパターンはトレンチエッチストップ層28と同様に、ハードマスク層32に転写されている。このエッチングは、プロセスのこの段階では、ビアパターンが部分的に(または中途まで)しか、絶縁層26、28の組み合わせを貫通してエッチングされておらず、完全には導体22に達していないので、「半ビア」または「部分ビア」エッチングと称される。
次に図4dおよび5dを参照すると、トレンチの位置決めT1、T2が説明されている。本発明の好ましい実施態様によると、底部反射防止膜(BARC)層36は、ハードマスク層32の上に加工されていて、金属間誘電層30およびトレンチエッチストップ層28のエッチングされた部分に伸びている。当業者に知られているように、BARC層36は、ハードマスク層32、レベル間誘電層26および他の構造からのフォトリソグラフィーの光の反射により起こる、反射ノッチング(reflective notching)、定在波効果、および薄膜干渉による大きなスイング比(swing ratio)のような望ましくない影響を削減することにより、フォトリソグラフィーに役立っている。これに代わって、前述のように、ハードマスク層32、それ自体が十分に反射防止能を持っているならば、BARC層36は除外できる。どちらの場合もフォトレジスト38は、全体に堆積され、図4dおよび5dにそれぞれ示すように、トレンチ位置T1、T2を定めるために露光および現像される。トレンチ位置T1、T2の幅は好ましくは少なくとも相応するビア位置V1、V2と同じくらい広いことが望ましい。この例では、図4dのトレンチ位置T1は、前に定めたビア位置(V1)に対して適正に配列されているが、他方、図5dのトレンチ位置T2は、相応する前に定めたビア位置(V2)に対して誤配列(この場合は右にずれている)されている。本発明の好ましい実施態様によれば、後の説明で明らかになるが、この誤配列はこのデュアルダマシンプロセスでは十分に許容される。
しかし、この例では、半ビアエッチングは最終のビアを一部形成しただけなので、この時点でのビアの深さは相対的に浅い。このため、BARC層36により、エッチングされた半ビアを充填することができるので、BARC層36を図4dおよび5dに示すように用いることができる。従って、このプロセスは、フォトレジスト38の露光にあたって、図1aに示すような、従来法の完全なビア優先プロセスに見られるようなフォトリソグラフィーによるエラーをさらに加えることなしに、BARC層36の利点が得られる。このようなエラーは、ビアのBARCによる不完全な充填および全ビアエッチングによる無視できないトポグラフィーに起因する。加えて、表面でフォトレジスト38が薄くなることは、本発明のこの実施態様によって、この構造の平坦性が改善されるために、大幅に削減される。
トレンチ位置T1、T2の位置決めに続いて、ハードマスク層32が、フォトレジスト38のマスキングされた部分で定められた位置でエッチングされる。エッチング剤およびプロセスは、もちろん、ハードマスク層32のエッチングに使用された特定の材料によって決まる。ハードマスク層32をエッチングするのに用いられるエッチング種にはCFおよびCHが含まれる。フォトレジスト38によって露出された場所で、少なくとも部分的に、BARC層36は除かれる。結果として生じる構造は図4eおよび5eに示す。BARC層36の残り36′は、金属間誘電層中へエッチングされた半ビアの中に、図4eおよび5eに示すように残る。図5eの誤配列の場合には、BARC層の残り36′は、フォトレジスト38の下にある材料と接している。いずれにしても、トレンチ位置T1、T2は、ハードマスク層32に、図4eおよび5eのそれぞれに示すように、転写されている。マスキングフォトレジスト38およびBARC層の残り36′が次いで、当業者の知るように、従来法のプラズマアッシングまたはウエットストリップで除去される。結果として生じる構造を図4fおよび図5fに示す。図5fから明らかなように、かなりの誤配列がトレンチ位置T2とビア位置V2との間にある状況でも、ビア位置V2の幅はビア位置V1(図4f)の幅と同じままである。これは、前段階で実施された半ビアエッチングのためで、トレンチエッチストップ層28によりビアパターンが保持されることによる。しかし、図4fおよび5fから、この誤配列位置では、トレンチ位置T2がビア位置V2の縁から外れて広がっているために、トレンチ位置T2の幅はトレンチ位置T1の幅よりも広いことが見出せる。
本発明の好ましい実施態様によれば、次いで、金属間誘電層30およびレベル間誘電層26が同時にエッチングされる。ここでハードマスク層32は、金属間誘電層30を貫通するトレンチを位置決めするマスキングとして用いられ、金属間誘電層30を貫通する半ビアの側壁を、レベル間誘電層26を貫通するビアの幅を定めるために用いる。トレンチとビアのエッチングが完全には異方性でない程度によって、これら側壁は、エッチングの間に後退するであろうが、トレンチエッチストップ層28によってビアの下の部分の寸法の維持が助けられであろう。このエッチングは、金属間誘電層30を貫通して、トレンチエッチストップ層28で止まり、その間トレンチエッチストップ層28の下にあるレベル間誘電層26の部分はエッチングから防護されている。同様に、レベル間誘電層26を貫通するビアの残りのエッチングは、ビアエッチストップ層24で止まる。結果として生じる構造を図4gおよび5gに示す。本発明のこのこの好ましい実施態様によれば、トレンチと下側のビアのこの結合されたエッチングは、好ましくは実質的に異方性のプラズマエッチングであり、エッチストップ層24、28に対して相対的に誘電層26、30を選択的にエッチングするように、エッチング剤が選ばれる。OSGでできた絶縁層26、30および炭化ケイ素でできたエッチストップ層24,28に対しては、C、Nおよびアルゴンを用いるエッチングが好ましい。このエッチングが、誘電体の厚さの違いや位置によるエッチング速度の違いを補って、誘電層26、30の除去の終点を超えるまで広がることが好ましい。
一旦トレンチおよびビアが形成されると、残りのビアエッチストップの露出部は、従来法のプラズマエッチングまたは湿式エッチングにより除かれ、ビア底部の導体22が露出する。ハードマスク層32(導体または半導体材料でできているときは特に)およびトレンチエッチストップ層28の露出部もこの除去法で除去することが好ましい。これに代わる方法としては、ハードマスク層32は、銅CMP(下記で説明)の間に除去できるし、ハードマスク層32が導電性でないならば、金属間誘電層30を保護するために、その場に残してもよい。結果として生じる構造を図4hおよび5hに示す。
本発明の好ましい実施態様によれば、次には、メタライゼーション層42を図4iおよび5iに示すようにトレンチおよびビア中に堆積する。前述のように、メタライゼーション層42は、代わりに他の金属系を用いることはできるが、好ましくは銅である。銅の例としては、メタライゼーション層42は好ましくは電鋳加工により堆積される。通常、当業者に知られているように、銅電鋳加工のプロセスには、化学気相成長法によるライナーまたはバリアー層41の堆積、次いで、銅金属の種層の堆積を包含している。銅原子が銅種層に付着してメタライゼーション層42が形成される形で、次いで銅の電鋳加工が実施される。銅堆積プロセスの変形、代替法は、当業者にはよく知られており、本発明の好ましい実施態様によるメタライゼーション42の堆積に用いることができる。
図4iおよび5iに示すように、銅の堆積はメタライゼーション層42がトレンチおよびビアを充填して、金属間誘電層30の上を所望の厚さで被覆するまで続く。ダマシンプロセスを完成するには、構造の平坦化が実施され、導体40が金属間誘電層30と平らになるようにする。好ましい平坦化プロセスは、化学的機械的研磨法(CMP)で、これにより、誘電層30の上にあるバリアー層41およびメタライゼーション層42の部分を除く。ここで、短絡に働くおそれのあるメタライゼーション層42の、糸状物が残らないことを確実にするように、金属間誘電層30自体の一部も除去する。結果としてできる導体40はこのようにして、構造のトレンチおよびビア内に図4jおよび5jに示すように形成される。
図4a〜4jと図5a〜5jに関連して説明された、導体40のこの形成プロセスは、メタライゼーションの一つのレベルの形成に対応する。このプロセスは、次には、各レベルそれぞれにおいて、コンタクトおよび導体の位置それぞれに対応したビアおよびトレンチのパターンを有する設計された集積回路を形成することが望まれる、数レベルのメタライゼーションのそれぞれについて繰り返すことができる。例えば、多くの最新の集積化製造法の流れには8レベルものメタライゼーションが含まれる。本発明の好ましい実施態様によるこのプロセスは、これらのメタライゼーションのレベルそれぞれに使用するのに適している。
上述のように、本発明によって、集積回路の成形加工におけるいくつかの重要な利点が得られる。要約すれば、本発明により、あるレベルにおける、トレンチおよびその下のビアの間の誤配列に対し、大幅な許容差が製造プロセスに与えられる。上にあるトレンチに大幅な誤配列があっても、下側のビアの幅は狭まらず、従って、このような誤配列があっても下側の導体に対する導電性は完全に維持される。加えて、本発明では、トレンチパターンの位置決めにおいて、BARC層はエッチングされたビアの部分にだけ加工されるので、BARC層が利用できる。ビアおよびトレンチのフォトリソグラフィー操作で生ずる最小限のトポグラフィーにより、これらの形状要素が非常に小さい寸法に形成される能力がさらに改善される。
本発明につき、その好ましい実施態様によって説明してきたが、この実施態様の修正、および代替案は、このような修正および代替案に本発明の利点および利益があるときに、当業者が本明細書およびその図面を参照すれば、明白であると当然予想される。このような修正および代替案は後に特許請求の範囲で請求される本発明の範囲内であると予想される。
以上の説明に関して更に以下の項を開示する。
(1) 下記の段階を包含する集積回路中に金属導体を形成する方法:
ビアエッチストップ層を第1の導体の上に形成すること;
レベル間誘電層をビアエッチストップ層の上に形成すること;
金属間誘電層をレベル間誘電層の上に形成すること;
次いでビア位置で金属間誘電層を貫通してエッチングすること;
トレンチ位置が金属間誘電層の前記エッチングされた部分と少なくとも一部重なり合うように、トレンチマスキング層にトレンチ位置を定めること;
次いで、トレンチ位置で金属間誘電層を貫通し、ビア位置でレベル間誘電層を貫通して、ビア位置でのエッチングが、ビアエッチストップ層で有効に停止するように、同時にエッチングし、この同時にエッチングすることで、金属間誘電層中に、ビア位置でレベル間誘電層を貫通しているビアと面接触するトレンチ位置でトレンチを形成すること;
ビア位置のビアエッチストップ層を除去すること;および
次いで、第1の導体と接触する第2の導体をトレンチおよびビア内に形成すること。
(2) さらに下記の段階を包含する第1項記載の方法:
レベル間誘電層の上にトレンチエッチストップ層を形成すること;
第1項記載の金属間誘電層の形成段階は、トレンチエッチストップ層を形成する段階の後に実施されること;
第1項記載の金属間誘電層を貫通するエッチングの段階により、トレンチエッチストップ層もまた貫通してエッチングされること;
および、トレンチ位置で金属間誘電層を貫通して、ビア位置でレベル間誘電層を貫通する同時にエッチングする第1項記載の段階もまた、トレンチ位置でトレンチエッチストップ層で有効に停止すること。
(3) ビア位置で金属間誘電層を貫通するエッチング段階に先だって、ビア位置を定めるためのハードマスク層を金属間誘電層上に形成し、次いでビア位置を定めるためにハードマスク層をエッチングすることを、さらに包含する第1項記載の方法。
(4) トレンチ位置をトレンチマスキング層で定める段階が下記の事項を包含する第3項記載の方法:
ハードマスク層の上にフォトレジストから成るマスキング層を形成すること;
ハードマスク層上のマスキング層に、トレンチ位置を定めるために、パターン形成すること;および
パターン形成されたマスキング層をマスキングとして用いて、ハードマスク層をエッチングすること。
(5) トレンチ位置でハードマスク層をエッチングする段階の後にパターン形成されたマスキング層を除去することをさらに含む第4項記載の方法。
(6) マスキング層を形成する段階が下記の事項を包含する第5項記載の方法:
エッチングされたハードマスク層の上に底部反射防止膜を形成すること;
底部反射防止膜上にフォトレジスト層を形成すること;
フォトレジスト層をパターン形成してそのトレンチ位置部分を除去し、残りのパターン形成されたフォトレジスト層をパターン形成されたマスキング層として残すこと。
(7) 第2の導体が銅を含む第1項記載の方法。
(8) 下記の段階をさらに包含する第1項記載の方法:
第2の導体の上にビアエッチストップ層を形成すること;
ビアエッチストップ層の上にレベル間誘電層を形成すること;
レベル間誘電層の上に金属間誘電層を形成すること;
次いでビア位置で金属間誘電層を貫通するエッチングを行うこと;
トレンチ位置が金属間誘電層の前記エッチングされた部分と少なくとも一部重なり合うように、トレンチマスキング層によりトレンチ位置を定めること;
次いで、トレンチ位置で金属間誘電層を貫通して、ビア位置でレベル間誘電層を貫通し、ビア位置でのエッチングがビアエッチストップ層で有効に停止するように、同時にエッチングし、この同時にエッチングすることにより、金属間誘電層中に、ビア位置でレベル間誘電層を貫通しているビアと面接触するトレンチ位置で、トレンチを形成すること;
ビア位置のビアエッチストップ層を除去すること;および
次いで、第2の導体と接触する第3の導体をトレンチ内に形成すること。
(9) 下記の構成要素を包含する集積回路:
基板の半導体表面に形成された能動デバイス;
能動デバイスの上にあり、第1の絶縁層で絶縁されている第1の導体;
第1の絶縁層の上に配置されたビアエッチストップ層;
ビアエッチストップ層の上に配置されたレベル間誘電層;
レベル間誘電層の上に配置されたトレンチエッチストップ層;
レベル間誘電層と同一の材料から成り、トレンチエッチストップ層の上に配置された金属間誘電層;および
金属間誘電層を貫通してエッチングされたトレンチ内、およびレベル間誘電層を貫通してエッチングされたビア内に形成される第2の導体であって、そのビアはトレンチと面接触していて、その第2の導体が、第1の導体と接触しており、下記の段階を含むプロセスで形成される第2の導体:
ビア位置で金属間誘電層およびトレンチエッチストップ層を貫通してエッチングすること;
金属間誘電層のエッチングされた部分の上に配置される端面を持つパターン形成したトレンチマスキング層によりトレンチ位置を定めること;
パターン形成されたトレンチマスキング層と金属間誘電層との間に配置されるハードマスク層にトレンチ位置を転写すること;
次いで、トレンチ位置で金属間誘電層を貫通して、ビア位置でレベル間誘電層を貫通し、エッチングがトレンチエッチストップ層およびビアエッチストップ層でそれぞれ有効に停止するように、同時にエッチングし、この同時にエッチングすることで、金属間誘電層中に、トレンチ位置でトレンチを形成し、レベル間誘電層を貫通するビアをビア位置で形成すること;
ビア位置のビアエッチストップ層を除去すること;および
次いで、トレンチおよびビア内に金属を堆積して、第1の導体と接触させ、第2の導体を形成すること。
(10) 第2の導体が銅を含む第9項記載の集積回路。
デュアルダマシンプロセスにより形成される銅メタライゼーションを有する集積回路の加工方法が開示されている。第1の導体(22)の上に多層絶縁構造が形成されており、その中で、第2の導体(40)が第1の導体と接続するように形成される。多層絶縁構造には、ビアエッチストップ層(24)、レベル間誘電層(26)、トレンチエッチストップ層(28)、金属間誘電層(30)、およびハードマスク層(32)が含まれる。レベル間誘電層(26)および金属間誘電層(30)は、好ましくは同一の材料である。ビアは金属間誘電層(30)を部分的に貫通し、任意であるが、トレンチエッチストップ層(28)を貫通してエッチングされる。次いで、トレンチ位置がフォトレジスト(38)によって定められ、このトレンチ位置はハードマスク層(32)に転写される。金属間誘電層(30)を貫通し、もしあれば、トレンチエッチストップ層(28)で停止し、同時に、ビアエッチストップ層(24)で停止し、レベル間誘電層(26)を貫通する、同時エッチングが次いで実行される。ビアエッチストップ層(24)をビア底部から除いた後、銅導体(40)が、例えば、バリアー層(41)上の電鋳加工および化学的機械的研磨によりトレンチおよびビア中に形成される。
従来プロセスによる製造段階における集積回路の部分断面図である。 従来プロセスによる製造段階における集積回路の部分平面図である。 別の従来プロセスによる種々の製造段階の一段階における集積回路の部分断面図である。 別の従来プロセスによる種々の製造段階の一段階における集積回路の部分断面図である。 別の従来プロセスによる種々の製造段階の一段階における集積回路の部分断面図である。 別の従来プロセスによる種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による集積回路製造の一段階における部分平面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における集積回路の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における図4aの集積回路の別の部分の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における図4bの集積回路の別の部分の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における図4cの集積回路の別の部分の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における図4dの集積回路の別の部分の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における図4eの集積回路の別の部分の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における図4fの集積回路の別の部分の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における図4gの集積回路の別の部分の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における図4hの集積回路の別の部分の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における図4iの集積回路の別の部分の部分断面図である。 本発明の好ましい実施態様による種々の製造段階の一段階における図4jの集積回路の別の部分の部分断面図である。

Claims (2)

  1. 下記の段階を包含する集積回路中に金属導体を形成する方法:
    ビアエッチストップ層を第1の導体の上に形成すること;
    レベル間誘電層をビアエッチストップ層の上に形成すること;
    金属間誘電層をレベル間誘電層の上に形成すること;
    次いでビア位置で金属間誘電層を貫通してエッチングすること;
    トレンチ位置が金属間誘電層の前記エッチングされた部分と少なくとも一部重なり合うように、トレンチマスキング層にトレンチ位置を定めること;
    次いで、トレンチ位置で金属間誘電層を貫通し、ビア位置でレベル間誘電層を貫通して、ビア位置でのエッチングが、ビアエッチストップ層で有効に停止するように、同時にエッチングし、この同時にエッチングすることで、金属間誘電層中に、ビア位置でレベル間誘電層を貫通しているビアと面接触するトレンチ位置でトレンチを形成すること;
    ビア位置のビアエッチストップ層を除去すること;および
    次いで、第1の導体と接触する第2の導体をトレンチおよびビア内に形成すること。
  2. 下記の構成要素を包含する集積回路:
    基板の半導体表面に形成された能動デバイス;
    能動デバイスの上にあり、第1の絶縁層で絶縁されている第1の導体;
    第1の絶縁層の上に配置されたビアエッチストップ層;
    ビアエッチストップ層の上に配置されたレベル間誘電層;
    レベル間誘電層の上に配置されたトレンチエッチストップ層;
    レベル間誘電層と同一の材料から成り、トレンチエッチストップ層の上に配置された金属間誘電層;および
    金属間誘電層を貫通してエッチングされたトレンチ内、およびレベル間誘電層を貫通してエッチングされたビア内に形成される第2の導体であって、そのビアはトレンチと面接触していて、その第2の導体が、第1の導体と接触しており、下記の段階を含むプロセスで形成される第2の導体:
    ビア位置で金属間誘電層およびトレンチエッチストップ層を貫通してエッチングすること;
    金属間誘電層のエッチングされた部分の上に配置される端面を持つパターン形成したトレンチマスキング層によりトレンチ位置を定めること;
    パターン形成されたトレンチマスキング層と金属間誘電層との間に配置されるハードマスク層にトレンチ位置を転写すること;
    次いで、トレンチ位置で金属間誘電層を貫通して、ビア位置でレベル間誘電層を貫通し、エッチングがトレンチエッチストップ層およびビアエッチストップ層でそれぞれ有効に停止するように、同時にエッチングし、この同時にエッチングすることで、金属間誘電層中に、トレンチ位置でトレンチを形成し、レベル間誘電層を貫通するビアをビア位置で形成すること;
    ビア位置のビアエッチストップ層を除去すること;および
    次いで、トレンチおよびビア内に金属を堆積して、第1の導体と接触させ、第2の導体を形成すること。
JP2003393229A 2002-11-26 2003-11-25 集積回路におけるダマシン金属導体のためのビア形成 Pending JP2004179659A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/304,943 US7119006B2 (en) 2002-11-26 2002-11-26 Via formation for damascene metal conductors in an integrated circuit

Publications (1)

Publication Number Publication Date
JP2004179659A true JP2004179659A (ja) 2004-06-24

Family

ID=32325337

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003393229A Pending JP2004179659A (ja) 2002-11-26 2003-11-25 集積回路におけるダマシン金属導体のためのビア形成

Country Status (3)

Country Link
US (1) US7119006B2 (ja)
EP (1) EP1429382A3 (ja)
JP (1) JP2004179659A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104902684A (zh) * 2014-03-07 2015-09-09 深南电路有限公司 一种台阶槽电路板及其加工方法
WO2019155701A1 (ja) * 2018-02-07 2019-08-15 株式会社ジャパンディスプレイ 表示装置

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4801296B2 (ja) * 2001-09-07 2011-10-26 富士通セミコンダクター株式会社 半導体装置及びその製造方法
KR100487948B1 (ko) * 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100542388B1 (ko) * 2003-07-18 2006-01-11 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US8569142B2 (en) * 2003-11-28 2013-10-29 Blackberry Limited Multi-level thin film capacitor on a ceramic substrate and method of manufacturing the same
KR100571406B1 (ko) * 2003-12-31 2006-04-14 동부아남반도체 주식회사 반도체 소자의 금속배선 제조 방법
US7748440B2 (en) * 2004-06-01 2010-07-06 International Business Machines Corporation Patterned structure for a thermal interface
KR100613390B1 (ko) * 2004-12-16 2006-08-17 동부일렉트로닉스 주식회사 금속 배선된 반도체 소자 및 반도체 소자 금속 배선 형성방법
KR100632658B1 (ko) * 2004-12-29 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
DE102005047414B4 (de) 2005-02-21 2012-01-05 Infineon Technologies Ag Magnetoresistives Sensormodul und Verfahren zum Herstellen desselben
US20080182407A1 (en) * 2007-01-31 2008-07-31 Advanced Micro Devices, Inc. Method of forming vias in a semiconductor device
US9723760B2 (en) 2007-11-13 2017-08-01 International Business Machines Corporation Water-assisted air cooling for a row of cabinets
DE102008016425B4 (de) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
US8110342B2 (en) * 2008-08-18 2012-02-07 United Microelectronics Corp. Method for forming an opening
KR20100112669A (ko) * 2009-04-10 2010-10-20 삼성전자주식회사 반도체 소자 및 이의 제조방법
US9793164B2 (en) * 2015-11-12 2017-10-17 Qualcomm Incorporated Self-aligned metal cut and via for back-end-of-line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices
TWI751819B (zh) * 2020-12-02 2022-01-01 華邦電子股份有限公司 半導體裝置之製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6054384A (en) 1998-05-19 2000-04-25 Advanced Micro Devices, Inc. Use of hard masks during etching of openings in integrated circuits for high etch selectivity
US6127258A (en) 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6362093B1 (en) * 1999-08-20 2002-03-26 Taiwan Semiconductor Manufacturing Company Dual damascene method employing sacrificial via fill layer
US6329281B1 (en) * 1999-12-03 2001-12-11 Agere Systems Guardian Corp. Methods for fabricating a multilevel interconnection for an integrated circuit device utilizing a selective overlayer
JP4377040B2 (ja) * 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法
US6583047B2 (en) * 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
US6465340B1 (en) 2001-02-06 2002-10-15 Advanced Micro Devices, Inc. Via filled dual damascene structure with middle stop layer and method for making the same
US6861347B2 (en) * 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
US20020187627A1 (en) * 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
US6410426B1 (en) 2001-07-09 2002-06-25 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
US6620727B2 (en) * 2001-08-23 2003-09-16 Texas Instruments Incorporated Aluminum hardmask for dielectric etch

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104902684A (zh) * 2014-03-07 2015-09-09 深南电路有限公司 一种台阶槽电路板及其加工方法
WO2019155701A1 (ja) * 2018-02-07 2019-08-15 株式会社ジャパンディスプレイ 表示装置
JP2019138975A (ja) * 2018-02-07 2019-08-22 株式会社ジャパンディスプレイ 表示装置
JP7109932B2 (ja) 2018-02-07 2022-08-01 株式会社ジャパンディスプレイ 表示装置

Also Published As

Publication number Publication date
US7119006B2 (en) 2006-10-10
EP1429382A3 (en) 2008-08-27
EP1429382A2 (en) 2004-06-16
US20040100779A1 (en) 2004-05-27

Similar Documents

Publication Publication Date Title
KR100387255B1 (ko) 반도체 소자의 금속 배선 형성 방법
US9245792B2 (en) Method for forming interconnect structures
US6514852B2 (en) Semiconductor device and method of manufacturing the same
US6268283B1 (en) Method for forming dual damascene structure
JP2004179659A (ja) 集積回路におけるダマシン金属導体のためのビア形成
US20040053501A1 (en) Self aligned vias in dual damascene interconnect, buried mask approach
KR100460771B1 (ko) 듀얼다마신 공정에 의한 다층 배선의 형성 방법
JP2004228111A (ja) 半導体装置及びその製造方法
US6849536B2 (en) Inter-metal dielectric patterns and method of forming the same
KR100363696B1 (ko) 반도체장치의 다층 금속배선 형성방법
KR20030058523A (ko) 듀얼 다마신공정에 의한 다층 금속배선의 형성 방법
KR100322887B1 (ko) 반도체장치의 다층 금속배선 형성방법
KR20020086100A (ko) 다층 배선의 콘택 형성 방법
KR100226786B1 (ko) 반도체소자의 배선 형성방법
US20090166884A1 (en) Semiconductor device and method for manufacturing the same
KR100198653B1 (ko) 반도체 소자의 금속배선방법
KR100789612B1 (ko) 금속 배선 형성 방법
KR100772719B1 (ko) 듀얼다마신공정을 이용한 금속배선 형성 방법
KR100393968B1 (ko) 반도체 소자의 이중 다마신 형성방법
KR20030058261A (ko) 듀얼다마신공정을 이용한 금속배선 형성 방법
KR19980058406A (ko) 반도체 소자의 다층 금속 배선 형성 방법
KR20020058429A (ko) 반도체소자의 배선 및 그 형성방법
KR20030058299A (ko) 오정렬 마진을 개선한 금속배선 형성 방법
KR20070077670A (ko) 반도체 메모리 소자 제조 방법 및 이에 따라 제조된 반도체소자
KR20000042001A (ko) 반도체소자의 금속배선층 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080909

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080911

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090220