TWI796661B - 半導體裝置之製造方法 - Google Patents

半導體裝置之製造方法 Download PDF

Info

Publication number
TWI796661B
TWI796661B TW110111394A TW110111394A TWI796661B TW I796661 B TWI796661 B TW I796661B TW 110111394 A TW110111394 A TW 110111394A TW 110111394 A TW110111394 A TW 110111394A TW I796661 B TWI796661 B TW I796661B
Authority
TW
Taiwan
Prior art keywords
tin
ginseng
layer
photoresist
compound
Prior art date
Application number
TW110111394A
Other languages
English (en)
Other versions
TW202144913A (zh
Inventor
劉之誠
郭怡辰
魏嘉林
翁明暉
陳彥儒
李志鴻
鄭雅如
楊棋銘
李資良
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/150,389 external-priority patent/US20210302839A1/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202144913A publication Critical patent/TW202144913A/zh
Application granted granted Critical
Publication of TWI796661B publication Critical patent/TWI796661B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本揭露有關於半導體裝置之製造方法,包括形成光阻層於基板上,其包括在氣態下,結合第一前驅物與第二前驅物以形成光阻材料,第一前驅物具有式Ma Rb Xc 之有機金屬,M為Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者,R為取代或未經取代的烷基、烯基或羧酸酯基,X為鹵素或磺酸酯基,1≦a≦2,b≧1,c≧1,b+c≦5。第二前驅物係胺、硼烷或膦之至少一者。形成光阻層包括沉積光阻材料於基板上。選擇性曝光光阻層於光化輻射,以形成潛在圖案及對經選擇性曝光之光阻層施加顯影劑來顯影潛在圖案,以形成圖案。

Description

半導體裝置之製造方法
本揭露係有關於一種半導體裝置之製造方法,且特別是有關於一種利用有機金屬材料的半導體裝置之製造方法。
隨著回應於消費者的需求,消費性裝置已變得愈來愈小,此些裝置之個別組件之尺寸亦必須縮小。構成裝置(諸如行動電話、平板電腦及其類似者)之主要組件的半導體裝置已被迫變得愈來愈小,相應地要求半導體裝置內的個別組件(例如,電晶體、電阻器、電容器等)之尺寸亦縮小。
半導體裝置之製造製程中使用的一種實現技術係使用光微影材料。向要圖案化之層的表面施加此類材料,然後將其曝光於本身圖案化的能量。此種曝光修改光敏感性材料之曝光區域的化學及物理性質。可利用此修改以及不修改光敏感性材料之未經曝光的區域來去除一個區域而不去除另一個區域。
然而,隨著個別裝置之尺寸已縮小,光微影處理之製程視窗已變得愈來愈緊密。因此,保持按比例縮小裝置之能力需要光微影處理領域之進步,且為了滿足所要的設計準則,以使得可保持朝向愈來愈小組件推進,則需要進一步改進。
本揭露之一實施例揭露一種半導體裝置之製造方法,其包含形成光阻層於基板上、選擇性地將光阻層曝光於光化輻射以形成潛在圖案,以及藉由向經選擇性曝光之光阻層施加顯影劑來顯影潛在圖案,以形成圖案。形成光阻層於基板上之操作包含在氣態下將第一前驅物與第二前驅物結合以形成光阻材料,以及沉積光阻材料於基板上。第一前驅物係有機金屬,具有式:MaRbXc,其中M為Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者,R為經取代或未經取代的烷基、烯基或羧酸酯基,X為鹵素或磺酸酯基,並且1≦a≦2,b≧1,c≧1,且b+c≦5,且第二前驅物係胺、硼烷或膦之至少一者。
本揭露之一實施例揭露一種半導體裝置之製造方法,其包含透過原子層沉積(ALD)或化學氣相沉積(CVD)來形成光阻層,以沉積光阻組成物於基板上,且光阻組成物包第一化合物及第二化合物;藉由向經選擇性曝光之光阻層施加顯影劑來顯影潛在圖案,以形成曝光基板表面的 一部分之圖案;以及移除被顯影所曝光之基板的部分。沉積光阻組成物的操作包括在氣態下,將第一化合物與第二化合物結合,以形成光阻組成物;以及選擇性地將光阻層曝光於光化輻射,以形成潛在圖案。第一化合物係二級己基參(二甲基胺基)錫、三級己基參(二甲基胺基)錫、異己基參(二甲基胺基)錫、正己基參(二甲基胺基)錫、二級戊基參(二甲基胺基)錫、三級戊基參(二甲基胺基)錫、異戊基參(二甲基胺基)錫、正戊基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、三級丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、異丙基參(二甲基胺基)錫、正丙基參(二甲基胺基)錫及其類似的烷基參(三級丁氧基)錫化合物之至少一者,該烷基參(三級丁氧基)錫化合物,其包括二級己基參(三級丁氧基)錫、三級己基參(三級丁氧基)錫、異己基參(三級丁氧基)錫、正己基參(三級丁氧基)錫、二級戊基參(三級丁氧基)錫、三級戊基參(三級丁氧基)錫、異戊基參(三級丁氧基)錫、正戊基參(三級丁氧基)錫、三級丁基參(三級丁氧基)錫、異丁基參(丁氧基)錫、正丁基參(丁氧基)錫、二級丁基參(丁氧基)錫、異丙基參(二甲基胺基)錫或正丙基參(丁氧基)錫;並且第二化合物係胺、硼烷或膦之至少一者。
本揭露之一實施例揭露一種半導體裝置之製造方法,其包含同時將第一化合物及第二化合物導入至腔室,以形成第一化合物及第二化合物之組成物;透過化學氣相 沉積(CVD)來沉積第一化合物及第二化合物之組成物於基板上,以形成組成物之一層;以及將組成物之經圖案式曝光的一層進行顯影,以形成組成物之經圖案化層。其中該第一化合物係有機金屬化合物,且第二化合物係選自於由胺、硼烷、膦及其組合所組成之群組;將組成物之層圖案式曝光於光化輻射,以形成潛在圖案。
100:製程流程
10,40,70:基板
15:光阻層
30,65:光罩
35:圖案
45,97,95:輻射
50:曝光區域
52:未曝光區域
55,55’:圖案
57,105:顯影劑
60:要圖案化之層
62:分配器
65:反射光罩
75:Si及Mo之反射多層
80:覆蓋層
85:吸收層
90:後導電層
115:底層
120:有機矽烷層
200:沉積設備
205:腔室
210:支撐平台
220,225,240:氣體供應器
230,230’:入口
235,235’:氣體管線
245:真空泵
250:出口
255:排氣管線
260:控制器
S110,S120,S130,S140,S150:操作
當結合圖式閱讀以下詳細描述時可最好地理解本揭露。強調的是,根據業界之標準慣例,各種特徵並未按比例繪製,且僅用以繪示之目的。事實上,為了清楚討論起見,可任意增大或縮小各種特徵之尺寸。
圖1繪示根據本揭露之一些實施例半導體裝置之製程流程。
圖2顯示根據本揭露之一實施例的順序操作之製程階段。
圖3A及圖3B顯示根據本揭露之一實施例的順序操作之製程階段。
圖4A及圖4B顯示根據本揭露之一實施例的順序操作之製程階段。
圖5顯示根據本揭露之一實施例的順序操作之製程階段。
圖6顯示根據本揭露之一實施例的順序操作之製程階段。
圖7顯示根據本揭露之一些實施例的有機金屬前驅物。
圖8顯示根據本揭露之一些實施例的光阻劑沉積設備。
圖9顯示根據本揭露之一實施例的光阻層由於曝光於光化 輻射及加熱而經歷的反應。
圖10顯示根據本揭露之一實施例的順序操作之製程階段。
圖11A及圖11B顯示根據本揭露之一實施例的順序操作之製程階段。
圖12A及圖12B顯示根據本揭露之一實施例的順序操作之製程階段。
圖13顯示根據本揭露之一實施例的順序操作之製程階段。
圖14顯示根據本揭露之一實施例的順序操作之製程階段。
圖15顯示根據本揭露之一實施例的順序操作之製程階段。
圖16A顯示根據本揭露之一實施例的順序操作之製程階段,且圖16B顯示在製程階段中光阻層所經歷之反應。
圖17顯示根據本揭露之一實施例的順序操作之製程階段。
圖18顯示根據本揭露之一實施例的順序操作之製程階段。
圖19A顯示根據本揭露之一實施例的順序操作之製程階段。圖19B顯示圖19A之詳細視圖。圖19C顯示有機金屬前驅物所經歷之反應。
應理解的是,以下揭露提供許多不同的實施例或實例,以用於實施本揭露之不同特徵。以下描述組件及配置之具體實施例或實例以簡化本揭露。當然,此些組件及配置僅為實例,而非意欲進行限制。舉例而言,元件之尺寸不限於所揭示之範圍或數值,但可取決於裝置之製程條件及/或所需要的性質。此外,在後續的描述中,在第二特徵 之上方或在其上形成第一特徵可包括以直接接觸的方式形成第一特徵與第二特徵之實施例,且亦可包括可在第一特徵與第二特徵之間插入形成附加特徵,以使得第一特徵與第二特徵可不直接接觸的實施例。為了簡單及清楚起見,可使用不同比例任意繪製各種特徵。
另外,為了便於描述,在本文中可使用空間相對術語(諸如「在…之下(beneath)」、「在…下方(below)」、「下方的(lower)」、「在…上方(above)」、「上方的(upper)」及類似者)來描述如圖中所例示之一個元件或特徵與另一個(另一些)元件或特徵之關係。除了圖中所描繪之定向之外,空間相對術語意欲涵蓋裝置在使用中或操作中的不同定向。可以其他方式來定向裝置(旋轉90度或以其他定向),且同樣可相應地解釋本文所使用之空間相對描述詞。另外,術語「由…製成(made of)」可意指「包含(comprising)」或「由…組成(consisting of)」。
隨著半導體工業追求更高的裝置密度、更高的性能及更低的成本,已進入奈米技術製程節點,在縮小半導體特徵尺寸方面存在挑戰。極紫外線微影技術(extreme ultraviolet lithography,EUVL)已被開發來形成更小的半導體裝置特徵尺寸並增加半導體晶圓上之裝置密度。為了改善EUVL,需要增加晶圓曝光產量。透過增加曝光功率或增加光阻曝光速度(resist photospeed)可改善晶圓曝光產量。
因為金屬對極紫外線(EUV)輻射具有高吸收能 力,而因此增加光阻曝光速度,故含金屬光阻劑可用於極紫外線微影技術中。然而,含金屬光阻層在處理期間可能會有釋氣(outgas),導致光阻層品質隨時間而改變,且可能導致污染,對微影技術性能有負面影響且增加缺陷。
此外,光阻層的曝光不均可導致光阻層之交聯度不均,尤其是光阻層的較深部分。曝光不均係由於到達下方的光阻層的光能量較少而引起。曝光不均可導致線寬粗糙度(line width roughness,LWR)不良,從而阻止邊緣筆直的光阻輪廓(resist profile)之形成。
進一步地,因為溶劑用於形成及顯影,故以溶劑為基礎的(solvent-based)的光阻劑可能為有毒性的。光阻層形成及後續的圖案形成之更綠色製程(greener process)為想要的。
再者,旋塗製程可使用僅2%至5%的材料,此材料分散至基板上,同時殘留的95%至98%在旋塗操作期間被捨棄。具有高材料使用效率之光阻劑沉積為想要的。
進一步地,旋塗的光阻薄膜可能不均。在某些比例下,光阻薄膜的聚集可能會發生。
此外,光阻層形成及圖案化操作(本質上減少或避免來自含金屬光阻劑中的金屬之處理腔室及基板處置設備之金屬汙染)為想要的。
在本揭露之實施例中,藉由氣相沉積操作來沉積光阻於基板上,以解決上述問題,此氣相沉積操作包括光阻材料之原子層沉積(ALD)或化學氣相沉積(CVD)。根據本 揭露之實施例,藉由化學氣相沉積操作所沉積之光阻層提供在大的沉積面積上具有可控制的薄膜厚度及高薄膜均勻度與密度之光阻層。此外,本揭露之實施例包括形成無溶劑之光阻層,因此提供更綠色製程。再者,光阻沉積操作為一鍋合成法(one-pot method)(在單一個腔室中進行),因此增加製造效率,且限制及避免製程腔室的金屬汙染。
圖1繪示根據本揭露之一些實施例半導體裝置之製程流程100。如圖2所示,在一些實施例中,在操作S110中,要圖案化之層或基板10的表面塗佈光阻劑,以形成光阻層15。在一些實施例中,光阻為藉由CVD或ALD所形成的含金屬光阻劑。在一些實施例中,在沉積光阻層15後,其然後經歷第一加熱操作S120。在一些實施例中,對光阻層15加熱至約40℃至約120℃之間,持續約10秒至約10分鐘。
在選擇性第一加熱操作S120或光阻沉積操作S110後,在操作S130中,選擇性地曝光沉積光阻層15於光化輻射45或97(參見圖3A及圖3B)。在一些實施例中,選擇性地或圖案式地曝光沉積光阻層15於紫外線輻射。在一些實施例中,紫外線輻射為深紫外線輻射(deep ultraviolet radiation,DUV)。在一些實施例中,紫外線輻射為極紫外線(extreme ultraviolet,EUV)輻射。在一些實施例中,選擇性地或圖案式地曝光沉積光阻層15於電子束。
如圖3A所示,在一些實施例中,曝光輻射45在輻照光阻層15之前穿過光罩30。在一些實施例中,光罩30具有要在光阻層15中複製的圖案。在一些實施例中,藉由光罩基板40上的不透明圖案35形成圖案。可藉由對紫外線輻射不透明的材料(諸如:鉻)來形成不透明圖案35,同時藉由對紫外線輻射透明的材料(諸如:熔融石英)來形成光罩基板40。
在一些實施例中,使用極紫外線微影技術來選擇性或圖案式曝光光阻層15,以進行曝光區域50及未曝光區域52之形成。如圖3B所示,在一些實施例中,在極紫外線微影技術操作中,使用反射光罩65來形成圖案化曝光光線。反射光罩65包括低熱膨脹玻璃基板70,Si及Mo之反射多層(簡稱Si/Mo多層)75形成於此低熱膨脹玻璃基板70上。覆蓋層80及吸收層85形成於Si/Mo多層75上。後導電層90形成於低熱膨脹基板70之背側上。極紫外線輻射95以約6°之入射角被引導朝向反射光罩65。極紫外線輻射95之一部分的輻射97被Si/Mo多層75朝向塗佈光阻劑之基板10反射,同時極紫外線輻射95入射在吸收層85上的一部分被反射光罩65吸收。在一些實施例中,附加光學元件(包括鏡子)位於反射光罩65與塗佈光阻劑的基板10之間。
在一些實施例中,藉由放置塗佈光阻劑之基板10於光微影工具中來進行對輻射之曝光。在曝光輻射之情況下,光微影工具包括光罩30或光罩65、光學元件、提供 用於曝光之輻射45或輻射97的曝光輻射源,以及用於支撐及移動基板的可移動式平台。
在一些實施例中,在輻射45或輻射97被光罩30或光罩65圖案化之前或之後,光學元件(未顯示)被用於光微影工具中,以擴展、反射或以其他方式控制輻射。在一些實施例中,光學元件包括一或多個透鏡、鏡子、濾波器及其組合,以沿輻射45或輻射97的路徑控制輻射45或輻射97。
在一些實施例中,輻射為電磁輻射,諸如g線(約436nm波長)、i線(約365nm波長)、紫外線輻射、遠紫外線輻射、極紫外線、電子束或類似者。在一些實施例中,輻射源係選自於由汞汽燈(mercury vapor lamp)、氙燈、碳弧燈、KrF準分子雷射光(248nm的波長)、ArF準分子雷射光(193nm的波長)、F2準分子雷射光(157nm的波長)及CO2雷射激生Sn電漿(極紫外線,13.5nm的波長)所組成之群組。
電磁輻射量可使用通量或劑量為特徵,此通量或劑量藉由曝光時間內之積分輻射通量來獲得。在一些實施例中,合適的輻射通量之範圍為約1mJ/cm2至約150mJ/cm2,在另一些實施例中,為約2mJ/cm2至約100mJ/cm2,以及在又一些實施例中,為約3mJ/cm2至約50mJ/cm2。本揭露所屬技術領域中具有通常知識者將認知的是,在以上明確範圍內的輻射通量之附加範圍係預期的且在本揭露內。
在一些實施例中,藉由掃描電子束來執行選擇性或圖案式曝光。憑藉電子束微影技術,電子束感應二次電子,此些二次電子修改經輻照的材料。使用電子束微影技術及本文所揭示之含金屬光阻劑可達成高解析度。電子束可使用電子束能量為特徵,且在一些實施例中,合適的能量之範圍為約5V至約200kV(千伏),而在其他實施例中,為約7.5V至約100kV。在一些實施例中,30kV之經鄰近校正的電子束劑量之範圍為約0.1μC/cm2至約5μC/cm2,在其他實施例中,為約0.5μC/cm2至約1μC/cm2,且在其他實施例中,為約1μC/cm2至約100μC/cm2。基於本文中之教示內容,本揭露所屬技術領域中具有通常知識者可計算其他電子束能量所對應之劑量,且將認知的是,在以上明確範圍內的電子束性質之附加範圍係預期的且在本揭露內。
曝光於輻射之光阻層的曝光區域50經歷化學反應,從而改變其在後續顯影操作的易感性(susceptibility)。在一些實施例中,曝光於輻射之光阻層的曝光區域50經過反應後,而使得曝光的部分在顯影操作S150更易被移除。在其他實施例中,曝光於輻射之光阻層的曝光區域50經過反應後,而使得曝光的部分在顯影操作S150被移除。
接者,在操作S140中,光阻層15經歷第二次加熱或曝光後烘烤。在一些實施例中,對光阻層15加熱至約50℃至約250℃之溫度,持續約20秒至約120秒。在一 些實施例中,在約100℃至約230℃之溫度下進行曝光後烘烤,且在其他實施例中,在約150℃至約200℃之溫度下進行曝光後烘烤。在一些實施例中,曝光後烘烤操作S140導致第一化合物或第一前驅物及第二化合物或第二前驅物之反應生成物產生交聯。
後續在操作S150中,對經選擇性曝光的光阻層15進行顯影。在一些實施例中,藉由施加溶劑為基礎的的顯影劑至經選擇性曝光的光阻層15,以顯影光阻層15。如圖4A所示,液體顯影劑57從分配器62供應至光阻層15。如圖5所示,在一些實施例中,光阻層之曝光區域50由於曝光於光化輻射或曝光後烘烤而經歷交聯反應,而光阻層之未曝光區域52則利用顯影劑57去除,從而在光阻層15中形成開口55的圖案,以曝露出基板10。
在一些實施例中,光阻顯影劑57包括溶劑,以及酸或鹼。在一些實施例中,基於光阻顯影劑57之總重量,溶劑的濃度為約60重量百分比至約99重量百分比。基於光阻顯影劑57之總重量,酸或鹼的濃度為約0.001重量百分比至約20重量百分比。在某些實施例中,基於光阻顯影劑57之總重量,顯影劑57中的酸或鹼的濃度為約0.01重量百分比至約15重量百分比。
在一些實施例中,使用旋塗製程(spin-on process)來施加顯影劑57至光阻層15。如圖4A所示,在旋塗製程中,旋轉塗佈光阻劑之基板的同時從光阻層15上方來施加顯影劑57至光阻層15。在一些實施例中,以 介於約5ml/min與約800ml/min之間的速率供應顯影劑57的同時,以介於約100rpm與約2000rpm之間的速率旋轉塗佈光阻劑之基板10。在一些實施例中,顯影劑57處於介於約10℃與約80℃之間的溫度。在一些實施例中,顯影操作持續約30秒至約10分鐘之間。
在一些實施例中,顯影劑57為有機溶劑。有機溶劑可為任何合適的溶劑。在一些實施例中,溶劑係選自於由丙二醇甲醚乙酸酯(PGMEA)、丙二醇單甲醚(PGME)、1-乙氧基-2-丙醇(PGEE)、γ-丁內酯(GBL)、環己酮(CHN)、乳酸乙酯(EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、甲基乙基酮、二甲基甲醯胺(DMF)、異丙醇(IPA)、四氫呋喃(THF)、甲基異丁基甲醇(MIBC)、乙酸正丁酯(nBA)、2-庚酮(MAK)及二噁烷所組成之群組的一或多者。
雖然旋塗操作為一種用於在曝光之後對光阻層15進行顯影的合適方法,但其意欲為說明性,並非意欲限制實施例。更精確地說,可替代地使用任何合適的顯影操作,其包括浸鍍製程(dip process)、攪煉製程(puddle process)及噴塗方法(spray-on method)。所有此類顯影操作均包括在實施例之範疇內。
如圖4B所示,在一些實施例中,施加乾式顯影劑105至選擇性曝光之光阻層15。在一些實施例中,乾式顯影劑105為電漿或化學蒸汽,且乾式顯影操作S150為電漿蝕刻或化學蝕刻操作。乾式顯影使用與組成物、交聯程 度及膜密度相關的差異來選擇性地去除所想要的光阻部分。在一些實施例中,乾式顯影製程在加熱的真空腔室中使用溫和的電漿(高壓,低功率)或熱處理的同時,使在蒸汽狀態下的乾式顯影化學品(諸如:BCl3、BF3或其他路易斯酸(lewis acid))流動。在一些實施例中,BCl3去除未曝光的材料,從而留下曝光膜的圖案,曝光膜的圖案藉由以電漿為基礎的蝕刻製程被轉移至基本層中。
在一些實施例中,乾式顯影包括電漿製程,其包括變壓器耦合電漿(transformer coupled plasma,TCP)、感應耦合電漿(inductively coupled plasma,ICP)或電容耦合電漿(capacitively coupled plasma,CCP)。在一些實施例中,在範圍為約5豪托(mTorr)至約20豪托的壓力、約250W至約1000W的功率位準(power level)、範圍為約0℃至約300℃的溫度及約100sccm至約1000sccm的流動速率下進行電漿製程,持續約1秒至約3000秒。
在顯影操作後,圖案化的光阻層15就位的同時,進行額外的製程。舉例而言,如圖6所示,在一些實施例中,進行蝕刻操作(其使用乾式或濕式蝕刻),以轉移光阻層15的圖案至下方的基板10並形成凹槽55’。基板10具有不同於光阻層15之抗蝕刻性(etch resistance)。在一些實施例中,蝕刻劑對於基板的選擇性高於光阻層15。
在一些實施例中,在蝕刻操作期間,經曝光的光阻 層15被至少部分地移除。在其他實施例中,藉由選擇性蝕刻(使用適合的光阻剝除溶劑)或藉由光阻電漿灰化操作(photoresist plasma ashing operation)在蝕刻基板10之後移除經曝光的光阻層15。
在一些實施例中,至少在基板10表面的部分,基板10包括單晶半導體層。基板10可包括單晶半導體材料,諸如但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在一些實施例中,基板10為絕緣體上覆矽(silicon-on insulator,SOI)基板之矽層。在某些實施例中,基板10係由結晶矽製成。
基板10在其表面區域中可包括一或多個緩衝層(未顯示)。緩衝層可用於將晶格常數從基板之晶格常數逐漸改變為後續形成之源極/汲極區域之晶格常數。緩衝層可由經磊晶生長的單晶半導體材料形成,此些經磊晶生長的單晶半導體材料諸如但不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP及InP。在一實施例中,矽鍺(SiGe)緩衝層係磊晶生長於矽基板10上。SiGe緩衝層之鍺濃度可從最底緩衝層之30原子百分比增加至最頂緩衝層之70原子百分比。
在一些實施例中,基板10包括以下材料的至少一者之一或多個層:金屬、金屬合金及具有式MXa的金屬-氮化物/硫化物/氧化物/矽化物,其中M係金屬且X為氮 (N)、硫(S)、硒(Se)、氧(O)或矽(Si),且a為約0.4至約2.5。在一些實施例中,基板10包括鈦、鋁、鈷、釕、氮化鈦、氮化鎢、氮化鉭及其組合。
在一些實施例中,基板10包括至少具有式MXb的矽或金屬氧化物或氮化物的介電材料,其中M為金屬或Si,A為N或O,且b之範圍為約0.4至約2.5。在一些實施例中,基板10包括二氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭及其組合。
光阻層15係藉由曝光於光化輻射來圖案化的光敏感性層。通常,入射輻射所撞擊的光阻劑區域之化學性質以取決於所使用之光阻類型的方式而改變。光阻層15為正型光阻(positive tone resist)或負型光阻(negative tone resist)。正型光阻係指當曝光時,光阻層曝光於光化輻射(諸如紫外(UV)光)的部分被移除,而光阻層未曝光(或曝光較少)的區域在顯影操作後被保留於基板上之光阻材料。另一方面,負型光阻係指當曝光時,光阻層曝光於光化輻射的部分在顯影操作後被保留於基板上,而光阻層未曝光(或曝光較少)的區域在顯影操作期間被移除之光阻材料。
在一些實施例中,光阻層15包括由在蒸汽狀態下結合的第一化合物或第一前驅物與第二化合物或第二前驅物的光阻組成物製成。如圖7所示,第一前驅物或第一化合物係具有式MaRbXc的有機金屬,其中M係選自於由Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、 Al、Ga、Si、Ge、P、As、Y、La、Ce、Lu及其組合所組成之群組;R係經取代或未經取代的烷基、烯基或羧酸酯基。在一些實施例中,M係選自於由Sn、Bi、Sb、In及Te所組成之群組。在一些實施例中,R係C3至C6之烷基、烯基或羧酸酯基。在一些實施例中,R係選自於由丙基、異丙基、丁基、異丁基、二級丁基、三級丁基、戊基、異戊基、二級戊基、三級戊基、己基、異己基、二級己基、三級己基及其組合所組成之群組。X係與第二化合物或第二前驅物反應的配位基、離子或其他部分(moiety);且在一些實施例中,1≦a≦2,b≧1,c≧1,且b+c≦5。在一些實施例中,烷基、烯基或羧酸酯基經一或多個氟基所取代。如圖7所顯示,在一些實施例中,有機金屬前驅物係二聚體,其中每一個單體單元藉由胺基連接。每一個單體具有如上所定義之式:MaRbXc
在一些實施例中,R為烷基,諸如CnH(2n+1),其中n≧3。在一些實施例中,R為氟化的,例如具有式CnFxH((2n+1)-x)。在一些實施例中,R具有至少一個β-氫或β-氟。在一些實施例中,R係選自於由異丙基、正丙基、三級丁基、異丁基、正丁基、二級丁基、正戊基、異戊基、三級戊基、二級戊基及其組合所組成之群組。
在一些實施例中,X係易於被第二化合物或第二前驅物所置換之任何部分,以產生M-OH部分,前述之任何部分諸如選自於由胺(amine)、烷氧基(alkoxy)、羧酸酯基(carboxylate)、鹵素(halogen)及磺酸酯基 (sulfonate)所組成之群組的一者。此胺包括二烷基胺基及單烷基胺基。在一些實施例中,磺酸酯基係經一或多個胺基所取代。在一些實施例中,鹵素(halide)係選自於由F、Cl、Br及I所組成之群組的一或多者。在一些實施例中,磺酸酯基包括經取代或未經取代的C1至C3基。
在一些實施例中,有機金屬前驅物或有機金屬化合物包括二級己基參(二甲基胺基)錫(sec-hexyl tris(dimethylamino)tin)、三級己基參(二甲基胺基)錫、異己基參(二甲基胺基)錫、正己基參(二甲基胺基)錫、二級戊基參(二甲基胺基)、三級戊基參(二甲基胺基)錫、異戊基參(二甲基胺基)錫、正戊基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、三級丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、異丙基參(二甲基胺基)錫、正丙基參(二乙基胺基)錫及類似的烷基參(三級丁氧基)錫化合物,其包括二級己基參(三級丁氧基)錫、三級己基參(三級丁氧基)錫、異己基參(三級丁氧基)錫、正己基參(三級丁氧基)錫、二級戊基參(三級丁氧基)錫、三級戊基參(三級丁氧基)錫、異戊基參(三級丁氧基)錫、正戊基參(三級丁氧基)錫、三級丁基參(三級丁氧基)錫、異丁基參(丁氧基)錫、正丁基參(丁氧基)錫、二級丁基參(丁氧基)錫、異丙基參(二甲基胺基)錫及正丙基參(丁氧基)錫。在一些實施例中,有機金屬前驅物或有機金屬化合物為經氟化的。在一些實施例中,有機金屬前驅物或化合物具有小於約 200℃的沸點。
在一些實施例中,第一化合物或第一前驅物包括一或多個不飽和鍵,此一或多個不飽和鍵可與在基板或介入底層之表面上之官能基(諸如羥基)配位,以改善光阻層對基板或底層之黏著性。
在一些實施例中,第二前驅物或第二化合物係選自於由胺、硼烷及膦(phosphine)所組成之群組的一或多者。在一些實施例中,胺具有式NpHnXm,其中0≦n≦3,0≦m≦3,當p為1時n+m=3,且當p為2時n+m=4,且每一個X係獨立地選自於由F、Cl、Br及I所組成之群組的鹵素。在一些實施例中,硼烷具有式BpHnXm,其中0≦n≦3,0≦m≦3,當p為1時n+m=3,且當p為2時n+m=4,且各X係獨立地選自於由F、Cl、Br及I所組成之群組的鹵素。在一些實施例中,膦具有式PpHnXm,其中0≦n≦3,0≦m≦3,當p為1時n+m=3,或者當p為2時n+m=4,且每一個X係獨立地選自於由F、Cl、Br及I所組成之群組的鹵素。
在一些實施例中,第二前驅物或第二化合物為氨或肼(hydrazine)。氨或肼與有機金屬前驅物或化合物之反應產物可形成氫鍵,此些氫鍵增加反應產物之沸點,且避免金屬光阻材料放射,從而避免金屬污染。在一些實施例中,氫鍵亦可有助於避免濕氣對光阻層品質之影響。
在一些實施例中,藉由氣相沉積操作來執行沉積光阻組成物之操作S110。在一些實施例中,氣相沉積操作包 括原子層沉積(ALD)或化學氣相沉積(CVD)。在一些實施例中,ALD包括電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PE-ALD),且CVD包括電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PE-CVD)、金屬有機化學氣相沉積(metal-organic chemical vapor deposition,MO-CVD)、常壓化學氣相沉積(atmospheric pressure chemical vapor deposition,AP-CVD)及低壓化學氣相沉積(low-pressure chemical vapor deposition,LP-CVD)。沉積光阻層包括在汽態下將第一化合物或第一前驅物,以及第二化合物或第二前驅物做結合,以形成光阻組成物。在一些實施例中,幾乎同時將光阻組成物之第一化合物或第一前驅物,以及第二化合物或第二前驅物導入至沉積腔室(CVD腔室)中。在一些實施例中,以交替的方式將第一化合物或第一前驅物,以及第二化合物或第二前驅物導入至沉積腔室(ALD腔室)中,即,先導入第一化合物或前驅物,再導入第二化合物或前驅物,且然後後續交替地重複導入第一化合物或前驅物,接著導入第二化合物或前驅物。
在一些實施例中,在沉積操作期間,沉積腔室溫度之範圍為約30℃至約400℃,而在其他實施例中,沉積腔室溫度介於約50℃至約250℃之間。在一些實施例中,在沉積操作期間,沉積腔室中的壓力之範圍為約5豪托至約 100托,而在其他實施例中,此壓力介於約100豪托至約10托之間。在一些實施例中,電漿功率小於約1000W。在一些實施例中,電漿功率之範圍為約100W至約900W。在一些實施例中,第一化合物或第一前驅物,以及第二化合物或第二前驅物之流動速率的範圍為約100sccm至約1000sccm。在一些實施例中,有機金屬化合物前驅物對第二化合物或第二前驅物之流量比的範圍為約1:1至約1:5。在一些實施例中,在超出上述範圍的操作參數下,會導致不滿意的光阻層。在一些實施例中,光阻層的形成(一鍋式層形成,one-pot layer formation)發生在單一個腔室中。
在CVD製程中,根據本揭露之一些實施例,在單獨入口路徑中,將有機金屬前驅物及第二前驅物之二或多個氣體流導入至CVD設備之沉積腔室,其中它們於氣相下在沉積腔室中混合,並發生反應,以形成反應產物。在一些實施例中,使用單獨的注入入口或雙氣室噴頭導入氣流。配置沉積設備,故有機金屬前驅物及第二前驅物氣流在腔室中混合,而使得有機金屬前驅物及第二前驅物反應以形成反應產物。在不限制本揭露之機制、功能或效用的情況下,咸信來自氣相反應之產物的分子量變得更大,且產物然後經凝聚或以其他方式沉積在基板10上。
在一些實施例中,使用ALD製程來沉積光阻層。在ALD期間,藉由將基板10之表面曝光於交替之氣態化合物(或前驅物)來生長一層在基板上。相較於CVD,前驅 物以一系列順序的不重疊的脈衝被導入。在此些脈衝之每一個脈衝中,前驅物分子以自限方式(self-limiting way)與表面反應,故一旦在表面上的所有反應位置(reactive site)均被消耗後,反應便終止。因此,在單次曝光於所有前驅物(所謂的ALD循環)之後,沉積在表面上之材料的最大含量取決於前驅物與表面的相互作用之性質。
在ALD製程之一實施例中,在第一半反應中,用脈衝輸送有機金屬前驅物,以將含金屬前驅物遞送至基板10表面。在一些實施例中,有機金屬前驅物與合適的基本物種(例如:基板表面上之OH或NH官能基)發生反應,以形成新的自飽和表面。在一些實施例中,藉由真空泵抽真空及/或藉由使惰性排出氣體(inert purge gas)流動來去除過量的未使用反應物及反應副產物。在一些實施例中,然後,用脈衝輸送第二前驅物(諸如:氨(NH3))至沉積腔室。NH3與在基板10上之有機金屬前驅物發生反應,以在基板表面上獲得反應產物光阻劑。第二前驅物亦與基本反應性物種形成自飽和鍵結(self-saturating bond),以提供另一個自限及自飽和的第二半反應。在一些實施例中,執行第二次排出,以去除未使用的反應物及反應副產物。對第一前驅物及第二前驅物之脈衝輸送與介入排出操作交替地進行,直至達成所想要的光阻層15之厚度為止。
在一些實施例中,光阻層15形成約5nm至約 50nm的厚度,而在其他實施例中,形成約10nm至約30nm厚度。本揭露所屬技術領域中具有通常知識者將認知的是,在以上明確範圍內的厚度之附加範圍為預期的且在本揭露內。基於光阻層之光學性質,可使用X射線反射率(x-ray reflectivity)及/或橢圓偏振技術(ellipsometry)之非接觸式方法來評估厚度。在一些實施例中,光阻層厚度為相對地一致,以利於處理。在一些實施例中,相較於平均塗佈的厚度,塗層之厚度變化變動不大於±25%,在其他實施例中,相較於平均光阻層厚度,光阻層的厚度變化變動不大於±10%。在一些實施例(諸如在較大基板上進行高一致性塗佈的實施例)中,可使用1釐米邊緣剔除來評估光阻層的一致性的評價,即,不對塗層之邊緣處1釐米內的部分評估層的一致性。本揭露所屬技術領域中具有通常知識者將認知的是,在以上明確範圍內的附加範圍為預期的,且在本揭露內。
在一些實施例中,以載體氣體遞送第一化合物或第一前驅物,以及第二化合物或第二前驅物至沉積腔室中。載體氣體、排出氣體、沉積氣體或其他處理氣體可含有氮、氫、氬、氖、氦或其組合。
圖8顯示根據本揭露之一些實施例的光阻層(resist layer)沉積設備200。在一些實施例中,沉積設備200為ALD設備或CVD設備。沉積設備200包括真空腔室205。基板支撐平台210在真空腔室205中支撐基板10(諸如:矽晶圓)。在一些實施例中,基板支撐平台 210包括加熱器。在一些實施例中,第一前驅物或第一化合物的氣體供應器220及載體/排出氣體供應器225經由氣體管線235連接至腔室中的入口230,且第二前驅物或第二化合物氣體供應器240及載體/排出氣體供應器225經由另一個氣體管線235’連接至腔室中的另一個入口230’。藉由真空泵245經由出口250及排氣管線255排空腔室及去除過量反應物及反應副產物。在一些實施例中,前驅物氣體及載體/排出氣體之流動速率或脈衝、過量反應物及反應副產物之排空、真空腔室205內的壓力及真空腔室205或晶圓支撐平台210之溫度由配置以控制此些參數之每一個參數的控制器260所控制。
在一些實施例中,有機金屬化合物包括做為金屬成分之錫(Sn)、銻(Sb)、鉍(Bi)、銦(In)及/或碲(Te),然而,本揭露不限於此些金屬。在其他實施例中,附加的合適金屬包括鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈷(Co)、鉬(Mo)、鎢(W)、鋁(Al)、鎵(Ga)、矽(Si)、鍺(Ge)、磷(P)、砷(As)、釔(Y)、鑭(La)、鈰(Ce)、鑥(Lu)或其組合。附加的金屬可做為Sn、Sb、Bi、In及/或Te之替代物或補充物。
所使用之特定金屬可顯著影響對輻射的吸收。因此,可基於所想要的輻射及吸收截面來選擇金屬成分。錫、銻、鉍、碲及銦提供對13.5nm之極紫外線光的強吸收。鉿提供對電子束及極UV輻射的良好吸收。包括鈦、釩、鉬或鎢的金屬組成物在較長波長處具有強吸收,以提供對 紫外線光(例如:248nm波長)的敏感度。
在一些實施例中,在沉積操作期間,變動ALD沉積參數或CVD沉積參數,以形成具有密度梯度之光阻層。在一些實施例中,光阻層之密度梯度減少缺陷,諸如浮渣(scum)及橋接(bridging),且改善線寬粗糙度(line width roughness,LWR)及線邊緣粗糙度(line edge roughness,LER)。
圖9顯示根據本揭露之一實施例的光阻組成物成分由於曝光於光化輻射及加熱而經歷的反應。圖9顯示處於根據本揭露之實施例的光阻圖案化方法之各個階段的光阻層(PR)之例示性化學結構。如圖9所顯示,光阻組成物包括有機金屬化合物(例如:SnX2R2)及第二化合物(例如:氨(NH3))。當有機金屬化合物與胺結合時,有機金屬化合物與一些氣相氨發生反應,以形成具有附接至有機金屬化合物之金屬(Sn)的胺基之反應產物。剛沉積之光阻層中之胺基具有氫鍵,前述之氫鍵可實質上增加所沉積的光阻層之沸點,且避免含金屬光阻材料釋氣,從而避免含金屬光阻層中之金屬污染沉積腔室及半導體裝置處理設備。此外,胺基之氫鍵可控制濕氣對光阻層品質的影響。
當後續曝光於使用光罩的極紫外線輻射時,有機金屬化合物吸收極紫外線輻射,且一或多個有機R基團從有機金屬化合物裂解,以在經輻射曝光區域中形成胺基金屬化合物。如圖9所顯示,在一些實施例中,然後,當執行曝光後烘烤(PEB)時,胺基金屬化合物透過胺基進行交 聯。在一些實施例中,由於曝光於極紫外線輻射而發生胺基金屬化合物之部分交聯。後續對經選擇性曝光之光阻進行顯影,且在顯影期間去除未經輻射曝光的區域,同時將交聯後經輻射曝光的圖案保留在基板上。
如圖10所顯示,在一些實施例中,在形成光阻層15之前,在基板10之上設置要圖案化之層(標靶層)60。在一些實施例中,要圖案化之層60係金屬化層或設置於金屬化層上的介電層(諸如:鈍化層)。在要圖案化之層60為金屬化層之實施例中,要圖案化之層60以導電材料所形成,此藉由使用金屬化製程及金屬沉積技術,其包括化學氣相沉積、原子層沉積及物理氣相沉積(濺鍍)。同樣地,若要圖案化之層60為介電層,則要圖案化之層60藉由介電層形成技術(包括熱氧化、化學氣相沉積、原子層沉積及物理氣相沉積(濺鍍))來形成。
如圖11A及圖11B所示,且如關於圖3A及圖3B所描述,光阻層15後續經選擇性曝光於光化輻射45,以在光阻層15中形成曝光區域50及未曝光區域52。如本文所解釋的,在一些實施例中,光阻層為負型光阻。
如圖12A所示,藉由從分配器62分配顯影劑57,或者如圖12B所顯示,藉由乾式顯影操作,以形成光阻圖案55來對未曝光光阻區域52進行顯影,如圖13所顯示。顯影操作類似於本文中參照圖4A、圖4B及圖5所解釋之顯影操作。
如圖14所顯示,然後,如參照圖6所解釋,使用 蝕刻操作將光阻層15中之圖案55轉移至要圖案化之層60,且去除光阻層15,以在要圖案化之層60中形成圖案55’。
如圖15所顯示,在一些實施例中,在選擇性或圖案式曝光光阻層之前,光阻層15曝光於紅外光、可見光或近紫外線輻射110,以減少或抑制光阻層15的釋氣。曝光於紅外光、可見光或近紫外線之曝光劑量比後續的圖案式曝光之曝光劑量更低。在一些實施例中,曝光於紅外光、可見光或近紫外線輻射110增加薄膜的密度,且減少由濕氣所導致之問題。在一些實施例中,在選擇性或圖案式曝光,光阻層15經熱處理,以增加光阻層15的密度。在一些實施例中,熱處理包括加熱光阻層到約40℃至約120℃的溫度,持續約10秒至約10分鐘。然後,如本文中參照圖3A至圖6,以及圖11A至圖14所討論,處理光阻層及基板。
如圖16A所示,在一些實施例中,光阻層15包括有機金屬化合物或前驅物,其包括一或多種不飽和鍵,此不飽和鍵可與基底基板10的官能基形成配位。如圖16B所示,剛沉積的光阻(PR)包含處於未配位的位置之不飽和鍵。當加熱光阻層15時,於基板10或介入層的表面上之官能基(諸如羥基)與不飽和鍵產生反應並形成化學鍵結至光阻層15與基板10或介入層,從而改善光阻對基板之黏著性。然後,如本文中參照圖3A至圖6,以及圖11A至圖14所討論,處理光阻層及基板。在一些實施例中,基板 為矽基板,例如:矽晶圓或矽層。
如圖17所示,在一些實施例中,在選擇性或圖案式曝光光阻層15或交聯光阻層15之前,施加覆蓋層130於光阻層。在一些實施例中,覆蓋層130為非金屬層,諸如氧化矽或氮化矽,或者,在其他實施例中,覆蓋層130為聚合物層。在一些實施例中,藉由原子層沉積、化學氣相沉積或旋塗沉積覆蓋層130。在一些實施例中,覆蓋層130與光阻層沉積於同一個腔室。在一些實施例中,覆蓋層130幫助阻止光阻的釋氣或金屬汙染。再者,覆蓋層130幫助阻止水氣對光阻層的有害地影響。在一些實施例中,覆蓋層130具有約0.5nm至約10nm之厚度。在其他實施例中,覆蓋層130之厚度為約1nm至約5nm。在一些實施例中,小於0.5nm之厚度太薄而不能有效地抑制光阻釋氣及水氣對光阻層的影響,且大於10nm之厚度不能提供超出10nm之外的任何額外好處。然後,如本文中參照圖3A至圖6,以及圖11A至圖14所討論,處理光阻層及基板。在一些實施例中,在顯影操作之前、期間或之後,移除覆蓋層130。在一些實施例中,在圖案式顯影之前,移除覆蓋層130。
在一些實施例中,在光阻層形成於基板上之前,以六甲基二矽氮烷(HMDS)處理基板的表面。如圖18所示,在一些實施例中,在形成光阻層15之前,形成非晶碳底層115於基板上。在一些實施例中,底層115減小水氣的有害影響,且在一些實施例中,底層115改善光阻層15對 基板10的黏著性。在一些實施例中,非晶碳底層115為碳基(carbon-based)聚合物層。在一些實施例中,底層115為藉由化學氣相沉積之非晶碳層。在一些實施例中,藉由各種技術來沉積底層115,舉例而言,藉由不飽合單體的前驅物之電漿誘導聚合,此前驅物例如為乙烯、丙烯、乙炔或任何其他揮發性烴基(hydrocarbon based)前驅物。在一些實施例中,底層115具有約0.5nm至約10nm之厚度。在其他實施例中,底層115之厚度為約1nm至約5nm。在一些實施例中,底層115改善光阻層15對基板10的黏著性。在一些實施例中,小於0.5nm之厚度太薄而不能有效地減少水氣的影響或改善光阻對基板10的黏著性,且大於10nm之厚度不能提供超出10nm之外的任何額外好處。然後,如本文中參照圖3A至圖6,以及圖11A至圖14所討論,處理光阻層及基板。在一些實施例中,藉由蝕刻移除底層115的經曝光的部分。
如圖19A至圖19C所示,在一些實施例中,在選擇性或圖案式曝光光阻層15或交聯光阻層15之前,施加有機矽烷至光阻層,以形成有機矽烷層120。在一些實施例中,有機矽烷頂層幫助阻止光阻的釋氣或金屬汙染。再者,頂層可幫助阻止水氣對光阻層的有害地影響。圖19B為圖19A的詳細視圖,其顯示有機矽烷Y鍵結於在光阻層15中之有機金屬前驅物或化合物。有機矽烷Y可為任何合適的有機矽烷。在一些實施例中,有機矽烷可為選自於三甲矽烷基胺、1,3,5-三矽雜環己烷 (1,3,5-trisilacyclohexane)、1,3,5-三矽戊烷(1,3,5-trisilapentane)、雙(二乙基胺基)矽烷bis(diethylamino)silane、雙(三級丁基胺基)矽烷bis(tertiarybutylamino)silane、二氯甲矽烷(dichlorosilane)、二溴甲矽烷、二碘甲矽烷及矽乙烷所組成之群組中之一或多者。在一些實施例中,藉由有機原子層沉積或化學氣相沉積來有機矽烷。有機矽烷與光阻層之間的反應之示例顯示於圖19C。有機矽烷(有機的矽烷,organosilane)沉積於光阻層上,且有機矽烷與於光阻層中的有機金屬形成配位。在一些實施例中,有機矽烷可在同一個腔室中沉積於光阻層的頂表面。然後,如圖3A至圖6及圖11A至圖14所討論,處理光阻層及基板。在一些實施例中,在顯影操作期間或之後,移除有機矽烷層120。
其他實施例包括在以上所描述之操作之前、期間或之後的其他操作。在一些實施例中,所揭示之方法包括形成鰭式場效電晶體(fin field effect transistor,FinFET)結構。在一些實施例中,複數個主動鰭片形成於半導體基板上。此類實施例進一步包括透過圖案化硬光罩之開口來蝕刻基板,以在基板中形成溝槽;用介電材料填充溝槽;執行化學機械研磨(CMP)製程,以形成淺溝槽絕緣(shallow trench isolation,STI)特徵;以及磊晶生長STI特徵或使此些STI特徵凹陷,以形成鰭狀主動區域。在一些實施例中,一或多個閘極電極形成於基板上。 一些實施例包括形成閘極間隔物、摻雜源極/汲極區域、用於閘極/源極/汲極特徵之接觸等。在其他實施例中,標靶圖案形成為多層互連結構中之金屬線。舉例而言,金屬線可形成於基板(其已經蝕刻而形成複數個溝槽)之層間介電(inter-layer dielectric,ILD)層中。可用諸如金屬之導電材料填充溝槽,且可使用諸如化學機械平坦化(CMP)之製程來研磨導電材料,以曝光經圖案化ILD層,從而在ILD層中形成金屬線。以上係可使用本文所描述之方法製造及/或改善的裝置/結構之非限制性示例。
在一些實施例中,根據本揭露之實施例,形成主動組件,諸如:二極體、場效電晶體(FET)、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極電晶體、高電壓電晶體、高頻電晶體、鰭式FET(FinFET)、其他三維(3D)FET、其他記憶單元及其組合。
根據本揭露,半導體裝置形成方法及光阻圖案化方法提供改善的光阻材料用途效率,且相較於溶劑為基礎的光阻劑,減少可能的毒性有機溶劑之使用。本揭露之實施例提供沉積於半導體基板表面上之均勻的光阻薄膜。在一些實施例中,因為光阻劑為非溶劑為基礎的光阻劑,且不使用溶劑為基礎的顯影劑進行乾式顯影,所以本質上可從光阻圖案化製程中刪除毒性有機溶劑。在一些實施例中,避免來自於含金屬光阻劑中之金屬污染沉積腔室及半導體基板處理設備。根據本揭露之實施例的方法避免光阻劑水 氣敏感性問題。此外,根據本揭露之實施例,藉由一鍋式沉積方法來提供改善的半導體裝置製備效率。
本文所揭露之乾式圖案化技術可提供關於濕式圖案形成之各種益處。舉例而言,相較於使用旋塗技術所給予的薄膜,本文所揭露之乾式圖案化技術可用於沉積更薄且更無缺陷之薄膜,且可藉由增加或縮減沉積步驟或序列之長度來調整及控制所沉積的薄膜之準確厚度。相應地,乾式製程可提供更高的可調控性並進一步控制臨界尺寸(CD)及移除浮渣。乾式顯影可藉由避免因濕式顯影之表面張力所導致之線路倒塌(line collapse)來改善性能,且藉由避免使用濕顯影機(wet development track)來提升產量。本揭露之實施例提供改善的線寬粗糙度,以及在經曝光與未曝光的光阻部分之間的改善後差異性。
本揭露之實施例是一種製造半導體裝置之方法,其包括形成光阻層於基板上,前述之操作包括在氣態下,將第一化合物與第二化合物結合,以形成光阻組成物。其中第一前驅物係具有下式MaRbXc之有機金屬,其中M為Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者,R為經取代或未經取代的烷基、烯基或羧酸酯基,X為鹵素或磺酸酯基,且1≦a≦2,b≧1,c≧1,且b+c≦5。第二前驅物係胺、硼烷或膦之至少一者。形成光阻的操作包括沉積光阻材料於基板上。光阻層選擇性曝光於光化輻射,以形成潛在圖案,且藉由施加顯影劑 至選擇性曝光的光阻層來顯影潛在圖案,以形成一圖案。在一實施例中,光化輻射為極紫外線輻射。在一實施例中,此方法包括在選擇性曝光光阻層於光化輻射,以形成潛在圖案之後,且在顯影潛在圖案之前,烘烤光阻層。在一實施例中,烷基、烯基或羧酸酯基經一或多個氟基所取代。在一實施例中,磺酸酯基經一或多個胺基所取代。在一實施例中,藉由原子層沉積(ALD)或化學氣相沉積(CVD)來沉積光阻材料於基板上。在一實施例中,在選擇性曝光光阻層於光化輻射之前,此方法包括曝光光阻層於紅外光、可見光或近紫外線。在一實施例中,在形成光阻層之前,此方法包括形成非晶碳層於基板上。在一實施例中,在形成光阻層之前,此方法包括形成碳基聚合物層於基板上。在一實施例中,在選擇性曝光光阻層於光化輻射之前,此方法包括施加有機矽烷至光阻層。在一實施例中,胺基可為氨(ammonia)或肼。在一實施例中,在選擇性曝光光阻層之前,此方法包括形成氧化矽或氮化矽於光阻層上。
本揭露之另一個實施例是一種半導體裝置之製造方法,此方法包括透過原子層沉積(ALD)或化學氣相沉積(CVD)來沉積具有第一化合物及第二化合物之光阻組成物於基板表面上。沉積光阻層之操作包括在氣態下,將第一化合物與第二化合物結合,以形成光阻組成物,其中第一化合為二級己基參(二甲基胺基)錫(sec-hexyl tris(dimethylamino)tin)、三級己基參(二甲基胺基)錫、異己基參(二甲基胺基)錫、正己基參(二甲基胺基)錫、 二級戊基參(二甲基胺基)、三級戊基參(二甲基胺基)錫、異戊基參(二甲基胺基)錫、正戊基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、三級丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、異丙基參(二甲基胺基)錫、正丙基參(二乙基胺基)錫及類似的烷基參(三級丁氧基)錫化合物,其包括二級己基參(三級丁氧基)錫、三級己基參(三級丁氧基)錫、異己基參(三級丁氧基)錫、正己基參(三級丁氧基)錫、二級戊基參(三級丁氧基)錫、三級戊基參(三級丁氧基)錫、異戊基參(三級丁氧基)錫、正戊基參(三級丁氧基)錫、三級丁基參(三級丁氧基)錫、異丁基參(丁氧基)錫、正丁基參(丁氧基)錫、二級丁基參(丁氧基)錫、異丙基參(二甲基胺基)錫或正丙基參(丁氧基)錫之至少一者。第二化合為胺、硼烷或膦之至少一者。光阻層經選擇性曝光於光化輻射,以形成潛在圖案。藉由施加顯影劑至選擇性曝光的光阻層來顯影潛在圖案,以形成曝光基板的一部分之一圖案。去除被顯影所曝光之基板的一部分。在一實施例中,去除被顯影所曝光之基板的一部分之操作包括蝕刻基板。在一實施例中,光化輻射為極紫外線輻射或電子束。在一實施例中,在選擇性曝光光阻層於光化輻射,以形成潛在圖案之後,且在顯影潛在圖案之前,此方法包括在範圍為100℃至200℃的溫度下,加熱光阻層。在一實施例中,第一化合物經一或多個氟基所取代。在一實施例中,顯影劑為乾式顯影劑。在一實施例中,在選擇性曝 光光阻層於光化輻射之前,此方法包括曝光光阻層於紅外光、可見光或近紫外線輻射。在一實施例中,在形成光阻層之前,此方法包括形成非晶碳層於基板上。在一實施例中,非晶碳層為聚合物層。在一實施例中,在選擇性曝光光阻層於光化輻射之前,此方法包括施加有機矽烷至光阻層。在一實施例中,胺為氨或肼。在一實施例中,在選擇性曝光光阻層之前,此方法包括形成氧化矽或氮化矽於光阻層上。
本揭露之另一個實施例是一種半導體裝置之製造方法,此方法包括同時導入第一化合物及第二化合物至一腔室,以形成第一化合物及第二化合物之組成物。透過化學氣相沉積(CVD)沉積第一化合物及第二化合物之組成物於基板上。第一化合物為有機金屬化合物,且第二化合物係選自於由胺、硼烷、膦及其組合所組成之群組。圖案式曝光組成物的層於光化輻射,以形成潛在圖案,且顯影經圖案式曝光之組成物的層,以形成經圖案化之組成物的層。在一實施例中,胺、硼烷或膦包括鹵素取代基(halide substituent)。在一實施例中,在圖案式曝光組成物的層於光化輻射之前,此方法包括曝光組成物的層於紅外光、可見光或近紫外線輻射。在一實施例中,在沉積組成物之前,此方法包括形成聚合物層於基板上。在一實施例中,在選擇性曝光組成物的層於光化輻射之前,此方法包括施加有機矽烷至組成物的層。在一實施例中,光化輻射為極紫外線輻射。在一實施例中,在圖案式曝光組成物的層於 光化輻射,以形成潛在圖案之後,且在顯影潛在圖案之前,此方法包括在範圍為100℃至200℃的溫度下,加熱組成物的層。在一實施例中,在顯影之後,此方法包括去除經曝光之基板的一部分。在一實施例中,藉由顯影來去除基板的一部分之操作包括蝕刻基板。在一實施例中,顯影操作為乾式顯影操作。在一實施例中,胺為氨或肼。在一實施例中,在選擇性曝光組成物的層之前,此方法包括形成氧化矽或氮化矽於組成物的層上。
本揭露之另一個實施例是一種圖案化光阻層之方法,此方法包括藉由原子層沉積(ALD)或化學氣相沉積(CVD)來沉積光阻層於基板表面上。光阻層包含不含有矽之有機金屬化合物與一化合物之反應產物,此化合物係選自於由胺、硼烷及膦所組成之群組之化合物。光阻層經圖案式交聯,以形成在光阻層中之潛在圖案。藉由施加顯影劑至經圖案式交聯的光阻層來顯影潛在圖案,以形成曝光基板的一部分之一圖案。在一實施例中,此方法包括移除被顯影所曝光之基板的一部分之操作。在一實施例中,有機金屬化合物包括選自於由Sn、Bi、Sb、In及Te所組成之群組之金屬。在一實施例中,有機金屬化合物包括經取代或未取代之烷基、烯基或羧酸酯基。在一實施例中,有機金屬化合物包括鹵化物或磺酸酯基。在一實施例中,磺酸酯基經一或多個胺基所取代。在一實施例中,胺、硼烷或膦包括鹵素取代基。在一實施例中,圖案式交聯光阻層之操作包括圖案式曝光光阻層於極紫外線輻射,以及加 熱經圖案式曝光之光阻層。在一實施例中,在範圍為100℃至200℃的溫度下,加熱經圖案式曝光之光阻層。在一實施例中,在圖案式交聯光阻層之前,此方法包括曝光光阻層於紅外光、可見光或近紫外線輻射。在一實施例中,胺為氨或肼。在一實施例中,在選擇性圖案式交聯光阻層之前,此方法包括形成氧化矽或氮化矽於光阻層上。
本揭露之另一個實施例是一種形成圖案化層於基板上之方法,此方法包括沉積一氣相的有機金屬化合物及第二氣相化合物之反應化合物於基板上,以形成光阻層。有機金屬化合物具有式MaRbXc之有機金屬,其中M為Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者,R為經取代或未經取代的烷基、烯基或羧酸酯基,X為鹵素或磺酸酯基,且1≦a≦2,b≧1,c≧1,且b+c≦5,且第二氣相化合物係選自於由胺、硼烷、膦及其組合所組成之群組。光阻層經圖案式交聯,以形成在光阻層中之潛在圖案。藉由施加顯影劑至經圖案式交聯的光阻層來顯影潛在圖案,以形成曝光基板的一部分之一圖案。在一實施例中,X為經一或多個胺基所取代之磺酸酯基。在一實施例中,胺、硼烷或膦包括鹵素取代基。在一實施例中,圖案式交聯光阻層之操作包含圖案式曝光光阻層於極紫外線輻射,以及加熱經圖案式交聯之光阻層。在一實施例中,在範圍為100℃至200℃的溫度下,加熱經圖案式交聯之光阻層。在一實施例中,此方法包括移除 被顯影所曝光之基板的一部分。在一實施例中,在圖案式交聯之前,此方法包括在範圍為40℃至120℃的溫度下,加熱光阻層。在一實施例中,在形成光阻層之前,此方法包括形成非晶碳層於基板上。在一實施例中,非晶碳層為聚合物層。在一實施例中,在圖案式交聯光阻層之前,此方法包括施加有機矽烷至光阻層。在一實施例中,胺為氨或肼。在一實施例中,在選擇性交聯光阻層之前,此方法包括形成氧化矽或氮化矽於光阻層上。
本揭露之另一個實施例是一種圖案化光阻層之方法,此方法包括藉由氣相沉積操作來沉積光阻層於基板上。光阻層包括無矽有機金屬化合物及一化合物之反應生成物,此化合物係選自於由胺、硼烷及膦所組成之群組之化合物。光阻層經選擇性曝光於光化輻射,以形成在光阻層中之潛在圖案。在選擇性曝光光阻層期間,去除未曝光於光化輻射之光阻層的一部分,以形成曝光於光化輻射之光阻層留下的一部分之圖案。在一實施例中,此方法包括去除藉由去除光阻層的一部分所曝光之基板的一部分。在一實施例中,去除基板的一部分之操作包括乾式蝕刻基板。在一實施例中,去除基板的一部分之操作包括施加電漿至光阻層。在一實施例中,氣相沉積操作包括原子層沉積或化學氣相沉積。在一實施例中,胺、硼烷或膦包括鹵素取代基。在一實施例中,光化輻射為極紫外線輻射。在一實施例中,在選擇性曝光光阻層於光化輻射之後,此方法包括在範圍為100℃至200℃的溫度下,加熱光阻層。 在一實施例中,在選擇性曝光光阻層於光化輻射之前,此方法包括曝光光阻層於紅外光、可見光或近紫外線輻射。在一實施例中,在選擇性曝光光阻層於光化輻射之前,此方法包括在範圍為40℃至120℃的溫度下,加熱光阻層。在一實施例中,胺為氨或肼。在一實施例中,在選擇性曝光光阻層之前,此方法包括形成氧化矽或氮化矽於光阻層上。
前述內容概述數個實施例或實例之特徵,使得本揭露所屬技術領域中具有通常知識者可更好地理解本揭露之態樣。本揭露所屬技術領域中具有通常知識者應瞭解,他們可容易地將本揭露做為設計或修改而用於實施本文所介紹之實施例或實例之相同目的及/或達成相同優點的其他製程及結構之基礎。本揭露所屬技術領域中具有通常知識者亦應認知的是,此類等效構造不脫離本揭露之精神及範疇,且他們可在不脫離本揭露之精神及範疇的情況下對本文作出各種改變、替換及變更。
100:製程流程
S110,S120,S130,S140,S150:操作

Claims (10)

  1. 一種半導體裝置之製造方法,包含:形成一光阻層於一基板上,包含:在一氣態下,將一第一前驅物與一第二前驅物結合,以形成一光阻材料;其中該第一前驅物係一有機金屬,具有下式:MaRbXc,其中M為Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者,R為經取代或未經取代的烷基、烯基或羧酸酯基,X為鹵素或磺酸酯基,其中X為與該第二前驅物反應的一基團,以使該第二前驅物鍵結該第一前驅物,並且1≦a≦2,b≧1,c≧1,且b+c≦5,且該第二前驅物係胺、硼烷或膦之至少一者;以及沉積光阻材料於一基板上;選擇性地將該光阻層曝光於一光化輻射,以形成一潛在圖案,其中該光化輻射係配置以使R從該光阻材料裂解;於形成該潛在圖案後,烘烤該光阻層,以交聯曝光之該光阻層;以及於烘烤該光阻層後,藉由向經選擇性曝光之該光阻層施加一顯影劑來顯影該潛在圖案,以形成一圖案。
  2. 如請求項1所述之製造方法,其中該光化輻射為極紫外線輻射。
  3. 如請求項1所述之製造方法,更包含在形成該光阻層之前,形成一非晶碳層於該基板上。
  4. 如請求項1所述之製造方法,更包含在形成該光阻層之前,形成碳基(carbon-based)聚合物層於該基板上。
  5. 如請求項1所述之製造方法,更包含在選擇性地將該光阻層曝光於該光化輻射之前,施加有機矽烷至該光阻層。
  6. 一種半導體裝置之製造方法,包含:透過原子層沉積(ALD)或化學氣相沉積(CVD)來形成一光阻層,以沉積一光阻組成物於一基板上,且該光阻組成物包一第一化合物及一第二化合物;其中該沉積該光阻組成物的操作包括:在一氣態下,將該第一化合物與該第二化合物結合,以形成該光阻組成物;其中該第一化合物係二級己基參(二甲基胺基)錫、三級己基參(二甲基胺基)錫、異己基參(二甲基胺基)錫、正己基參(二甲基胺基)錫、二級戊基參(二甲基胺基)錫、三 級戊基參(二甲基胺基)錫、異戊基參(二甲基胺基)錫、正戊基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、三級丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、異丙基參(二甲基胺基)錫、正丙基參(二甲基胺基)錫及其類似的烷基參(三級丁氧基)錫化合物之至少一者,該烷基參(三級丁氧基)錫化合物包括二級己基參(三級丁氧基)錫、三級己基參(三級丁氧基)錫、異己基參(三級丁氧基)錫、正己基參(三級丁氧基)錫、二級戊基參(三級丁氧基)錫、三級戊基參(三級丁氧基)錫、異戊基參(三級丁氧基)錫、正戊基參(三級丁氧基)錫、三級丁基參(三級丁氧基)錫、異丁基參(丁氧基)錫、正丁基參(丁氧基)錫、二級丁基參(丁氧基)錫、異丙基參(二甲基胺基)錫或正丙基參(丁氧基)錫;並且該第二化合物係胺、硼烷或膦之至少一者;以及選擇性地將該光阻層曝光於一光化輻射,以形成一潛在圖案;藉由向經選擇性曝光之該光阻層施加一顯影劑來顯影該潛在圖案,以形成一曝光基板表面的一部分之一圖案;以及移除被顯影所曝光之該基板的該部分。
  7. 如請求項6所述之製造方法,其中該光化輻射為極紫外線輻射。
  8. 如請求項6所述之製造方法,更包含在選擇性地將該光阻層曝光於該光化輻射以形成一潛在圖案之後且在對該潛在圖案進行顯影之前,於100℃至200℃的溫度下加熱該光阻層。
  9. 一種半導體裝置之製造方法,包含:同時將一第一化合物及一第二化合物導入至一腔室,以形成該第一化合物及該第二化合物之一組成物;透過化學氣相沉積(CVD)來沉積該第一化合物及該第二化合物之該組成物於一基板上,以形成該組成物之一層;其中該第一化合物係如下式所示之有機金屬化合物,且該第二化合物係選自於由胺、硼烷、膦及其組合所組成之群組:MaRbXc,其中M為Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者,R為經取代或未經取代的烷基、烯基或羧酸酯基,X為鹵素或磺酸酯基,其中X為與該第二化合物反應的一基團,以使該第二化合物鍵結該第一化合物,並且1≦a≦2,b≧1,c≧1,且b+c≦5;將該組成物之該層圖案式曝光於一光化輻射,以形成一潛在圖案,其中該光化輻射係配置以使R從該組成物之該層 裂解;於形成該潛在圖案後,烘烤該組成物之該層,以交聯曝光之該組成物之該層;以及於烘烤該組成物之該層後,將該組成物之經圖案式曝光的該層進行顯影,以形成該組成物之經圖案化層。
  10. 如請求項9所述之製造方法,更包含在選擇性地將該組成物之該層曝光於該光化輻射之前,施加有機矽烷至該組成物之該層。
TW110111394A 2020-03-30 2021-03-29 半導體裝置之製造方法 TWI796661B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063002247P 2020-03-30 2020-03-30
US63/002,247 2020-03-30
US202063025957P 2020-05-15 2020-05-15
US63/025,957 2020-05-15
US17/150,389 US20210302839A1 (en) 2020-03-30 2021-01-15 Method of manufacturing a semiconductor device
US17/150,389 2021-01-15

Publications (2)

Publication Number Publication Date
TW202144913A TW202144913A (zh) 2021-12-01
TWI796661B true TWI796661B (zh) 2023-03-21

Family

ID=76712853

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110111394A TWI796661B (zh) 2020-03-30 2021-03-29 半導體裝置之製造方法

Country Status (3)

Country Link
CN (1) CN113113292A (zh)
DE (1) DE102021101492A1 (zh)
TW (1) TWI796661B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20190237341A1 (en) * 2018-01-30 2019-08-01 Lam Research Corporation Tin oxide mandrels in patterning
EP3598232A1 (en) * 2017-03-13 2020-01-22 JSR Corporation Radiation sensitive composition and pattern forming method
US20200058492A1 (en) * 2018-08-14 2020-02-20 Lam Research Corporation Modification of sno2 surface for euv lithography
TW202010746A (zh) * 2018-06-30 2020-03-16 美商應用材料股份有限公司 含錫之前驅物及沉積含錫薄膜之方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
EP3598232A1 (en) * 2017-03-13 2020-01-22 JSR Corporation Radiation sensitive composition and pattern forming method
US20190237341A1 (en) * 2018-01-30 2019-08-01 Lam Research Corporation Tin oxide mandrels in patterning
WO2019152362A1 (en) * 2018-01-30 2019-08-08 Lam Research Corporation Tin oxide mandrels in patterning
TW202010746A (zh) * 2018-06-30 2020-03-16 美商應用材料股份有限公司 含錫之前驅物及沉積含錫薄膜之方法
US20200058492A1 (en) * 2018-08-14 2020-02-20 Lam Research Corporation Modification of sno2 surface for euv lithography

Also Published As

Publication number Publication date
DE102021101492A1 (de) 2021-09-30
CN113113292A (zh) 2021-07-13
TW202144913A (zh) 2021-12-01

Similar Documents

Publication Publication Date Title
US11705332B2 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
KR102405489B1 (ko) 반도체 디바이스 제조 방법
TWI773415B (zh) 製造半導體裝置的方法
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
TWI796661B (zh) 半導體裝置之製造方法
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI804806B (zh) 製造半導體元件的方法
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
KR102630481B1 (ko) 반도체 디바이스 제조 방법
US12002675B2 (en) Photoresist layer outgassing prevention
TWI831108B (zh) 製造半導體裝置的方法
TW202416053A (zh) 半導體裝置的製造方法及半導體裝置製造工具
CN113341662A (zh) 光致抗蚀剂显影剂和制造半导体器件的方法