TW202010746A - 含錫之前驅物及沉積含錫薄膜之方法 - Google Patents

含錫之前驅物及沉積含錫薄膜之方法 Download PDF

Info

Publication number
TW202010746A
TW202010746A TW108121963A TW108121963A TW202010746A TW 202010746 A TW202010746 A TW 202010746A TW 108121963 A TW108121963 A TW 108121963A TW 108121963 A TW108121963 A TW 108121963A TW 202010746 A TW202010746 A TW 202010746A
Authority
TW
Taiwan
Prior art keywords
tin
precursor
compound
substrate
alkyl
Prior art date
Application number
TW108121963A
Other languages
English (en)
Inventor
湯瑪士 尼斯里
馬克 薩利
大衛 湯普森
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202010746A publication Critical patent/TW202010746A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/90Carbides
    • C01B32/914Carbides of single elements
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/0635Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with germanium, tin or lead
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/06Metal silicides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B35/00Boron; Compounds thereof
    • C01B35/02Boron; Borides
    • C01B35/04Metal borides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G19/00Compounds of tin
    • C01G19/02Oxides
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Composite Materials (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

描述含錫前驅物與形成含錫薄膜之方法。錫前驅物具有錫-二氮雜二烯鍵,且為同配位基式或異配位基式。適合的反應物用於提供下述其中一者:金屬錫膜或包括氧化物、氮化物、碳化物、硼化物及/或矽化物之一或多者的膜。也描述形成三元材料的方法,該三元材料包括錫以及下述之二者或更多者:氧、氮、碳、硼、矽、鈦、釕及/或鎢。

Description

含錫之前驅物及沉積含錫薄膜之方法
本案揭露內容的實施例大致上關於含錫薄膜的沉積。更特定而言,本案揭露內容的實施例關於使用錫二氮雜二烯(diazadiene)類錯合物形成含錫膜的方法。
半導體工業在追求元件小型化上面臨許多挑戰,該元件小型化是涉及奈米級特徵的快速規模縮放。此等問題包括複雜的製造步驟的引入,諸如多次的微影曝光及高性能材料的積集。為了生產下一代半導體元件的奈米級特徵,需要使用極紫外(EUV)光子的新微影源。EUV微影術的一個當前的缺點是,由於可用於執行圖案化的光子數量有限,所以晶圓的產量很低。
不幸的是,具有強健熱穩定性、高反應性及適合膜生長發生的蒸氣壓之必要性質的可用可行的化學前驅物的數量很有限。此外,經常滿足此等要求的前驅物仍蒙受較差的長期穩定性,並且導致薄膜有高濃度的污染物,諸如氧、氮及/或鹵化物,此等污染物經常對目標薄膜應用有害。因此,需要改善的含錫薄膜前驅物及形成含錫薄膜的方法。
本案揭露內容的一或多個實施例涉及多種方法,該等方法包括:將處理腔室中的基材暴露於沉積循環以形成含錫膜,該沉積循環包括暴露至錫前驅物及反應物,該錫前驅物包含化合物,該化合物具有錫-二氮雜二烯鍵。
本案揭露內容的另外的實施例涉及形成含錫膜的方法。將基材暴露於沉積循環,該沉積循環包括至少一次暴露於錫前驅物和至少一次暴露於反應物。該錫前驅物包含錫-二氮雜二烯鍵。該反應物包括下述之一或多者:氧化劑、氮化劑、碳化劑或矽化劑。整體地或部分地重複該沉積循環,直到在基材上已形成預定厚度的含錫膜為止。
本案揭露內容的進一步的實施例涉及在基材上形成含錫膜的方法。將處理腔室中的基材暴露於至少一個沉積循環以形成三元材料。該至少一個沉積循環包括暴露於錫前驅物及一或多種反應物,以形成三元材料,該錫前驅物包含化合物,該化合物具有錫-二氮雜二烯鍵,該三元材料包括下述一或多者:氧、氮、碳、硼、矽、鈦、釕或鎢原子。
在描述本案揭露內容的多種示範性實施例之前,應理解本案揭露內容不限於下文敘述中所提出的構造或製程步驟的細節。本案揭露內容能有其他實施例並且能夠以各種方式實行或執行。
如在此說明書和所附之申請專利範圍中所使用,術語「基材」是指上面有製程作用的表面(或表面的一部分)。發明所屬技術領域中具有通常知識者也會理解,除非上下文另有清楚指明,否則對基材的參考對象可僅指基材的一部分。此外,對於「在基材上沉積」的參考對象能意指裸基材,以及上面沉積或形成的一或多個膜或特徵的基材。
如本文所用的「基材」是指在上面執行處理的任何基材或是基材上形成的材料表面。舉例而言,上面能夠執行處理的基材表面包括(但不限於)諸如下述之材料:矽、氧化矽、應變矽、絕緣體上覆矽(SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及諸如下述之任何其他材料:金屬、金屬氮化物、金屬合金和其他導電材料,上述材料是視應用而定。基材包括(但不限於)半導體晶圓。可將基材暴露於預處理製程,以研磨、蝕刻、還原、氧化、羥化(或另以其他方式產生或接枝目標化學部分(chemical moiety)以賦予化學官能性)、退火及/或烘烤基材表面。除了直接在基材本身的表面上進行處理之外,在本案揭露內容中,所揭露的任何膜處理步驟也可在基材上形成的層上執行,如下文更詳細地揭露,且希望術語「基材表面」包括如上下文所指的此類層。因此,舉例而言,在已經將膜/層或部分膜/層沉積到基材表面上的情況中,新沉積的膜/層的暴露表面可描述為基材表面。給定的基材表面包含了什麼會是取決於要沉積什麼材料以及所使用的特定化學物質。
如本文所用的「原子層沉積」或「循環沉積」是指這樣的製程:包括依序暴露兩種或更多種反應性化合物,以在基材表面上沉積材料層。如在此說明書和所附申請專利範圍中所用,術語「反應性化合物」、「反應性氣體」、「反應性物種」、「前驅物」、「處理氣體」或類似術語可互換地使用,以意味這樣的物質:具有一物種,該物種能夠在表面反應(例如,化學吸附、氧化、還原、環加成)中與基材表面反應或基材表面上的材料反應。將基材或基材的一部分依序暴露於兩種或更多種反應性化合物,該反應性化合物被引入處理腔室的反應區中。這些反應性化合物可以在時間上或空間上分開。
在時域(time-domain)ALD製程中,以一時間延遲將對各反應性化合物的暴露分開,以使各化合物得以在基材表面上附著及/或反應,然後從處理腔室淨化(purge)。藉由在後續的暴露之間淨化處理腔室而防止反應性氣體混合。
在空間ALD製程中,反應性氣體流入處理腔室內的不同處理區域。不同的處理區域與相鄰的處理區域分開,使得反應性氣體不會混合。基材能夠在處理區域之間移動,以分別使基材暴露於該等處理氣體。在基材移動期間,基材表面的不同部分或基材表面上的材料暴露於兩種或更多種反應性化合物,使得基材上的任何給定點實質上不會同時暴露於超過一種的反應性化合物。如發明所屬技術領域中具有通常知識者將理解的,有一種可能性是,由於處理腔室內的氣體擴散,基材的一小部分可能會同時暴露於多種反應性氣體,且該同時暴露是非刻意的,除非另有規定。
在時域ALD製程的一個態樣中,將第一反應性氣體(即,第一前驅物或化合物A)脈衝進入反應區,然後是第一時間延遲。將第二前驅物或化合物B脈衝進入反應區,然後是第二時間延遲。在每一時間延遲期間,可以將諸如氬的淨化氣體引入處理腔室中,以淨化反應區或若不然則從反應區移除任何殘留的反應性化合物或反應產物或副產物。在一些實施例中,淨化氣體可以在整個沉積製程中連續流動,使得在反應性化合物脈衝之間於時間延遲期間僅有淨化氣體流動。交替地脈衝反應性化合物,直到在基材表面上形成預定的膜或膜厚度為止。在任一情況下(分立的淨化氣體脈衝或是連續的淨化氣體流動),脈衝化合物A、淨化氣體、化合物B和淨化氣體的ALD製程可稱為一循環。循環能夠從化合物A或是化合物B開始,且可繼續循環的各別順序,直到獲得具有預定厚度的膜為止。
在空間ALD製程的一個態樣中,第一反應氣體和第二反應氣體同時輸送到反應區,但是透過淨化氣簾及/或真空簾分開。該氣簾能為進入處理腔室的惰氣流及離開處理腔室的真空串流的組合。基材相對於氣體輸送設備移動,使得基材上的任何給定點暴露於第一反應性氣體和第二反應性氣體。
如本文所用的「脈衝」或「投劑」是指間歇地或非連續地引入處理腔室中的一定量的源氣體。每個脈衝內的特定化合物的量可隨時間變化,此取決於脈衝的持續時間。特定的處理氣體可包括單一化合物或兩種或更多種化合物的混合物/組合。
每個脈衝/劑量的持續時間是可變的,且可經調整以適應例如處理腔室的容量以及與該處理腔室耦接的真空系統的能力。另外,處理氣體的投劑時間可根據下述因素而不同:處理氣體的流速、處理氣體的溫度、控制閥的類型、所用處理腔室的類型,以及處理氣體之成分吸附到基材表面上的能力。投劑時間也可以根據所形成的層的類型和所形成的元件的幾何形狀而有所不同。投劑時間應當足夠長以提供充分數量的化合物,足以吸附/化學吸附到基材整個表面上且在該基材表面上形成處理氣體成分層。
本案揭露內容的一或多個實施例有利地提供用於沉積含錫膜的新前驅物類別。一些實施例有利地提供使用新類別的前驅物沉積含錫膜的方法。在一些實施例中,沉積是透過原子層沉積(ALD)製程。在一些實施例中,沉積是透過化學氣相沉積(CVD)製程,其中含錫前驅物和反應物同時暴露於基材,使得含錫前驅物和反應物得以在氣相反應。
本發明的一些實施例有利地提供用於實施EUV(極紫外)微影術圖案化方案的簡化積集方案。 EUV微影術的一項當前缺點是,由於能用於執行圖案化的光子數量有限,所以晶圓的產量很低。一些實施例有利地提供沉積含錫材料的方法,該方法最佳化EUV光子的吸收,從而允許當前的生產EUV工具變得更有效,導致生產中有更高的晶圓產量。
在一些實施例中,使用含二氮雜二烯基的錫前驅物,以生產下述錫金屬(Sn)、氧化錫(SnO)、氮化錫(SnN)、碳化錫(SnC)、矽化錫(SnSi)中的一或多者,或是包含錫且具有氧(O)、氮(N)、碳(C)、硼(B)或矽(Si)原子之一或多者的膜。發明所屬技術領域中具有通常知識者將認識到,除非特別說明,否則錫膜的化學式並不暗指任何特定的原子化學計量比。例如,氧化錫膜可以稱為「SnO」或「SnOx 」,並且膜的組成包括錫和氧原子。本案揭露內容的一些實施例有利地提供形成三元材料的方法,該三元材料包含錫和下述之兩者或更多者:氧、氮、碳、硼、矽、鈦、鎢及/或釕原子。三元材料的一些範例包括但不限於SnON、SnOC、SnBN、RuSnB、SnSiO、SnBO、SnTiO、SnTiN、SnWN和SnTiW。
本案揭露內容的一些實施例有利地提供形成具有低雜質的高純度含錫膜的方法。相信所揭露的含錫前驅物的高反應性、高揮發性及/或高熱穩定性是由於錫-二氮雜二烯(Sn-DAD)鍵的化學本質所致。此類膜可用於例如EUV微影應用,其中存在低EUV光子通量且尋求最大光子效用。相信含Sn膜可以與主EUV光子(來自主EUV源)建設性交互作用,而產生可助於微影製程的二次效應。相信此種高反應性的氧化還原活性的二氮雜二烯基系統產生高純度膜及游離的二氮雜二烯,該游離的二氮雜二烯會釋放到淨化氣流中,防止非期望地併入新產生的含錫薄膜中。
在一個或多個實施例中,含錫前驅物具有至少一種二氮雜二烯配位基。如方案(I)或(II)所描繪,當與錫原子結合時,二氮雜二烯配位基能夠採用幾種共振形式。
Figure 02_image001
當在金屬錯合物中鍵結在一起時,此等共振形式中的每一種在錫金屬中心上賦予不同的電荷。左邊含有兩個雙鍵(二烯)的形式是中性非離子的配位基(DAD0)。方案(I)中心的共振形式含有自由基(radical)共振結構,且為單陰離子配位基(DAD1)。在方案(1)右側含有單個雙鍵的共振形式是雙陰離子配位基(DAD2)。技術人員會認識到,方案(I)和方案(II)之間的差異是指定個別R基團的方式。在方案(I)中,DAD配位基上的R基團編號為R1-R4,指示每個R基團是獨立選擇的。在方案(II)中,R基團被指定為R和R’基團。然而,技術人員將認識到,方案(II)的R及/或R’基團中的各者可以獨立地選擇,使得方案(I)中所說明的化合物與方案(II)中所說明的化合物相當。例如,方案(I)中的R1和R4相當於方案(II)中的R,並且也可以稱為氮-R基團。方案(I)中的基團R2和R3相當於方案(II)中的R’基團,並且也可稱為碳-R基團或碳-主鏈R基團。
對於每一共振形式,(方案(I)的)R基團R1-R4或(方案(II)的)R和R’獨立地選自H、C1-6烷基、芳基、醯基、烷基醯胺基、肼基、矽烷基、醛基、酮基、C2-4烯基及/或炔基之基團,而一個氮共價鍵結錫原子。如以此種方式使用,字母「C」後跟著數字(例如「C4」)表示取代基包括指定數目的碳原子(例如,C4包含四個碳原子)。在一些實施例中,氮-R基團及/或碳-R基團中的至少一者並非H。在一些實施例中,氮-R基團及/或碳-R基團中的至少一者包含一個或多個C2-C6烷基、C3-C6烷基、C4-C6烷基或C5-C6烷基。
在一些實施例中,DAD0、DAD1及/或DAD2配位基中的至少一者是對稱的。如以此方式所用,當氮-R基團相同且碳主鏈-R基團相同時,配位基是對稱的。氮-R基團能夠與碳主鏈-R基團不同。在一些實施例中,DAD0、DAD1及/或DAD2配位基中的至少一種是不對稱的。不對稱配位基可賦予錫錯合物旋轉熵,此可改變物種的蒸氣壓及/或反應性。
適合的同配位基式(homoleptic)錫-二氮雜二烯錯合物包括化學式為Sn(DAD)2 的化合物,其中DAD是DAD0、DAD1或DAD2之一或多種。同配位基式物種能夠具有DAD共振形式的混合物。例如,
Figure 02_image003
Figure 02_image005
在一些實施例中,Sn-二氮雜二烯錯合物是異配位基式(heteroleptic)。適合的異配位基式錫前驅物包括但不限於:
Figure 02_image007
其中每個X獨立地為陰離子配位基。適合的陰離子配位基包括(但不限於)I、Cl、Br、F、NR2 (其中R是C1-C6烷基或烯基)、環戊二烯(Cp)、取代的環戊二烯、取代的脒基和烯丙基。取代的環戊二烯配位基可以由任何適合數目的烷基取代基及/或胺基取代基所取代。環戊二烯配位基的烷基取代基可包括C1-C4烷基基團。環戊二烯配位基的胺基取代基可包括化學式為-NR2 的基團,其中每個R獨立地為H或C1-C4烷基。烷基能夠為直鏈基團(例如正丁基)或支鏈基團(例如第三丁基)。在一些實施例中,環戊二烯配位基是未取代的(即C5 H5 )。
在一些實施例中,形成金屬錫(Sn0 )膜。在一些實施例中,所形成的膜基本上由錫金屬組成。以此種方式使用時,術語「基本上由錫組成」是指,該膜大於或等於約95%、98%、99%或99.5%。當量測膜的組成時,量測主體膜性質,排除來自相鄰位置的原子可能擴散到膜中的界面區域。為了形成金屬錫膜,反應物能夠是任何適合的還原劑,例如,醇、氨、分子氫、肼、取代的肼、取代的環己二烯、取代的二氫吡嗪(dihydropyrazine)、含鋁分子和上述材料之電漿形式。
在一些實施例中,形成的膜包含氧化錫(SnO)。在一些實施例中,該膜基本上由氧化錫組成。以此種方式使用時,術語「基本上由……組成」是指膜的組成為,在原子基礎上的總和上,有大於或等於約95%、98%、99%或99.5%的所述元素(在此種情況下為錫和氧)。為了形成氧化物膜,反應物能夠是任何適合的反應物,包括(但不限於)水(H2 O)、分子氧(O2 )、過氧化物、有機醇、臭氧(O3 )、一氧化二氮、上述物質之組合及上述物質之電漿。
在一些實施例中,該膜包含氮化錫(SnN)。在一些實施例中,該膜基本上由氮化錫組成。為了形成氮化物膜,反應物可以是任何適合的反應物,包括(但不限於)氨(NH3 )、肼(N2 H4 )、取代的肼、上述物質之組合和上述物質之電漿。
在一些實施例中,該膜包含碳化錫(SnC)。在一些實施例中,該膜基本上由碳化錫組成。為了形成碳化物膜,適合的反應物包括(但不限於)烷烴、烯烴、炔烴、上述物質之取代形式、上述物質之組合及上述物質之電漿。
在一些實施例中,該膜包含矽化錫(SnSi)。在一些實施例中,該膜基本上由矽化錫組成。為了形成矽化物膜,適合的反應物包括(但不限於)矽烷、取代的矽烷、矽氧烷、矽烷基鹵化物、矽烷基醯胺、上述物質之組合及上述物質之電漿。矽烷基鹵化物的實例包括(但不限於)二氯矽烷(DCS)、六氯乙矽烷(HCDS)、三氯矽烷(TCS)和SiCl4 。矽烷基醯胺的實例包括(但不限於)雙(二乙胺基)矽烷(BDEAS)、雙(第三丁胺基)矽烷(BTBAS)、四(二甲胺基)矽烷(TDMAS)。在一些實施例中,矽前驅物包含化學式為Sin Xa R2n+2-a 、Si(NR’2a R4-a 或矽氧烷的物種,其中n為1至4,a為0至2n+2,每一X是獨立選擇的鹵化物,且每一R和R’獨立地選自H、C1-4烷基或芳基。適合的矽氧烷包括(但不限於)六氯二矽氧烷(HCDSO)和八氯三矽氧烷(OCTSO)。在一些實施例中,矽前驅物基本上由矽烷(SiH4 )組成。
在一些實施例中,該膜包含硼化錫(SnB)。在一些實施例中,該膜基本上由硼化錫組成。為了形成硼化物膜,適合的硼前驅物包括(但不限於)硼烷、烷基硼烷和鹵代硼烷。在一些實施例中,硼前驅物包含一種或多種化學式為Bc Hd Xe Rf 的物種,其中每一X是獨立地選自F、Cl、Br、和I的鹵素,每個R是獨立選擇的C1-C4烷基基團,c是任何大於或等於2的整數,而d、e和f每一者小於或等於c+2,且d+e+f等於c+2。
在一些實施例中,該膜包含化學式為Sna Bb Cc Nd Oe Sif 的化合物,其中a在約1至約100的範圍內,並且b、c、d、e和f的各者在約0至約100的範圍內。
在一些實施例中,該膜包含錫與另一種金屬的合金。能夠形成的適合的合金膜包括(但不限於)鈦酸錫、鎢酸錫、錫-釕膜。在一些實施例中,該膜包含錫和「鈦、鎢或釕」之一或多者。在一些實施例中,該合金膜基本上由錫和一金屬組成,該金屬包含鈦、鎢或釕之一或多者。
本案揭露內容的一些實施例涉及使用錫-二氮雜二烯前驅物形成三元材料的方法。在一些實施例中,三元材料包含錫和下述其中兩者或更多者:氧、氮、碳、硼、矽、鈦、釕及/或鎢。在一些實施例中,形成的膜包含硼化釕錫或基本上由硼化釕錫組成。在一些實施例中,三元材料包含氧化矽錫或基本上由氧化矽錫組成。在一些實施例中,三元材料層用作進一步表面反應的催化劑。
能夠使用一或多種製程完成三元材料的形成。例如,錫能夠藉由ALD沉積,而另一種金屬(或元素)能夠藉由CVD或PVD沉積。第三成分,氧、氮、碳、硼、矽、鈦、釕及/或鎢能夠以錫或其他金屬的沉積一併納入,或是在分開的製程中納入。在一些實施例中,藉由形成含錫層和其他材料層的積層體而形成三元材料。例如,硼化釕錫膜可形成為散佈有硼化釕層的錫金屬層的積層體。鈦前驅物、鎢前驅物及/或釕前驅物能夠是發明所屬技術領域中具有通常知識者已知的任何適合的前驅物,或者是對於PVD而言包含所選成分的適合的靶材料。
基材暴露於處理氣體的時段可以是容許形成膜或部分膜的任何適合的時間量。如以此方式所用,「處理氣體」是與基材表面反應或與基材表面上的化學吸附分子反應的任何氣體或氣態物種。例如,處理氣體可流入處理腔室達約0.1秒至約90秒的時段。在一些時域ALD製程中,處理氣體暴露至基材表面達範圍約0.1秒至約90秒的時間,或範圍約0.5秒至約60秒,或範圍約1秒至約30秒,或範圍約2秒至約25秒,或範圍約3秒至約20秒,或範圍約4秒至約15秒,或範圍約5秒至約10秒。
能夠控制沉積期間的基材溫度,例如,透過設定基材支撐件或基座的溫度而達成。在一些實施例中,基材保持在約25ºC至約500ºC的溫度範圍內,或在約50ºC至約450ºC的溫度範圍內,或在約100ºC至約400ºC的溫度範圍內,或在約150°C至約350°C的範圍,或約300°C至約300°C的範圍。
在錫前驅物流入處理腔室之前能夠先加熱該錫前驅物。例如,錫前驅物可以安置在前驅物安瓿中,該前驅物安瓿保持在安瓿溫度,以增加前驅物的蒸氣壓。惰氣或載氣能夠流過安瓿,以將前驅物汲引到處理腔室。在一些實施例中,錫前驅物保持在約0ºC至約250ºC的溫度範圍內,或在約50ºC至約200ºC的範圍內。
除上述外,可在將基材暴露於處理氣體的同時調節額外的製程參數。例如,在一些實施例中,處理腔室可以保持在約1毫托至約760托的範圍內的壓力下,或者在約1托至約500托的範圍內,或在約10托至約100托的範圍內。
在將基材暴露於一種處理氣體之後,可以使用惰氣淨化處理腔室(特別是在時域ALD中)。(此在空間ALD製程中可不需要,因為有分隔反應性氣體的氣簾。)該惰氣可以是任何惰氣,舉例而言,諸如氬、氦、氖或類似物。在一些實施例中,惰氣可與在基材暴露於第一處理氣體期間提供至處理腔室的惰氣相同,或者,作為替代方案,可以與上述惰氣不同。在惰氣相同的實施例中,執行淨化可藉由下述方式達成:將第一處理氣體從處理腔室轉向,允許惰氣流過處理腔室,淨化處理腔室除去任何過量的第一處理氣體成分或反應副產物。在一些實施例中,可利用上文所述的與第一處理氣體一併使用的相同的流速提供惰氣,或者在一些實施例中,可以增加或減少該流速。例如,在一些實施例中,可利用以大於0至約10000sccm的流速將惰氣提供至處理腔室,以淨化處理腔室。在空間ALD中,在反應性氣體流之間保持淨化氣簾,並且可不需要淨化處理腔室。在空間ALD製程的一些實施例中,可以用惰氣淨化處理腔室或者淨化處理腔室的區域。
惰氣流可有助於從處理腔室中移除任何過量的處理氣體及/或過量的反應副產物,以防止非期望的氣相反應。例如,惰氣流可以從處理腔室中移除過量的處理氣體,防止錫前驅物與後續處理氣體之間的反應。
之後,將基材暴露於第二處理氣體達第二段時間。第二處理氣體可與基材表面上的物種反應。可以利用大於第一處理氣體的流速將第二處理氣體供應到基材表面。在一個或多個實施例中,流速大於第一處理氣體之流速的約1倍,或大於第一處理氣體之流速的約100倍,或在第一處理氣體之流速的約3000至5000倍之範圍內。可以在時域ALD中供應第二處理氣體達下述時間:在約0.1秒至約90秒的範圍內,或在約1秒至約60秒的範圍內,或在約10秒至約30秒的範圍內。能以下述壓力供應第二處理氣體:在約1毫托至約760托的壓力範圍內,或在約1托至約500托的範圍內,或在約10托至約250托的範圍內。
可以使用惰氣再次淨化處理腔室。惰氣可以是任何惰氣,舉例而言,諸如氬、氦、氖或類似物。在一些實施例中,惰氣可與在先前製程步驟期間提供至處理腔室的惰氣相同,或者,作為替代方案,可以與上述惰氣不同。在惰氣相同的實施例中,執行淨化可藉由下述方式達成:將第二處理氣體從處理腔室轉向,允許惰氣流過處理腔室,淨化處理腔室除去任何過量的第二處理氣體成分或反應副產物。在一些實施例中,可利用上文所述的與第二處理氣體一併使用的相同的流速提供惰氣,或者在一些實施例中,可以增加或減少該流速。例如,在一些實施例中,可利用以大於0至約10000sccm的流速將惰氣提供至處理腔室,以淨化處理腔室。
雖然上述處理方法的實施例僅包括兩個反應氣體脈衝,但是應該理解,此僅僅是示範性,並且可以使用額外的處理氣體脈衝。能以全體或部分重複脈衝。能夠重複該循環以形成預定厚度的膜。
在此說明書全文中對「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」的參考對象是意味,結合該實施例描述的特定特徵、結構、材料或特性包括在本案揭露內容的至少一個實施例中。因此,在此說明書全文中各處出現的諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」的詞彙不必然是指本案揭露內容的相同實施例。再者,該特定特徵、結構、材料或特性可以在一或多個實施例中以任何適合的方式組合。
儘管已經參考特定實施例描述了本文的揭露內容,但應該理解,此等實施例僅僅是說明本案揭露內容的原理和應用。對於發明所屬技術領域中具有通常知識者顯而易見的是,在不背離本案揭露內容的精神和範圍的情況下,可以對本案揭露內容的方法和設備進行各種修改和變化。因此,本案揭露內容旨在包括在所附申請專利範圍及其等效例之範疇內的修改和變化。
無。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種方法,包括在一處理腔室中將一基材暴露至一沉積循環以形成一含錫膜,該沉積循環包括暴露於一錫前驅物及一反應物,該錫前驅物包括具一錫-二氮雜二烯(tin-diazadiene)鍵的一化合物。
  2. 如請求項1所述之方法,其中該錫前驅物與該反應物分別暴露至該基材。
  3. 如請求項2所述之方法,其中該錫前驅物、該氧生成劑、及該矽前驅物在時間上分開。
  4. 如請求項2所述之方法,其中該錫前驅物、該氧生成劑、及該矽前驅物在空間上分開。
  5. 如請求項1所述之方法,其中該錫前驅物包括一同配位基式(homoleptic)錫-二氮雜二烯錯合物,該錯合物包括化學式為Sn(DAD)2 之多種化合物,其中DAD是二氮雜二烯。
  6. 如請求項5所述之方法,其中該錫前驅物包括有下述化學式的一化合物:
    Figure 03_image009
    其中每一R及R’獨立地選自H、C1-6烷基、芳基、醯基、烷基醯胺基、肼基、矽烷基、醛基、酮基、C2-4烯基及/或炔基之基團,而一個氮共價鍵結錫原子。
  7. 如請求項5所述之方法,其中該錫前驅物包括有下述化學式的一化合物:
    Figure 03_image011
    其中每一R及R’獨立地選自H、C1-6烷基、芳基、醯基、烷基醯胺基、肼基、矽烷基、醛基、酮基、C2-4烯基及/或炔基之基團,而一個氮共價鍵結錫原子。
  8. 如請求項5所述之方法,其中該錫前驅物包括有下述化學式的一化合物:
    Figure 03_image013
    其中每一R及R’獨立地選自H、C1-6烷基、芳基、醯基、烷基醯胺基、肼基、矽烷基、醛基、酮基、C2-4烯基及/或炔基之基團,而一個氮共價鍵結錫原子。
  9. 如請求項5所述之方法,其中該錫前驅物包括有下述化學式的一化合物:
    Figure 03_image015
    其中每一R及R’獨立地選自H、C1-6烷基、芳基、醯基、烷基醯胺基、肼基、矽烷基、醛基、酮基、C2-4烯基及/或炔基之基團,而一個氮共價鍵結錫原子。
  10. 如請求項5所述之方法,其中該錫前驅物包括有下述化學式的一化合物:
    Figure 03_image017
    其中每一R及R’獨立地選自H、C1-6烷基、芳基、醯基、烷基醯胺基、肼基、矽烷基、醛基、酮基、C2-4烯基及/或炔基之基團,而一個氮共價鍵結錫原子。
  11. 如請求項1所述之方法,其中該錫前驅物包括一異配位基式錫-二氮雜二烯錯合物。
  12. 如請求項11所述之方法,其中該錫前驅物包括有下述化學式的一化合物:
    Figure 03_image019
    其中每一X獨立地為一陰離子配位基。
  13. 如請求項12所述之方法,其中每一X獨立地選自I、Cl、Br、F、NR2 (其中R是C1-C6烷基或烯基)、環戊二烯(Cp)、取代的環戊二烯、取代的脒基和烯丙基。
  14. 如請求項11所述之方法,其中該錫前驅物包括有下述化學式的一化合物:
    Figure 03_image021
    其中每一X獨立地為一陰離子配位基。
  15. 如請求項14所述之方法,其中每一X獨立地選自I、Cl、Br、F、NR2 (其中R是C1-C6烷基或烯基)、環戊二烯(Cp)、取代的環戊二烯、取代的脒基、和烯丙基。
  16. 如請求項1所述之方法,其中該膜包括下述之一或多者:錫金屬、氧化物、氮化物、碳化物、硼化物、或矽化物。
  17. 一種形成含錫膜的方法,該方法包括: 將一基材暴露於一沉積循環,該沉積循環包括至少一次暴露於一錫前驅物和至少一次暴露於一反應物,該錫前驅物包含一錫-二氮雜二烯鍵,該反應物包括下述之一或多者:一氧化劑、一氮化劑、一碳化劑或一矽化劑; 整體地或部分地重複該沉積循環,直到在該基材上已形成預定厚度的含錫膜為止。
  18. 如請求項17所述之方法,其中該錫前驅物包括具下述化學式之一化合物:
    Figure 03_image023
    其中每一R及R’獨立地選自H、C1-6烷基、芳基、醯基、烷基醯胺基、肼基、矽烷基、醛基、酮基、C2-4烯基及/或炔基之基團,而一個氮共價鍵結錫原子。
  19. 如請求項17所述之方法,其中該錫前驅物包括具下述化學式之一化合物:
    Figure 03_image025
    Figure 03_image027
    , 每一X獨立地為一陰離子配位基,該陰離子配位基選自I、Cl、Br、F、NR2 (其中R是C1-C6烷基或烯基)、環戊二烯(Cp)、取代的環戊二烯、取代的脒基和烯丙基。
  20. 一種在基材上形成含錫膜的方法,該方法包括: 將一處理腔室中的該基材暴露於至少一個沉積循環以形成一三元材料,該至少一個沉積循環包括暴露於一錫前驅物及一或多種反應物以形成一三元材料,該錫前驅物包含具錫-二氮雜二烯鍵的一化合物,該三元材料包括下述一或多者:氧、氮、碳、硼、矽、鈦、釕或鎢原子。
TW108121963A 2018-06-30 2019-06-24 含錫之前驅物及沉積含錫薄膜之方法 TW202010746A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862692754P 2018-06-30 2018-06-30
US62/692,754 2018-06-30

Publications (1)

Publication Number Publication Date
TW202010746A true TW202010746A (zh) 2020-03-16

Family

ID=68987594

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108121963A TW202010746A (zh) 2018-06-30 2019-06-24 含錫之前驅物及沉積含錫薄膜之方法

Country Status (5)

Country Link
US (1) US11286564B2 (zh)
KR (1) KR102555781B1 (zh)
CN (1) CN112654925A (zh)
TW (1) TW202010746A (zh)
WO (1) WO2020006382A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI796661B (zh) * 2020-03-30 2023-03-21 台灣積體電路製造股份有限公司 半導體裝置之製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002520488A (ja) * 1998-07-10 2002-07-09 ジル、メリエンヌ 化学蒸着プロセスに好適なフッ素ドープ酸化スズ層の製造用液体コーティング組成物
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
WO2011123675A1 (en) * 2010-04-01 2011-10-06 President And Fellows Of Harvard College Cyclic metal amides and vapor deposition using them
JP5779823B2 (ja) * 2010-11-17 2015-09-16 ユーピー ケミカル カンパニー リミテッド ジアザジエン系金属化合物、これの製造方法及びこれを利用した薄膜形成方法
WO2012176988A1 (en) 2011-06-24 2012-12-27 Up Chemical Co., Ltd. Organometallic compound, preparing method of the same, and preparing method of thin film using the same
KR20140085461A (ko) * 2011-09-27 2014-07-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 니켈 비스 디아자부타디엔 전구체, 그들의 합성, 및 니켈 함유 필름 침착을 위한 그들의 용도
CN105492656B (zh) * 2013-06-28 2018-03-23 韦恩州立大学 作为用于在衬底上形成层的还原剂的二(三甲基甲硅烷基)六元环系统和相关化合物
US9249505B2 (en) * 2013-06-28 2016-02-02 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
US9067958B2 (en) * 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
JP6465699B2 (ja) * 2015-03-06 2019-02-06 株式会社Adeka ジアザジエニル化合物、薄膜形成用原料、薄膜の製造方法及びジアザジエン化合物
US20170022609A1 (en) * 2015-07-20 2017-01-26 Applied Materials, Inc. Heteroleptic Diazadiene-Containing Tungsten Precursors for Thin Film Deposition
JP2018035072A (ja) * 2016-08-29 2018-03-08 株式会社Adeka ジアザジエニル化合物、薄膜形成用原料及び薄膜の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI796661B (zh) * 2020-03-30 2023-03-21 台灣積體電路製造股份有限公司 半導體裝置之製造方法

Also Published As

Publication number Publication date
US11286564B2 (en) 2022-03-29
CN112654925A (zh) 2021-04-13
WO2020006382A1 (en) 2020-01-02
KR102555781B1 (ko) 2023-07-13
KR20210013775A (ko) 2021-02-05
US20200002814A1 (en) 2020-01-02

Similar Documents

Publication Publication Date Title
JP6437962B2 (ja) 13族金属又は半金属の窒化物膜の堆積方法
JP6781165B2 (ja) ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法
CN107257867B (zh) 组合物和使用所述组合物沉积含硅膜的方法
TWI361226B (en) Pretreatment processes within a batch ald reactor
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
JP2021503547A (ja) 金属表面上の金属酸化物のaldのための方法
TWI758363B (zh) 用於ald及cvd薄膜沉積之釕前驅物及其用法
JP2006522225A (ja) 窒化ハフニウム堆積の方法
KR20080050510A (ko) 배치 ald 반응기에 대한 처리 공정
JP6193260B2 (ja) ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
JP6302081B2 (ja) ゲルマニウムまたは酸化ゲルマニウムの原子層堆積
WO2017218460A1 (en) Lanthanum precursors for deposition of lanthanum, lanthanum oxide and lanthanum nitride films
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法
KR102555781B1 (ko) 주석-함유 전구체들 및 주석-함유 막들을 증착시키는 방법들
WO2011042882A2 (en) HIGH DEPOSITION RATE OF SiO2 USING ATOMIC LAYER DEPOSITION AT EXTRA LOW TEMPERATURE
US11289328B2 (en) Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing
TW202117052A (zh) Peald氮化物膜
TWI837142B (zh) 形成含鉻膜的方法與以含氧化鉻膜或含鉻膜填充縫隙的方法
US11370669B2 (en) Amorphous silicon doped yttrium oxide films and methods of formation
TW201520369A (zh) 使用鹵化矽前驅物進行包含矽、碳及氮之膜的原子層沉積
KR20160062675A (ko) 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법
WO2018129295A1 (en) Water assisted highly pure ruthenium thin film deposition