CN113113292A - 制造半导体器件的方法 - Google Patents

制造半导体器件的方法 Download PDF

Info

Publication number
CN113113292A
CN113113292A CN202110336906.0A CN202110336906A CN113113292A CN 113113292 A CN113113292 A CN 113113292A CN 202110336906 A CN202110336906 A CN 202110336906A CN 113113292 A CN113113292 A CN 113113292A
Authority
CN
China
Prior art keywords
photoresist layer
layer
photoresist
substrate
tin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110336906.0A
Other languages
English (en)
Inventor
刘之诚
郭怡辰
魏嘉林
翁明晖
陈彥儒
李志鸿
郑雅如
杨棋铭
李资良
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/150,389 external-priority patent/US20210302839A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113113292A publication Critical patent/CN113113292A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本申请涉及制造半导体器件的方法,包括在基板上方形成光致抗蚀剂层。形成光致抗蚀剂层包括将第一前体和第二前体以蒸气态结合以形成光致抗蚀剂材料,其中第一前体是具有式MaRbXc的有机金属,其中M是Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu中的至少一种;R为取代或未取代的烷基、烯基或羧酸酯基团;X是卤素或磺酸酯基团;并且1≤a≤2,b≥1,c≥1,并且b+c≤5。第二前体是胺、硼烷或膦中的至少一种。形成光致抗蚀剂层包括在基板上沉积光致抗蚀剂。将光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案,并通过将显影剂施加到选择性暴露的光致抗蚀剂层上以形成图案来使潜在图案显影。

Description

制造半导体器件的方法
技术领域
本公开涉及的制造半导体器件的方法。
背景技术
随着消费者设备响应于消费者需求而变得越来越小,这些设备的各个部件也必须减小大小。构成例如移动电话、计算机平板电脑等设备的主要部件的半导体器件已被迫变得越来越小,对应地也迫使半导体器件内的各个器件(例如,晶体管、电阻器、电容器等)也要减小大小。
在半导体器件的制造过程中使用的一种使能技术是使用光刻材料。将此类材料施加至待图案化的层的表面,然后暴露于本身已被图案化的能量。此类暴露改变了光敏材料的暴露区域的化学和物理特性。可以利用这种改性以及在未暴露的光敏材料区域中缺乏改性,来去除一个区域而不去除另一个区域。
然而,随着各个器件的大小减小,用于光刻处理的工艺窗口变得越来越收紧。如此,光刻处理领域中的进步对于维持按比例缩小器件的能力是必需的,并且为了满足期望的设计标准,以便可以保持朝向越来越小的部件前进,还需要进一步的改进。
发明内容
根据本公开的一个实施方式,提供了一种制造半导体器件的方法,包括:
在基板上方形成光致抗蚀剂层,包括:
将第一前体和第二前体以蒸气态结合以形成光致抗蚀剂材料,
其中第一前体是具有式MaRbXc的有机金属:
其中M是Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu中的至少一种,
R为取代或未取代的烷基、烯基或羧酸酯基团,
X是卤素或磺酸酯基团,并且
1≤a≤2,b≥1,c≥1,并且b+c≤5,并且
第二前体是胺、硼烷或膦中的至少一种;并且
在基板上方沉积所述光致抗蚀剂;
将所述光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案;并且
通过将显影剂施加到选择性暴露的光致抗蚀剂层上以形成图案,来使潜在图案显影。
根据本公开的另一实施方式,提供了一种制造半导体器件的方法,包括:
通过原子层沉积(ALD)或化学气相沉积(CVD)在基板表面上沉积光致抗蚀剂组合物,以形成光致抗蚀剂层,所述光致抗蚀剂组合物包括第一化合物和第二化合物,
其中所述沉积光致抗蚀剂组合物包括:
将第一化合物和第二化合物以蒸气态结合以形成光致抗蚀剂组合物,
其中第一化合物是以下中的至少一种:仲己基三(二甲基氨基)锡、叔己基三(二甲基氨基)锡、异己基三(二甲基氨基)锡、正己基三(二甲基氨基)锡、仲戊基三(二甲基氨基)锡、叔戊基三(二甲基氨基)锡、异戊基三(二甲基氨基)锡、正戊基三(二甲基氨基)锡、仲丁基三(二甲基氨基)锡、叔丁基三(二甲基氨基)锡、异丁基三(二甲基氨基)锡、正丁基三(二甲基氨基)锡、异丙基三(二甲基氨基)锡、正丙基三(二乙基氨基)锡和类似的烷基三(叔丁氧基)锡化合物,包括仲己基三(叔丁氧基)锡、叔己基三(叔丁氧基)锡、异己基三(叔丁氧基)锡、正己基三(叔丁氧基)锡、仲戊基三(叔丁氧基)锡、叔戊基三(叔丁氧基)锡、异戊基三(叔丁氧基)锡、正戊基三(叔丁氧基)锡、叔丁基三(叔丁氧基)锡、异丁基三(丁氧基)锡、正丁基三(丁氧基)锡、仲丁基三(丁氧基)锡、异丙基三(二甲基氨基)锡或正丙基三(丁氧基)锡,并且
第二化合物是胺、硼烷或膦中的至少一种,并且
将光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案;
通过将显影剂施加到选择性暴露的光致抗蚀剂层上来使潜在图案显影,以形成暴露出基板表面的一部分的图案;并且
去除因显影而暴露的基板的部分。
根据本公开的另一种实施方式,提供了一种制造半导体器件的方法,包括:
将第一化合物和第二化合物同时引入到腔室中以形成第一化合物和第二化合物的组合物;
通过化学气相沉积(CVD)在基板上沉积所述第一化合物和所述第二化合物的所述组合物,以形成所述组合物的层,
其中所述第一化合物是有机金属化合物,所述第二化合物选自胺、硼烷、膦及其组合。
将所述组合物的层逐图案暴露于光化辐射以形成潜在图案;并且
使逐图案暴露的组合物的层显影以形成图案化的所述组合物的层。
附图说明
当结合附图阅读时,从以下详细描述将最好地理解本公开。要强调的是,根据行业中的标准实践,各种特征未按比例绘制并且仅用于说明目的。实际上,为了讨论清楚起见,各种特征的尺寸可以任意增大或减小。
图1示出了根据本公开的实施方式的制造半导体器件的工艺流程。
图2示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图3A和图3B示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图4A和图4B示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图5示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图6示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图7示出了根据本公开的实施方式的有机金属前体。
图8示出了根据本公开的一些实施方式的光致抗蚀剂沉积设备。
图9示出了根据本公开的一个实施方式的光致抗蚀剂层由于暴露于光化辐射和加热而经历的反应。
图10示出了根据本公开的一个实施方式的工艺阶段。
图11A和11B示出了根据本公开的一个实施方式的工艺阶段。
图12A和12B示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图13示出了根据本公开的一个实施方式的顺序操作。
图14示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图15示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图16A示出了根据本公开的一个实施方式的顺序操作的工艺阶段,并且图16B示出了光致抗蚀剂层在工艺阶段期间经历的反应。
图17示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图18示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图19A示出了根据本公开的一个实施方式的顺序操作的工艺阶段。图19B示出了图19A的详细图。图19C示出了有机金属前体经历的反应。
具体实施方式
应当理解的是,以下公开提供了用于实现本公开的不同特征的许多不同的实施方式或示例。下文描述了部件和布置的具体实施方式或示例以简化本公开。当然,这些仅是示例,并不旨在进行限制。例如,元件的尺寸不限于所公开的范围或值,而是可以取决于工艺条件和/或器件的期望特性。此外,在下面的描述中在第二特征上方或之上形成第一特征可包括其中第一特征和第二特征形成为直接接触的实施方式,并且还可包括其中可以形成插置在第一特征与第二特征之间的附加特征,使得第一特征和第二特征可不直接接触的实施方式。为了简单和清楚起见,可以以不同比例任意绘制各种特征。
此外,为了描述方便起见,本文中可以使用空间相对术语,例如“在......下方”、“在......以下”、“在......下部”、“在......上方”、“在......上部”等来描述如图所示的一个元件或特征与另外一个或多个元件或特征的关系。除了图中所描绘的取向外,空间相对术语还旨在涵盖在使用或操作中器件的不同取向。可以将器件以其他方式取向(旋转90度或处于其他取向),并且可以同样地相应解释本文中所使用的空间相对描述词。另外,术语“由......制成”可以表示“包含”或“由...组成”。
随着半导体行业已经为了追求更高的器件密度、更高的性能和更低的成本而进展到纳米技术工艺节点,在减小半导体特征大小方面存在挑战。已经开发了极紫外光刻(EUVL)以形成更小的半导体器件特征大小并增加半导体晶片上的器件密度。为了改善EUVL,需要增大晶片暴露生产量。晶片暴露生产量可以通过增加暴露功率或增加抗蚀剂光速度(灵敏度)来改善。
含金属的光致抗蚀剂用于极紫外(EUV)光刻中,因为金属具有高的极紫外辐射吸收能力,从而提高抗蚀剂的光速。然而,含金属的光致抗蚀剂层在处理期间可能除气,这可能导致光致抗蚀剂层的质量随时间变化并且可能引起污染,从而对光刻性能产生负面影响,并增加缺陷。
此外,光致抗蚀剂的不均匀暴露(特别是在光致抗蚀剂层的较深部分处)可能导致光致抗蚀剂的交联度不均匀。到达光致抗蚀剂层下部的光能量较少,导致暴露不均匀。不均匀的暴露可能会导致较差的线宽粗糙度(LWR),从而防止形成直边抗蚀剂轮廓。
此外,因为在溶剂基的光致抗蚀剂形成和显影中使用的溶剂可能是有毒的。需要不使用有毒溶剂的光致抗蚀剂层形成和随后的图案形成的更绿色的工艺。
而且,旋涂工艺可能仅使用分配到基板上的材料的2%到5%,而其余的95%到98%在旋涂操作中被甩掉。期望具有高材料使用效率的光致抗蚀剂沉积操作。
此外,旋涂的光致抗蚀剂膜的密度可能不均匀。光致抗蚀剂膜的聚集可能在某些部分中发生。
另外,光致抗蚀剂层的形成和图案化操作实质上减少或防止了处理腔室和金属的金属污染。
在本公开的实施方式中,通过经由气相沉积操作在基板上沉积光致抗蚀剂,来解决上述问题,所述气相沉积操作包括光致抗蚀剂材料的原子层沉积(ALD)或化学气相沉积(CVD)。通过根据本公开的实施方式的气相沉积操作沉积的光致抗蚀剂层提供了在大的沉积面积上方具有可控制的膜厚度以及高的膜均匀性和密度的光致抗蚀剂层。另外,本公开的实施方式包括无溶剂的光致抗蚀剂层形成,从而提供了更绿色的工艺。此外,光致抗蚀剂沉积操作是单锅法(在单个腔室中进行),因此提高了制造效率,并限制或防止了处理腔室的金属污染。
图1示出了根据本公开的实施方式的制造半导体器件的工艺流程100。在一些实施方式中,在操作S110中,将抗蚀剂涂覆在待图案化的层或基板10的表面上,以形成抗蚀剂层15,如图2所示。在一些实施方式中,抗蚀剂是通过CVD或ALD形成的含金属光致抗蚀剂。然后,在一些实施方式中,抗蚀剂层15在沉积之后经历第一加热操作S120。在一些实施方式中,将抗蚀剂层加热至约40℃至约120℃的温度达约10秒至约十分钟。
在可选的第一加热操作S120或抗蚀剂沉积操作S110之后,光致抗蚀剂层15选择性地暴露于光化辐射45/97(参见图3A和图3B)。在一些实施方式中,将光致抗蚀剂层15选择性地或逐图案暴露于紫外辐射。在一些实施方式中,紫外辐射是深紫外辐射(DUV)。在一些实施方式中,紫外辐射是极紫外(EUV)辐射。在一些实施方式中,光致抗蚀剂层选择性地或逐图案暴露于电子束。
如图3A所示,在一些实施方式中,暴露辐射45在照射光致抗蚀剂层15之前穿过光掩模30。在一些实施方式中,光掩模具有要在光致抗蚀剂层15中复制的图案。在一些实施方式中,该图案由光掩模基板40上的不透明图案35形成。不透明图案35可以由对紫外辐射不透明的材料(例如铬)形成,而光掩模基板40由对紫外辐射透明的材料(例如熔融石英)形成。
在一些实施方式中,使用极紫外光刻法来执行光致抗蚀剂层15的选择性暴露或逐图案暴露,以形成暴露区域50和未暴露区域52。在一些实施方式中,在极紫外光刻法操作中,反射光掩模65用于形成图案化的暴露光,如图3B所示。反射光掩模65包括低热膨胀玻璃基板70,在所述低热膨胀玻璃基板上形成有Si和Mo的反射多层75。在反射多层75上形成封盖层80和吸收剂层85。在低热膨胀基板70的背面上形成后导电层90。极紫外辐射95以约6°的入射角朝向反射光掩模65。极紫外辐射的一部分97被Si/Mo多层75朝着涂覆有光致抗蚀剂的基板10反射,而入射到吸收剂层85上的极紫外辐射的一部分被光掩模吸收。在一些实施方式中,在反射光掩模65与涂覆有光致抗蚀剂的基板之间具有包括镜子在内的附加光学器件。
在一些实施方式中,暴露于辐射是通过将涂覆有光致抗蚀剂的基板放置在光刻工具中来进行的。光刻工具包括光掩模30/65、光学器件、提供辐射45/97以用于暴露的暴露辐射源,以及用于在暴露辐射下支撑和移动基板的可移动平台。
在一些实施方式中,在光刻工具中使用光学器件(未示出)以在由光掩模30/65图案化辐射45/97之前或之后扩展、反射或以其他方式控制辐射。在一些实施方式中,光学器件包括一个或多个透镜、镜子、滤光器以及它们的组合,以沿着所述光学器件的路径控制辐射45/97。
在一些实施方式中,辐射是电磁辐射,例如g线(波长为约436nm)、i线(波长为约365nm)、紫外辐射、远紫外辐射、极紫外、电子束等。在一些实施方式中,辐射源选自由以下项组成的组:汞蒸气灯、氙气灯、碳弧灯、KrF准分子激光(波长为248nm)、ArF准分子激光(波长为193nm)、F2准分子激光(波长为157nm)或CO2激光激发的Sn等离子体(极紫外线,波长为13.5nm)。
电磁辐射的量可以通过通量(fluence)或剂量来表征,该通量或剂量由在整个暴露时间内的积分辐射通量获得。在一些实施方式中,合适的辐射通量为约1mJ/cm2至约150mJ/cm2,在其他实施方式中为约2mJ/cm2至约100mJ/cm2,在其他实施方式中为约3mJ/cm2至约50mJ/cm2。本领域普通技术人员将认识到,在上述明确范围内的辐射通量的其他范围是可预期的并且在本公开内。
在一些实施方式中,通过扫描电子束执行选择性或逐图案暴露。利用电子束光刻,电子束诱发二次电子,从而改变被辐照的材料。使用电子束光刻和本文公开的含金属的抗蚀剂可以实现高分辨率。电子束可以由束的能量来表征,并且在一些实施方式中,合适的能量在约5V至约200kV(千伏)的范围内,并且在其他实施方式中,在约7.5V至约100kV的范围内。在一些实施方式中,在30kV处的经近场校正的束剂量在约0.1μC/cm2至约5μC/cm2的范围内,在其他实施方式中在约0.5μC/cm2至约1μC/cm2的范围内,并且在其他实施方式中,在约1μC/cm2至约100μC/cm2的范围内。本领域普通技术人员可以基于本文的教导来计算其他束能量下的相应剂量,并且将认识到,在上述明确范围内的电子束性能的其他范围是可以预期的并且在本公开内。
光致抗蚀剂层的暴露于辐射50的区域经历化学反应,从而改变其在随后的显影操作S150中被去除的敏感性。。在一些实施方式中,暴露于辐射50的光致抗蚀剂层的部分经历反应,使暴露部分在显影操作S150期间更易于被去除。在其他实施方式中,暴露于辐射50的光致抗蚀剂层的部分经历反应,从而使暴露部分在显影操作S150期间难以去除。
接下来,光致抗蚀剂层15在操作S140中经受第二加热或暴露后烘烤(PEB)。在一些实施方式中,将光致抗蚀剂层15加热至约50℃至约250℃的温度达约20秒至约120秒。在一些实施方式中,暴露后烘烤在约100℃至约230℃范围的温度下进行,并且在其他实施方式中在约150℃至约200℃范围的温度下进行。在一些实施方式中,暴露后烘烤操作S140导致第一化合物或第一前体与第二化合物或第二前体的反应产物交联。
随后在操作S150中使经选择性暴露的光致抗蚀剂层显影。在一些实施方式中,通过将溶剂基显影剂57施加到经选择性暴露的光致抗蚀剂层15上来使所述光致抗蚀剂层15显影。如图4A所示,液体显影剂57从分配器62被供应到光致抗蚀剂层15。在一些实施方式中,由于暴露于光化辐射或暴露后烘烤,光致抗蚀剂的暴露部分50经历交联反应,并且通过显影剂57去除光致抗蚀剂层52的未暴露部分,从而在光致抗蚀剂层15中形成开口55的图案以暴露基板20,如图5所示。
在一些实施方式中,光致抗蚀剂显影剂57包含溶剂和酸或碱。在一些实施方式中,基于光致抗蚀剂显影剂的总重量,溶剂的浓度为约60重量%至约99重量%。基于光致抗蚀剂显影剂的总重量,酸或碱的浓度为约0.001重量%至约20重量%。在某些实施方式中,基于光致抗蚀剂显影剂的总重量,显影剂中的酸或碱浓度为约0.01重量%至约15重量%。
在一些实施方式中,使用旋涂工艺将显影剂57施加至光致抗蚀剂层15上。在旋涂工艺中,从光致抗蚀剂层15上方将显影剂57施加至光致抗蚀剂层15,同时旋转涂覆有光致抗蚀剂的基板,如图4A所示。在一些实施方式中,以介于约5ml/min与约800ml/min之间的速率供应显影剂57,同时以介于约100rpm与约2000rpm之间的速度旋转涂覆有光致抗蚀剂的基板10。在一些实施方式中,显影剂处于约10℃至约80℃之间的温度。在一些实施方式中,显影操作持续约30秒至约10分钟。
在一些实施方式中,显影剂57为有机溶剂。该有机溶剂可以是任何合适的溶剂。在一些实施方式中,溶剂选自以下项的一种或多种:丙二醇甲醚乙酸酯(PGMEA)、丙二醇单甲醚(PGME)、1-乙氧基-2-丙醇(PGEE)、γ-丁内酯(GBL)、环己酮(CHN)、乳酸乙酯(EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、甲基乙基甲酮、二甲基甲酰胺(DMF)、异丙醇(IPA)、四氢呋喃(THF)、甲基异丁基甲醇(MIBC)、乙酸正丁酯(nBA)、2-庚酮(MAK)、四氢呋喃(THF),以及二恶烷。
尽管旋涂操作是一种用于在暴露之后使光致抗蚀剂层15显影的合适方法,但是其旨在为说明性的而非意图限制实施方式。相反,可以可替代地使用任何合适的显影操作,包括浸渍工艺、浸置式工艺(puddle process)和喷涂方法。所有此类显影操作都包括在实施方式的范围内。
在一些实施方式中,如图4B所示,将干显影剂105施加到经选择性地暴露的光致抗蚀剂层15上。在一些实施方式中,干显影剂105是等离子体或化学蒸气,并且干显影操作S150是等离子体蚀刻或化学蚀刻操作。干显影使用与组成、交联程度和膜密度有关的差异来选择性地去除所期望部分的抗蚀剂。在一些实施方式中,干显影工艺在加热的真空室中使用温和的等离子体(高压,低功率)或热工艺,同时使干显影化学物质如BCl3、BF3或其他路易斯酸以蒸气态流动。在一些实施方式中,BCl3去除未暴露的材料,留下暴露的膜的图案,该图案通过基于等离子体的蚀刻工艺被转移到下面的层中。
在一些实施方式中,干显影包括等离子体工艺,包括变压器耦合等离子体(TCP)、电感耦合等离子体(ICP)或电容耦合等离子体(CCP)。在一些实施方式中,等离子体工艺在约5mTorr至约20mTorr的压力、约250W至约1000W的功率水平、约0℃至约300℃的温度下进行,并且以约100至约1000sccm的流速,持续约1至约3000秒。
在显影操作之后,在图案化的光致抗蚀剂层50就位(in place)的同时执行附加处理。例如,在一些实施方式中,执行使用干法蚀刻或湿法蚀刻的蚀刻操作,以将光致抗蚀剂层50的图案转移到下面的基板10上,从而形成凹部55′,如图6所示。基板10具有与光致抗蚀剂层15不同的蚀刻电阻。在一些实施方式中,蚀刻剂比光致抗蚀剂层15对基板10更具选择性。
在一些实施方式中,在蚀刻操作期间至少部分地去除暴露的光致抗蚀剂层15。在其他实施方式中,在通过选择性蚀刻来蚀刻基板10之后,通过使用合适的光致抗蚀剂剥离溶剂或通过光致抗蚀剂等离子体灰化操作来去除暴露的光致抗蚀剂层15。
在一些实施方式中,基板10在至少其表面部分上包括单晶半导体层。基板10可包含单晶半导体材料,例如但不限于Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb和InP。在一些实施方式中,基板10是SOI(绝缘体上硅)基板的硅层。在某些实施方式中,基板10由结晶Si制成。
基板10可在其表面区域中包括一个或多个缓冲层(未示出)。缓冲层可用于将晶格常数从基板的晶格常数逐渐改变为随后形成的源极/漏极区的晶格常数。缓冲层可以由外延生长的单晶半导体材料形成,所述单晶半导体材料为例如但不限于Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP和InP。在一个实施方式中,硅锗(SiGe)缓冲层在硅基板10上外延生长。SiGe缓冲层的锗浓度可以从最底部缓冲层的30原子%增加到最顶部缓冲层的70原子%。
在一些实施方式中,基板10包括一层或多层至少一种金属、金属合金和具有式MXa的金属氮化物/硫化物/氧化物/硅化物,其中M是金属并且X是N、S、Se、O、Si,并且a为约0.4至约2.5。在一些实施方式中,基板10包含钛、铝、钴、钌、氮化钛、氮化钨、氮化钽,以及它们的组合。
在一些实施方式中,基板10包括介电材料,该介电材料至少具有式MXb的硅或金属氧化物或氮化物,其中M是金属或Si,X是N或O,并且b的范围为约0.4至约2.5。在一些实施方式中,基板10包含二氧化硅、氮化硅、氧化铝、氧化铪、氧化镧,以及它们的组合。
光致抗蚀剂层15是通过暴露于光化辐射而图案化的光敏层。通常,被入射辐射撞击的光致抗蚀剂区域的化学特性以取决于所使用的光致抗蚀剂的类型的方式改变。光致抗蚀剂层15是正性抗蚀剂或负性抗蚀剂。正性抗蚀剂是指这样的光致抗蚀剂材料,当显影时,暴露于光化辐射(例如紫外线)的光致抗蚀剂层的部分被去除,而在显影操作之后未暴露(或暴露较少)的光致抗蚀剂的区域则保留在基板上。另一方面,负性抗蚀剂是指这样的光致抗蚀剂材料,当显影时暴露于光化辐射(例如紫外光)的光致抗蚀剂层的部分在显影操作之后保留在基板上,而未暴露(或较少暴露)的光致抗蚀剂的区域则在显影操作之后被去除。
在一些实施方式中,光致抗蚀剂层15由光致抗蚀剂组合物制成,包括以蒸气态结合的第一化合物或第一前体与第二化合物或第二前体。第一前体或第一化合物是具有式MaRbXc的有机金属,如图7所示,其中M选自Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce、Lu及其组合;并且R是取代或未取代的烷基、烯基或羧酸酯基。在一些实施方式中,M选自Sn、Bi、In和Te。在一些实施方式中,R为C3-C6烷基、烯基或羧酸酯。在一些实施方式中,R选自丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、异戊基、仲戊基、叔戊基、己基、异己基、仲己基、叔己基及其组合。在一些实施方式中,X是与第二化合物或第二前体反应的配体、离子或其他片段;1≤a≤2,b≥1,c≥1,并且b+c≤5。在一些实施方式中,烷基、烯基或羧酸酯基被一个或多个氟基取代。在一些实施方式中,有机金属前体是二聚体,如图7所示,其中每个单体单元通过胺基连接。每种单体具有如上所限定的式MaRbXc
在一些实施方式中,R是烷基,诸如CnH2n+1,其中n≥3。在一些实施方式中,R是氟化的,例如具有式CnFxH((2n+1)-x)。在一些实施方式中,R具有至少一个β-氢或β-氟。在一些实施方式中,R选自异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基、仲戊基组合。
在一些实施方式中,X是易于被第二化合物或第二前体取代以产生M-OH片段的任何片段,例如选自由如下组成的组中的片段:胺(包括二烷基氨基和单烷基氨基);烷氧基;羧酸酯、卤素和磺酸酯。在一些实施方式中,磺酸酯基被一个或多个胺基取代。在一些实施方是中,卤化物是选自由F、Cl、Br和I组成的组中的一种或多种。在一些实施方式中,磺酸酯基团包含取代或未取代的C1-C3基团。
在一些实施方式中,有机金属前体或有机金属化合物包括仲己基三(二甲基氨基)锡、叔己基三(二甲基氨基)锡、异己基三(二甲基氨基)锡、正己基三(二甲基氨基)锡、仲戊基三(二甲基氨基)锡、叔戊基三(二甲基氨基)锡、异戊基三(二甲基氨基)锡、正戊基三(二甲基氨基)锡、仲丁基三(二甲基氨基)锡、叔丁基三(二甲基氨基)锡、异丁基三(二甲基氨基)锡、正丁基三(二甲基氨基)锡、异丙基三(二甲基氨基)锡、正丙基三(二乙基氨基)锡、以及类似的烷基三(叔丁氧基)锡化合物,包括仲己基三(叔丁氧基)锡、叔己基三(叔丁氧基)锡、异己基三(叔丁氧基)锡、正己基三(叔丁氧基)锡、仲戊基三(叔丁氧基)锡、叔戊基三(叔丁氧基)锡、异戊基三(叔丁氧基)锡、正戊基三(叔丁氧基)锡、叔丁基三(叔丁氧基)锡、异丁基三(丁氧基)锡、正丁基三(丁氧基)锡、仲丁基三(丁氧基)锡、异丙基三(二甲基氨基)锡或正丙基三(丁氧基)锡。在一些实施方式中,有机金属前体或有机金属化合物是氟化的。在一些实施方式中,有机金属前体或化合物的沸点小于约200℃。
在一些实施方式中,第一化合物或第一前体在基板或中间下层的表面上包含一个或多个可与官能团(例如羟基)配位的不饱和键,以改善光致抗蚀剂层对基板或下层的粘附性。
在一些实施方式中,第二前体或第二化合物是选自胺、硼烷和膦的一种或多种。在一些实施方式中,胺具有式NpHnXm,其中0≤n≤3,0≤m≤3,当p为1时n+m=3,并且当p为2时n+m=4,并且每个X独立地为选自F、Cl、Br和I的卤素。在一些实施方式中,硼烷具有式BpHnXm,其中0≤n≤3,0≤m≤3,当p为1时n+m=3,并且当p为2时,n+m=4,并且每个X独立地为选自F、Cl、Br和I的卤素。在一些实施方式中,膦具有式PpHnXm,其中0≤n≤3,0≤m≤3,当p为1时,n+m=3,或者当p为2时,n+m=4,并且每个X独立地为选自F、Cl、Br和I的卤素。
在一些实施方式中,第二前体或化合物是氨或肼。氨或肼与有机金属前体或化合物的反应产物可形成氢键,该氢键增加反应产物的沸点并防止金属光致抗蚀剂材料的散发,从而防止金属污染。氢键还可帮助防止湿气对光致抗蚀剂层质量的影响。
在一些实施方式中,通过气相沉积操作来执行沉积光致抗蚀剂组合物的操作S110。在一些实施方式中,气相沉积操作包括原子层沉积(ALD)或化学气相沉积(CVD)。在一些实施方式中,ALD包括等离子体增强的原子层沉积(PE-ALD),并且CVD包括等离子体增强的化学气相沉积(PE-CVD)、金属有机化学气相沉积(MO-CVD);大气压化学气相沉积(AP-CVD)和低压化学气相沉积(LP-CVD)。
沉积光致抗蚀剂层包括将第一化合物或第一前体与第二化合物或第二前体以蒸气态结合以形成光致抗蚀剂组合物。在一些实施方式中,将光致抗蚀剂组合物的第一化合物或第一前体和第二化合物或第二前体大约同时引入沉积室(CVD室)中。在一些实施方式中,第一化合物或第一前体和第二化合物或第二前体以交替的方式引入沉积室(ALD室),即,第一化合物或前体,然后第二化合物或前体,并且随后交替地重复引入第一种化合物或前体,然后再引入第二化合物或前体。
在一些实施方式中,在沉积操作期间,沉积室的温度在约30℃至约400℃的范围内,而在其他实施方式中,在约50℃至约250℃之间。在一些实施方式中,在沉积操作期间,沉积室中的压力在约5mTorr至约100Torr的范围内,而在其他实施方式中,在约100mTorr至约10Torr的范围内。在一些实施方式中,等离子体功率小于约1000W。在一些实施方式中,等离子体功率在约100W至约900W的范围内。在一些实施方式中,第一化合物或前体以及第二化合物或前体的流速在约100sccm至约1000sccm的范围内。在一些实施方式中,有机金属化合物前体与第二化合物或前体的流量之比为约1:1至约1:5。在一些实施方式中,在超出上述范围的操作参数下,导致不令人满意的光致抗蚀剂层。在一些实施方式中,光致抗蚀剂层的形成发生在单个腔室中(单锅层形成)。
在根据本公开的一些实施方式的CVD工艺中,在分开的入口路径中,将有机金属前体和第二前体的两种或更多种气体料流引入到CVD设备的沉积室中,在沉积室中它们在气相中混合并反应形成反应产物。在一些实施方式中,使用分开的注入入口或双增压喷头,引入各料流。沉积设备被配置为使得有机金属前体和第二前体的料流在室中混合,从而使有机金属前体和第二前体反应以形成反应产物。在不限制本公开的机理、功能或效用的情况下,据信来自气相反应的产物的分子量变得更大,然后被冷凝或以其他方式沉积在基板上。
在一些实施方式中,ALD工艺用于沉积光致抗蚀剂层。在ALD期间,通过将基板的表面暴露于交替的气态化合物(或前体)而使层在基板上生长。与CVD相反,前体是作为一系列顺序的、不重叠的脉冲引入的。在这些脉冲的每一个中,前体分子以自限方式与表面反应,因此一旦表面上的所有反应位均被消耗,反应便终止。因此,在单次暴露于所有前体后(所谓的ALD循环),沉积在表面上的最大材料量取决于前体与表面的相互作用性质。
在ALD工艺的一个实施方式中,在前半部分反应中,将有机金属前体脉冲化以将含金属的前体递送至基板10表面。在一些实施方式中,有机金属前体与合适的下面的物种(例如,基板表面上的OH或NH官能团)反应以形成新的自饱和表面。在一些实施方式中,通过向下抽真空和/或通过使惰性吹扫气体流动来去除过量的未使用的反应物和反应副产物。然后,在一些实施方式中,诸如氨气(NH3)的第二前体被脉冲化到沉积室。NH3与基板上的有机金属前体反应,从而在基板表面上获得反应产物光致抗蚀剂。第二前体还与下面的反应性物种形成自饱和键,以提供另一个自限的且饱和的后半部分反应。在一些实施方式中,进行第二次吹扫以除去未使用的反应物和反应副产物。第一前体和第二前体的脉冲与中间的吹扫操作交替进行,直到获得所需的光致抗蚀剂层15厚度。
在一些实施反式中,光致抗蚀剂层15形成为约5nm至约50nm的厚度,并且在其他实施方式中形成为约10nm至约30nm的厚度。本领域普通技术人员将认识到,在上述明确范围内的厚度的其他范围是可以预期的并且在本公开内。可以基于光致抗蚀剂层的光学特性使用X射线反射率和/或椭圆偏振法的非接触方法来评估厚度。光致抗蚀剂层的厚度相对均匀以利于处理。在一些实施方式中,涂层的厚度变化与平均涂层厚度相差不超过±25%,在其他实施方式中,光致抗蚀剂层厚度与平均光致抗蚀剂层厚度相差不超过±10%。在一些实施方式中,例如在较大基板上的高均匀性涂层,可以用1厘米的边缘排除来评估光致抗蚀剂层的均匀性,即,不评估边缘的1厘米内的涂层部分的层均匀性。本领域普通技术人员将认识到,在上述明确范围内的其他范围是预期的并且在本公开内。
在一些实施方式中,第一化合物或前体和第二化合物或前体与载气一起被输送到沉积室中。载气、吹扫气、沉积气或其他处理气体可包含氮气、氢气、氩气、氖气、氦气或其组合。
根据本公开的一些实施方式的抗蚀剂层沉积设备200在图8中示出。在一些实施方式中,沉积设备200是ALD或CVD设备。沉积设备200包括真空室205。真空室205中的基板支撑台210支撑诸如硅晶片的基板10。在一些实施方式中,基板支撑台210包括加热器。在一些实施方式中,第一前体或化合物气体供应器220和载气/吹扫气体供应器225通过气体管线235连接到腔室中的入口230,并且第二前体或化合物气体供应器240和载气/吹扫气体供应器225经由另一气体管线235'连接到腔室230'中的另一入口230'。将腔室排空,并且通过真空泵245经由出口250和排气管线255去除过量的反应物和反应副产物。在一些实施方式中,前体气体和载气/吹扫气体的流速或脉冲、过量反应物和反应副产物的排出、真空室205内的压力以及真空室205或晶片支撑台210的温度由配置为控制这些参数中的每一个的控制器260所控制。
在一些实施方式中,有机金属化合物包含锡(Sn)、锑(Sb)、铋(Bi)、铟(In)和/或碲(Te)作为金属组分,但是,本公开不限于此这些金属。在其他实施方式中,其他合适的金属包括钛(Ti)、锆(Zr)、铪(Hf)、钒(V)、钴(Co)、钼(Mo)、钨(W)、铝(Al)、镓(Ga)、硅(Si)、锗(Ge)、磷(P)、砷(As)、钇(Y)、镧(La)、铈(Ce)、镥(Lu)或其组合。这些其他金属可以作为Sn、Sb、Bi、In和/或Te的替代或补充。
所使用的特定金属可显著影响辐射的吸收。因此,可以基于期望的辐射和吸收截面来选择金属成分。锡、锑、铋、碲和铟可强烈吸收13.5nm处的极紫外光。铪提供了良好的电子束吸收和极紫外辐射吸收。包含钛、钒、钼或钨的金属组合物在更长的波长处具有强吸收,以例如提供对248nm波长的紫外光的敏感性。
在一些实施方式中,在沉积操作期间改变ALD或CVD沉积参数以形成具有密度梯度的光致抗蚀剂层。在一些实施方式中,密度梯度光致抗蚀剂层减少了诸如浮渣和桥接的缺陷,并改善了线宽粗糙度(LWR)和线边缘粗糙度(LER)。
图9示出了根据本公开一个实施方式的光致抗蚀剂组合物组分由于暴露于光化辐射和加热而经历的反应。图9示出了根据本公开实施方式的在光致抗蚀剂图案化方法的各个阶段中的光致抗蚀剂层(PR)的示例性化学结构。如图9所示,光致抗蚀剂组合物包括有机金属化合物(例如SnX2R2),和第二化合物,例如氨(NH3)。当有机金属化合物和氨结合时,有机金属化合物与气相中的一些氨反应形成具有连接到有机金属化合物的金属(Sn)上的胺基的反应产物。所沉积的光致抗蚀剂层中的胺基具有氢键,该氢键可实质上增加所沉积的光致抗蚀剂层的沸点并防止含金属的光致抗蚀剂材料脱气,从而防止含金属的光致抗蚀剂中的金属对沉积室和半导体器件处理设备的污染。在含金属的光致抗蚀剂中。此外,胺基的氢键可以控制水分对光致抗蚀剂层质量的影响。
当随后使用掩模暴露于极紫外辐射时,有机金属化合物吸收极紫外辐射,并且一个或多个有机R基团从有机金属化合物上裂解,从而在辐射暴露区域中形成氨基金属化合物。然后,在一些实施方式中,当进行暴露后烘烤(PEB)时,氨基金属化合物通过胺基交联,如图9所示。在一些实施方式中,由于暴露于极紫外辐射,导致氨基金属化合物发生部分交联。随后对选择性暴露的光致抗蚀剂进行显影,并且在显影期间除去未暴露的辐射区域的同时,将交联的已暴露辐射的图案保留在基板上。
在一些实施方式中,在形成多层光致抗蚀剂层之前,将要图案化的层(目标层)60布置在基板上,如图10所示。在一些实施方式中,要图案化的层60是金属化层或设置在金属化层上方的介电层,例如钝化层。在其中要被图案化的层60是金属化层的实施方式中,要被图案化的层60由使用金属化工艺和金属沉积技术(包括化学气相沉积、原子层沉积和物理气相沉积(溅射))的导电材料形成。同样地,如果要图案化的层60是介电层,则通过介电层形成技术(包括热氧化、化学气相沉积、原子层沉积和物理气相沉积)来形成要图案化的层60。
随后将光致抗蚀剂层15选择性地暴露于光化辐射45以在光致抗蚀剂层中形成暴露区域50和未暴露区域52,如图11A和11B所述,并且在此与图3A和3B相关联地描述。如本文中所解释,在一些实施方式中,光致抗蚀剂是负性光致抗蚀剂。
如图12A所示,通过从分配器62分配显影剂57来使未暴露的光致抗蚀剂区域52显影,或者通过干显影操作,如图12B所示,以形成光致抗蚀剂图案55来使未暴露的光致抗蚀剂区域52显影,,如图13所示。显影操作类似于本文中参照图4A、4B和5所说明的操作。
然后,如图14所示,使用蚀刻操作将光致抗蚀剂层15中的图案55转印到要图案化的层60,并且去除光致抗蚀剂层,如参照图6所解释的,从而在要图案化的层60中形成图案55”。
在一些实施方式中,如图15所示,在选择性地或逐图案暴露光致抗蚀剂层以减少或抑制光致抗蚀剂层的除气之前,将光致抗蚀剂层15暴露于红外线、可见光或近紫外辐射110。暴露于红外线、可见光或近紫外的剂量要低于随后的逐图案曝光。在一些实施方式中,暴露于红外线、可见光或近紫外辐射可增加膜的密度,在一些实施方式中可减少由湿气引起的问题。在一些实施方式中,在选择性或逐图案曝光之前对光致抗蚀剂层进行热处理以增加光致抗蚀剂层的密度。在一些实施方式中,热处理包括将光致抗蚀剂层加热至约40℃至120℃的温度约10秒至约10分钟。然后,如本文参考图3A-6和图11A-14所讨论的那样处理光致抗蚀剂层和基板。
在一些实施方式中,如图16A所示,光致抗蚀剂层15包含有机金属化合物或前体,该有机金属化合物或前体包含一个或多个可与下面的基板10的官能团配位的不饱和键。如图16B所示,所沉积的光致抗蚀剂(PR)在未配位的位置包含不饱和键。在加热光致抗蚀剂层15时,基板10或中间层的表面上的官能团(例如羟基)与不饱和键反应,并将光致抗蚀剂层15化学键合到基板10或中间层,从而提高光致抗蚀剂对基板的粘附性。然后,如本文中参考图3A-6和图11A-14所讨论的那样,处理光致抗蚀剂层和基板。在一些实施方式中,基板是硅基板,例如硅晶片或硅层。
在一些实施方式中,如图17所示,在选择性地或逐图案暴露抗蚀剂层15或使抗蚀剂层15交联之前,将覆盖层130施加到抗蚀剂层上。在一些实施方式中覆盖(cap)层130是非金属层(例如氧化硅或氮化硅),或在其他实施方式中是聚合物层。在一些实施方式中,通过原子层沉积、化学气相沉积或旋涂来沉积覆盖层130。在一些实施方式中,覆盖层130与光致抗蚀剂层在同一腔室中沉积。在一些实施方式中,覆盖层130有助于防止抗蚀剂除气或金属污染。而且,覆盖层130可以帮助防止水分有害地影响光致抗蚀剂层。在一些实施方式中,覆盖层的厚度为约0.5nm至约10nm。在其他实施方式中,覆盖层的厚度在约1nm至约5nm的范围内。在一些实施方式中,低于0.5nm的厚度太薄以至于不能有效地抑制抗蚀剂除气和湿气对抗蚀剂层的影响,并且高于10nm的厚度与10nm的厚度相比没有提供任何额外的益处。然后,如本文中参考图3A-6和图11A-14所讨论的那样,处理光致抗蚀剂层和基板。在一些实施方式中,在显影操作之前、期间或之后去除覆盖层130。在一些实施方式中,在逐图案暴露之前去除覆盖层。
在一些实施方式中,在光致抗蚀剂层形成在基板上之前,用六甲基二硅氮烷(HMDS)处理基板的表面。在一些实施方式中,如图18所示,在形成抗蚀剂层15之前,在基板上方形成含无定形含碳的下层115(或简称为“下层”)。在一些实施方式中,下层115减少了水分的有害影响,在一些实施方式中,下层115改善了光致抗蚀剂层15对基板10的粘附性。在一些实施方式中,无定形碳下层115是碳基聚合物层。在一些实施方式中,下层115是通过化学气相沉积形成的含无定形碳的层。在一些实施方式中,通过多种技术来沉积下层115,例如通过等离子体诱导的不饱和单体前体(例如乙烯、丙烯、乙炔或任何其他基于挥发性烃的前体)的聚合。在一些实施方式中,下层115的厚度为约0.5nm至约10nm。在其他实施方式中,下层115的厚度在约1nm至约5nm的范围内。在一些实施方式中,下层115改善了光致抗蚀剂层15对基板10表面的粘附性。在一些实施方式中,低于0.5nm的厚度太薄以至于不能有效地减少水分的影响或改善光致抗蚀剂对基板10的粘附性,并且高于10nm的厚度与10nm的厚度相比没有提供任何额外的益处。然后,如本文中参考图3A-6和图11A-13所讨论的那样处理光致抗蚀剂层和基板。在一些实施方式中,通过蚀刻去除下层115的暴露部分。
在一些实施方式中,在选择性暴露或逐图案暴露抗蚀剂层15或使抗蚀剂层15交联以形成有机硅烷层120之前,将有机硅烷施加到抗蚀剂层,如图19A-19C所示。在一些实施方式中,有机硅烷顶层有助于防止抗蚀剂除气或金属污染。而且,顶层可以帮助防止水分有害地影响光致抗蚀剂层。图19B是图19A的详细视图,示出了在抗蚀剂层15中与有机金属前体或化合物结合的有机硅烷Y。有机硅烷Y可以是任何合适的有机硅烷。在一些实施方式中,有机硅烷是选自以下的一种或多种:三甲硅烷基胺(trisilylamine)、1,3,5-三硅杂环己烷(1,3,5-trisilacyclohexane)、1,3,5-三硅杂戊烷(1,3,5-trisilapentane)、双(二乙基氨基)硅烷、双(叔丁基氨基)硅烷、二氯硅烷、二溴硅烷、二碘硅烷和乙硅烷。在一些实施方式中,有机硅烷通过原子层沉积或化学气相沉积来沉积。在图19C中示出了有机硅烷与抗蚀剂层之间的反应的示例。有机的硅烷(有机硅烷)沉积在抗蚀剂层上方,并且有机硅烷与抗蚀剂层中的有机金属配位。在一些实施方式中,在相同腔室中,将有机硅烷沉积在光致抗蚀剂层的顶表面上。然后,如本文中参考图3A-6和图11A-14所讨论的那样,处理光致抗蚀剂层和基板。在一些实施方式中,在显影操作期间或之后去除有机硅烷层120。
其他实施方式包括在上述操作之前、期间或之后的其他操作。在一些实施方式中,所公开的方法包括形成鳍式场效应晶体管(FinFET)结构。在一些实施方式中,在半导体基板上形成多个有源鳍。这样的实施方式,进一步包括通过图案化的硬掩模的开口蚀刻基板以在基板中形成沟槽;用介电材料填充沟槽;执行化学机械抛光(CMP)工艺以形成浅沟槽隔离(STI)特征;外延生长或凹陷STI特征以形成鳍状有源区。在一些实施方式中,一个或多个栅电极形成在基板上。一些实施方式包括形成栅极间隔物、掺杂的源极/漏极区域、用于栅极/源极/漏极特征的接触部等。在其他实施方式中,目标图案形成为多层互连结构中的金属线。例如,金属线可以形成在基板的层间介电(ILD)层中,该层已经被蚀刻以形成多个沟槽。所述沟槽可以填充有导电材料,例如金属;并且可以使用诸如化学机械平坦化(CMP)的工艺来抛光导电材料,以暴露出图案化的ILD层,从而在ILD层中形成金属线。上面是使用本文描述的方法可以制造和/或改进的装置/结构的非限制性示例。
在一些实施方式中,根据本公开的实施方式形成有源部件,诸如二极管、场效应晶体管(FET)、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极晶体管、高压晶体管、高频晶体管、FinFET、其他三维(3D)FET、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极晶体管、高压晶体管、高频晶体管、其他存储单元以及其组合的。
与基于溶剂的抗蚀剂相比,根据本公开的半导体形成方法和抗蚀剂图案化方法提供了改进的抗蚀剂材料使用效率并且减少了对潜在有毒有机溶剂的使用。本公开的实施方式提供了沉积在半导体基板的表面上的均匀的光致抗蚀剂膜。在一些实施方式中,由于光致抗蚀剂不是基于溶剂的,因此可以从光致抗蚀剂图案化工艺中基本上消除有毒的有机溶剂,并且在不使用基于溶剂的显影剂的情况下进行干法显影。在一些实施方式中,防止了含金属的光致抗蚀剂中的金属对沉积室和半导体基板处理设备的污染。通过根据本公开的实施方式的方法,防止了光致抗蚀剂湿度敏感性问题。本公开的实施方式提供了改进的图案分辨率。另外,通过根据本公开的实施方式的单锅沉积方法,提供了改进的半导体器件制造效率。
本文公开的干图案化技术相对于湿图案形成可以提供各种益处。例如,与使用旋涂技术涂覆相比,本文所描述的干沉积技术可用于沉积更薄膜且更多的无缺陷膜,并且可通过增加或减少沉积步骤的长度或顺序来调节和控制沉积膜的确切厚度。因此,干法工艺可提供更多的可调节性,并给出进一步的临界尺寸(CD)控制和浮渣清除。干显影可通过防止由于湿显影中的表面张力而导致的线塌陷来改善性能,并通过避免湿显影轨迹来提高生产量。本公开的实施方式改进了线宽粗糙度以及光致抗蚀剂的暴露部分和未暴露部分之间的区别。
本公开的一个实施方式是一种制造半导体器件的方法,包括在基板上方形成光致抗蚀剂层,包括:将第一前体和第二前体以蒸气态结合以形成光致抗蚀剂材料,其中第一前体是式MaRbXc的有机金属前体,其中M是Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu中的至少一种;R为取代或未取代的烷基、烯基或羧酸酯基团;X是卤素或磺酸酯基团;且1≤a≤2,b≥1,c≥1,并且b+c≤5。第二前体是胺、硼烷或膦中的至少一种。形成光致抗蚀剂包括:在基板上方沉积光致抗蚀剂材料。光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案,并且通过将显影剂施加到选择性暴露的光致抗蚀剂层以形成图案来使潜在图案显影。在一个实施方式中,光化辐射是极紫外辐射。在一个实施方式中,该方法包括在将光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案之后,并且在显影该潜在图案之前,烘烤光致抗蚀剂层。在一个实施方式中,烷基、烯基或羧酸酯基团被一个或多个氟基团取代。在一个实施方式中,磺酸酯基团被一个或多个胺基取代。在一个实施方式中,通过原子层沉积(ALD)或化学气相沉积(CVD)将光致抗蚀剂材料沉积在基板上方。在一个实施方式中,该方法包括在将光致抗蚀剂层选择性地暴露于光化辐射之前,将光致抗蚀剂层暴露于红外线、可见光或近紫外辐射。在一个实施方式中,该方法包括在形成光致抗蚀剂层之前在基板上方形成含无定形碳的层。在一个实施方式中,该方法包括在形成光致抗蚀剂层之前在基板上方形成碳基聚合物层。在一个实施方式中,该方法包括在将光致抗蚀剂层选择性地暴露于光化辐射之前,将有机硅烷施加至光致抗蚀剂层。在一个实施方式中,胺是氨或肼。在一个实施方式中,该方法包括在选择性地曝光光致抗蚀剂层之前,在光致抗蚀剂层上方形成氧化硅或氮化硅。
本公开的另一个实施方式是一种制造半导体器件的方法,包括:通过原子层沉积(ALD)或化学气相沉积(CVD)在基板表面上沉积光致抗蚀剂组合物,以形成光致抗蚀剂层,该光致抗蚀剂组合物具有第一化合物和第二化合物。沉积光致抗蚀剂层包括通过将第一化合物和第二化合物以蒸气态结合以形成光致抗蚀剂组合物,其中第一化合物为以下中的至少一种:仲己基三(二甲基氨基)锡、叔己基三(二甲基氨基)锡、异己基三(二甲基氨基)锡、正己基三(二甲基氨基)锡、仲戊基三(二甲基氨基)锡、叔戊基三(二甲基氨基)锡、异戊基三(二甲基氨基)锡、正戊基三(二甲基氨基)锡、仲丁基三(二甲基氨基)锡、叔丁基三(二甲基氨基)锡、异丁基三(二甲基氨基)锡、正丁基三(二甲基氨基)锡、异丙基三(二甲基氨基)锡、正丙基三(二乙基氨基)锡和类似的烷基三(叔丁氧基)锡化合物,包括仲己基三(叔丁氧基)锡、叔己基三(叔丁氧基)锡、异己基三(叔丁氧基)锡、正己基三(叔丁氧基)锡、仲戊基三(叔丁氧基)锡、叔戊基三(叔丁氧基)锡、异戊基三(叔丁氧基)锡、正戊基三(叔丁氧基)锡,叔丁基三(叔丁氧基)锡、异丁基三(丁氧基)锡、正丁基三(丁氧基)锡、仲丁基三(丁氧基)锡、异丙基三(二甲基氨基)锡或正丙基三(丁氧基)锡。第二化合物为胺、硼烷或膦中的至少一种。将光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案。通过将显影剂施加到选择性暴露的光致抗蚀剂层上来使潜在图案显影,以形成暴露基板表面的一部分的图案。去除因显影而暴露的基板的部分。在一个实施方式中,去除因显影而暴露的基板的部分包括对基板进行蚀刻。在一个实施方式中,光化辐射是极紫外辐射。在一个实施方式中,该方法包括在将光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案之后以及在使潜在图案显影之前,在100℃至200℃范围内的温度下加热光致抗蚀剂层。在一个实施方式中,第一化合物被一个或多个氟基团取代。在一个实施方式中,显影剂是干显影剂。在一个实施方式中,该方法包括在将光致抗蚀剂层选择性地暴露于光化辐射之前,将光致抗蚀剂层暴露于红外线、可见光或近紫外辐射。在一个实施方式中,该方法包括在形成光致抗蚀剂层之前在基板上方形成无定形碳层。在一个实施方式中,无定形碳层是聚合物层。在一个实施方式中,该方法包括在将光致抗蚀剂层选择性地暴露于光化辐射之前,将有机硅烷施加至光致抗蚀剂层。在一个实施方式中,胺是氨或肼。在一个实施方式中,该方法包括在选择性地曝光光致抗蚀剂层之前,在光致抗蚀剂层上方形成氧化硅或氮化硅。
本公开的另一个实施方式是一种制造半导体器件的方法,该方法包括将第一化合物和第二化合物同时引入到腔室中以形成第一化合物和第二化合物的组合物。通过化学气相沉积(CVD)将第一化合物和第二化合物的组合物沉积在基板上方以形成该组合物的层。第一化合物是有机金属化合物,第二化合物选自胺、硼烷、膦、及其组合。将组合物的层逐图案暴露于光化辐射以形成潜在图案,并且将逐图案暴露的组合物的层显影以形成图案化的组合物的层。在一个实施方式中,胺、硼烷或膦包含卤素取代基。在一个实施方式中,该方法包括在将组合物的层逐图案暴露于光化辐射之前,将组合物的层暴露于红外线、可见光或近紫外辐射。在一个实施方式中,该方法包括在沉积组合物之前在基板上方形成聚合物层。在一个实施方式中,该方法包括在将组合物的层选择性地暴露于光化辐射之前,将有机硅烷施加至组合物的层。在一个实施方式中,光化辐射是极紫外辐射。在一个实施方式中,该方法包括在将组合物的层逐图案暴露于光化辐射以形成潜在图案之后,并且在使潜在图案显影之前,在100℃至200℃范围的温度下加热组合物的层。在一个实施方式中,该方法包括去除因显影面暴露基板的部分。在一个实施方式中,去除因显影而暴露的基板的部分包括对基板进行。在一个实施方式中,显影是干显影操作。在一个实施方式中,胺是氨或肼。在一个实施方式中,该方法包括在选择性地暴露组合物的层之前,在组合物的层上方形成氧化硅或氮化硅。
本公开的另一实施方式是一种图案化抗蚀剂层的方法,包括通过原子层沉积(ALD)或化学气相沉积(CVD)在基板表面上方沉积抗蚀剂层。抗蚀剂层包括不含硅的有机金属化合物与选自胺、硼烷和膦的化合物的反应产物。抗蚀剂层被逐图案交联以在抗蚀剂层中形成潜在图案。通过将显影剂施加到逐图案交联的抗蚀剂层上以形成暴露基板表面的一部分的图案,来使潜在图案显影。在一个实施方式中,该方法包括去除通过显影暴露的基板的部分。在一个实施方式案中,有机金属化合物包括选自Sn、Bi、Sb、In和Te的金属。在一个实施方式中,有机金属化合物包括取代或未取代的烷基、烯基或羧酸酯基团。在一个实施方式中,有机金属化合物包括卤素或磺酸酯基团。在一个实施方式中,磺酸酯基团被一个或多个胺基取代。在一个实施方式中,胺、硼烷或膦包含卤素取代基。在一个实施方式中,逐图案交联抗蚀剂层包括使抗蚀剂层逐渐图案暴露于极紫外辐射,并加热逐图案暴露的抗蚀剂层。在一个实施方式中,在100℃至200℃范围内的温度下加热逐图案暴露的抗蚀剂层。在一个实施方式中,该方法包括在将抗蚀剂层逐图案交联之前,将抗蚀剂层暴露于红外线、可见光或近紫外辐射。在一个实施方式中,胺是氨或肼。在一个实施方式中,该方法包括在选择性地逐图案交联光致抗蚀剂层之前,在抗蚀剂层上方形成氧化硅或氮化硅。
本公开的另一个实施方式是一种在基板表面上方形成图案化层的方法,包括在基板上方沉积气相有机金属化合物和第二气相化合物的反应产物以形成抗蚀剂层。有机金属化合物的分子式为:MaRbXc,其中M为Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu中的至少一种;R为取代或未取代的烷基、烯基或羧酸酯基团;X是卤素或磺酸酯基团;并且1≤a≤2,b≥1,c≥1,且b+c≤5;并且所述第二气相化合物选自胺、硼烷、膦及其组合。抗蚀剂层被逐图案交联以在抗蚀剂层中形成潜在图案。通过将显影剂施加到逐图案交联的抗蚀剂层上以形成暴露基板表面的部分的图案,来使潜在图案显影。在一个实施方式中,X是被一个或多个胺基取代的磺酸酯基。在一个实施方式中,胺、硼烷或膦包含卤素取代基。在一个实施方式中,逐图案交联抗蚀剂层包括使抗蚀剂层逐图案暴露于极紫外辐射,并加热逐图案暴露的抗蚀剂层。在一个实施方式中,逐图案交联的抗蚀剂层在100℃至200℃范围的温度下加热。在一个实施方式中,该方法包括去除通过显影暴露的基板的部分。在一个实施方式中,该方法包括在逐图案交联抗蚀剂层之前,在40℃至120℃范围的温度下加热抗蚀剂层。在一个实施方式中,该方法包括在形成抗蚀剂层之前在基板上方形成无定形碳层。在一个实施方式中,无定形碳层是聚合物层。在一个实施方式中,该方法包括在逐图案交联抗蚀剂层之前将有机硅烷施加到抗蚀剂层。在一个实施方式中,胺是氨或肼。在一个实施方式中,该方法包括在逐图案交联光致抗蚀剂层之前在抗蚀剂层上形成氧化硅或氮化硅。
本公开的另一个实施方式是一种图案化光致抗蚀剂层的方法,包括通过气相沉积操作在基板上方沉积光致抗蚀剂层。光致抗蚀剂层包括不含硅的有机金属化合物与选自胺、硼烷、膦及其组合的化合物的反应产物。将光致抗蚀剂层选择性地暴露于光化辐射以在光致抗蚀剂层中形成潜在图案。去除未暴露于光化辐射的光致抗蚀剂层的部分,以形成在选择性地暴露光致抗蚀剂层期间暴露于光化辐射的光致抗蚀剂层的剩余部分的图案。在一个实施方式中,该方法包括去除由去除部分光致抗蚀剂层而暴露的基板的部分。在一个实施方式中,去除基板的部分包括对基板进行干法蚀刻。在一个实施方式中,去除光致抗蚀剂层的部分包括向光致抗蚀剂层施加等离子体。在一个实施例中,气相沉积操作包括原子层沉积或化学气相沉积。在一个实施方式中,胺、硼烷或膦包含卤素取代基。在一个实施方式中,光化辐射是极紫外辐射。在一个实施方式中,该方法包括在将光致抗蚀剂层选择性地暴露于光化辐射之后,在100℃至200℃范围内的温度下加热光致抗蚀剂层。在一个实施方式中,该方法包括在将光致抗蚀剂层选择性地暴露于光化辐射之前,将光致抗蚀剂层暴露于红外线、可见光或近紫外辐射。在一个实施方式中,该方法包括在将光致抗蚀剂层选择性地暴露于光化辐射之前,在40℃至120℃的温度下加热光致抗蚀剂层。在一个实施方式中,胺是氨或肼。在一个实施方式中,该方法包括在选择性地暴露光致抗蚀剂层之前,在光致抗蚀剂层上方形成氧化硅或氮化硅。
下面描述一些示例性实施方式:
实施方式1.制造半导体器件的方法,包括:
在基板上方形成光致抗蚀剂层,包括:
将第一前体和第二前体以蒸气态结合以形成光致抗蚀剂材料,
其中第一前体是具有式MaRbXc的有机金属:
其中M是Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu中的至少一种,
R为取代或未取代的烷基、烯基或羧酸酯基团,
X是卤素或磺酸酯基团,并且
1≤a≤2,b≥1,c≥1,并且b+c≤5,并且
第二前体是胺、硼烷或膦中的至少一种;并且
在基板上方沉积所述光致抗蚀剂;
将所述光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案;并且
通过将显影剂施加到选择性暴露的光致抗蚀剂层上以形成图案,来使潜在图案显影。
实施方式2.根据实施方式1所述的方法,其中,所述光化辐射是极紫外辐射。
实施方式3.根据实施方式1所述的方法,还包括在将所述光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案之后,并且在使所述潜在图案显影之前,烘烤所述光致抗蚀剂层。
实施方式4.根据实施方式1的方法,其中所述烷基、烯基或羧酸酯基团被一个或多个氟基团取代。
实施方式5.根据实施方式1的方法,其中所述磺酸酯基团被一个或多个胺基取代。
实施方式6.根据实施方式1所述的方法,其中,所述光致抗蚀剂材料是通过原子层沉积(ALD)或化学气相沉积(CVD)来沉积在所述基板上方的。
实施方式7.根据实施方式1所述的方法,还包括在将所述光致抗蚀剂层选择性地暴露于光化辐射之前,将所述光致抗蚀剂层暴露于红外线、可见光或近紫外辐射。
实施方式8.根据实施方式1所述的方法,还包括在形成所述光致抗蚀剂层之前,在所述基板上方形成含无定形碳的层。
实施方式9.根据实施方式1所述的方法,还包括在形成所述光致抗蚀剂层之前,在所述基板上方形成碳基聚合物层。
实施方式10.根据实施方式1所述的方法,还包括在将所述光致抗蚀剂层选择性地暴露于光化辐射之前,将有机硅烷施加至所述光致抗蚀剂层。
实施方式11.一种制造半导体器件的方法,包括:
通过原子层沉积(ALD)或化学气相沉积(CVD)在基板表面上沉积光致抗蚀剂组合物,以形成光致抗蚀剂层,所述光致抗蚀剂组合物包括第一化合物和第二化合物,
其中所述沉积光致抗蚀剂组合物包括:
将第一化合物和第二化合物以蒸气态结合以形成光致抗蚀剂组合物,
其中第一化合物是以下中的至少一种:仲己基三(二甲基氨基)锡、叔己基三(二甲基氨基)锡、异己基三(二甲基氨基)锡、正己基三(二甲基氨基)锡、仲戊基三(二甲基氨基)锡、叔戊基三(二甲基氨基)锡、异戊基三(二甲基氨基)锡、正戊基三(二甲基氨基)锡、仲丁基三(二甲基氨基)锡、叔丁基三(二甲基氨基)锡、异丁基三(二甲基氨基)锡、正丁基三(二甲基氨基)锡、仲丁基三(二甲基氨基)锡、异丙基三(二甲基氨基)锡、正丙基三(二乙基氨基)锡和类似的烷基三(叔丁氧基)锡化合物,包括仲己基三(叔丁氧基)锡、叔己基三(叔丁氧基)锡、异己基三(叔丁氧基)锡、正己基三(叔丁氧基)锡、仲戊基三(叔丁氧基)锡、叔戊基三(叔丁氧基)锡、异戊基三(叔丁氧基)锡、正戊基三(叔丁氧基)锡、叔丁基三(叔丁氧基)锡、异丁基三(丁氧基)锡、正丁基三(丁氧基)锡、仲丁基三(丁氧基)锡、异丙基三(二甲基氨基)锡或正丙基三(丁氧基)锡,并且
第二化合物是胺、硼烷或膦中的至少一种,并且
将光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案;
通过将显影剂施加到选择性暴露的光致抗蚀剂层上来使潜在图案显影,以形成暴露出基板表面的一部分的图案;并且
去除因显影而暴露的基板的部分。
实施方式12.根据实施方式11所述的方法,其中,去除因显影而暴露的基板的部分包括对所述基板进行蚀刻。
实施方式13.根据实施方式11所述的方法,其中,所述光化辐射是极紫外辐射。
实施方式14.根据实施方式11所述的方法,还包括在将所述光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案之后,并且在使所述潜在图案显影之前,在100℃至200℃范围的温度下加热所述光致抗蚀剂层。
实施方式15.根据实施方式11所述的方法,其中所述第一化合物被一个或多个氟基团取代。
实施方式16.一种制造半导体器件的方法,包括:
将第一化合物和第二化合物同时引入到腔室中以形成第一化合物和第二化合物的组合物;
通过化学气相沉积(CVD)在基板上沉积所述第一化合物和所述第二化合物的所述组合物,以形成所述组合物的层,
其中所述第一化合物是有机金属化合物,所述第二化合物选自胺、硼烷、膦及其组合。
将所述组合物的层逐图案暴露于光化辐射以形成潜在图案;并且
使逐图案暴露的组合物的层显影以形成图案化的所述组合物的层。
实施方式17.根据实施方式16所述的方法,其中,所述胺、硼烷或膦包含卤素取代基。
实施方式18.根据实施方式16所述的方法,其进一步包括在将所述组合物的层逐图案暴露于光化辐射之前,将所述组合物的层暴露于红外线、可见光或近紫外辐射。
实施方式19.根据实施方式16所述的方法,还包括在沉积所述组合物之前在所述基板上方形成聚合物层。
实施方式20.根据实施方式16所述的方法,其进一步包括在将所述组合物的所述层选择性地暴露于光化辐射之前,将有机硅烷施加至所述组合物的层。
前面概述了几个实施方式或示例的特征,以便本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应该理解,他们可以容易地将本公开用作设计或修改其他过程和结构的基础,以进行与本文介绍的实施方式或示例相同的目的和/或实现相同的优点。本领域技术人员还应该认识到,此类等同构造不脱离本公开的精神和范围,并且在不脱离本公开的精神和范围的情况下,他们可以在此进行各种改变、替换和变更。

Claims (10)

1.制造半导体器件的方法,包括:
在基板上方形成光致抗蚀剂层,包括:
将第一前体和第二前体以蒸气态结合以形成光致抗蚀剂材料,
其中第一前体是具有式MaRbXc的有机金属:
其中M是Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu中的至少一种,
R为取代或未取代的烷基、烯基或羧酸酯基团,
X是卤素或磺酸酯基团,并且
1≤a≤2,b≥1,c≥1,并且b+c≤5,并且
第二前体是胺、硼烷或膦中的至少一种;并且
在基板上方沉积所述光致抗蚀剂;
将所述光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案;并且
通过将显影剂施加到选择性暴露的光致抗蚀剂层上以形成图案,来使潜在图案显影。
2.根据权利要求1所述的方法,其中,所述光化辐射是极紫外辐射。
3.根据权利要求1所述的方法,还包括在将所述光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案之后,并且在使所述潜在图案显影之前,烘烤所述光致抗蚀剂层。
4.根据权利要求1的方法,其中所述烷基、烯基或羧酸酯基团被一个或多个氟基团取代。
5.根据权利要求1的方法,其中所述磺酸酯基团被一个或多个胺基取代。
6.根据权利要求1所述的方法,其中,所述光致抗蚀剂材料是通过原子层沉积或化学气相沉积来沉积在所述基板上方的。
7.根据权利要求1所述的方法,还包括在将所述光致抗蚀剂层选择性地暴露于光化辐射之前,将所述光致抗蚀剂层暴露于红外线、可见光或近紫外辐射。
8.根据权利要求1所述的方法,还包括在形成所述光致抗蚀剂层之前,在所述基板上方形成含无定形碳的层。
9.根据权利要求1所述的方法,还包括在形成所述光致抗蚀剂层之前,在所述基板上方形成碳基聚合物层。
10.根据权利要求1所述的方法,还包括在将所述光致抗蚀剂层选择性地暴露于光化辐射之前,将有机硅烷施加至所述光致抗蚀剂层。
CN202110336906.0A 2020-03-30 2021-03-29 制造半导体器件的方法 Pending CN113113292A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063002247P 2020-03-30 2020-03-30
US63/002,247 2020-03-30
US202063025957P 2020-05-15 2020-05-15
US63/025,957 2020-05-15
US17/150,389 2021-01-15
US17/150,389 US20210302839A1 (en) 2020-03-30 2021-01-15 Method of manufacturing a semiconductor device

Publications (1)

Publication Number Publication Date
CN113113292A true CN113113292A (zh) 2021-07-13

Family

ID=76712853

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110336906.0A Pending CN113113292A (zh) 2020-03-30 2021-03-29 制造半导体器件的方法

Country Status (3)

Country Link
CN (1) CN113113292A (zh)
DE (1) DE102021101492A1 (zh)
TW (1) TWI796661B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115386858A (zh) * 2022-07-15 2022-11-25 华东理工大学 一种有机无机杂化金属氧化物薄膜的气相沉积制备方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2018168221A1 (ja) * 2017-03-13 2018-09-20 Jsr株式会社 感放射線性組成物及びパターン形成方法
JP7334166B2 (ja) * 2018-01-30 2023-08-28 ラム リサーチ コーポレーション パターニングにおける酸化スズマンドレル
TW202010746A (zh) * 2018-06-30 2020-03-16 美商應用材料股份有限公司 含錫之前驅物及沉積含錫薄膜之方法
US11031244B2 (en) * 2018-08-14 2021-06-08 Lam Research Corporation Modification of SNO2 surface for EUV lithography

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115386858A (zh) * 2022-07-15 2022-11-25 华东理工大学 一种有机无机杂化金属氧化物薄膜的气相沉积制备方法
CN115386858B (zh) * 2022-07-15 2024-06-04 华东理工大学 一种有机无机杂化金属氧化物薄膜的气相沉积制备方法

Also Published As

Publication number Publication date
TW202144913A (zh) 2021-12-01
DE102021101492A1 (de) 2021-09-30
TWI796661B (zh) 2023-03-21

Similar Documents

Publication Publication Date Title
US11705332B2 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11822237B2 (en) Method of manufacturing a semiconductor device
TWI773415B (zh) 製造半導體裝置的方法
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI796661B (zh) 半導體裝置之製造方法
KR102630481B1 (ko) 반도체 디바이스 제조 방법
TWI804806B (zh) 製造半導體元件的方法
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
US20220291587A1 (en) Method of manufacturing a semiconductor device
US20240085793A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides
US20230418156A1 (en) Method of manufacturing a semiconductor device and semiconductor device manufacturing tool
CN113341662A (zh) 光致抗蚀剂显影剂和制造半导体器件的方法
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination