TWI773415B - 製造半導體裝置的方法 - Google Patents

製造半導體裝置的方法 Download PDF

Info

Publication number
TWI773415B
TWI773415B TW110124297A TW110124297A TWI773415B TW I773415 B TWI773415 B TW I773415B TW 110124297 A TW110124297 A TW 110124297A TW 110124297 A TW110124297 A TW 110124297A TW I773415 B TWI773415 B TW I773415B
Authority
TW
Taiwan
Prior art keywords
precursor
photoresist
group
photoresist layer
layer
Prior art date
Application number
TW110124297A
Other languages
English (en)
Other versions
TW202212970A (zh
Inventor
劉之誠
翁明暉
李志鴻
鄭雅如
楊棋銘
李資良
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202212970A publication Critical patent/TW202212970A/zh
Application granted granted Critical
Publication of TWI773415B publication Critical patent/TWI773415B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Formation Of Insulating Films (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

在形成圖案的方法中,藉由在蒸氣狀態下結合第一前驅物及第二前驅物以形成光阻材料來在一基板上形成光阻層。第一前驅物為具有化學式MaRbXc的有機金屬,其中M是選自由Sn、Bi、Sb、In及Te所組成的群組中的一或多者,R是由不同的推電子基團及/或拉電子基團取代的烷基,X為鹵化物或磺酸基,且1≦a≦2,b≧1,c≧1,且b+c≦4。第二前驅物為水、胺、硼烷及/或膦。將光阻材料沉積於基板之上,且將光阻材料選擇性地曝露於光化輻射以形成潛在圖案,且藉由將顯影劑施加於選擇性地曝露的光阻層來顯影潛在圖案以形成圖案。

Description

製造半導體裝置的方法
本揭示的實施方式是關於製造半導體裝置的方法。
隨著消費者裝置因應於消費者需求而變得越來越小,這些裝置的個別組件也必須減小尺寸。構成例如行動電話、電腦、平板電腦等的裝置主要組件的半導體裝置需要越來越小,且相應地半導體裝置內的個別裝置(例如電晶體、電阻器、電容器等)也需要縮小尺寸。
在半導體裝置的製造過程中所使用的一種可行技術是使用微影材料。將這些材料施加到一個待圖案化的層的表面上,然後將其曝光至本身已被圖案化的能量。這樣的曝光改變了光敏材料的曝光區域的化學和物理性質。可以利用這種修改與在未曝光的光敏材料區域中不修改,來去除一區域而不去除另一區域,反之亦然。
然而,隨著各個裝置的尺寸減小,用於微影處理的製程窗口變得越來越緊密。因此需要在微影處理領域有所 進展以維持按比例縮小裝置的能力,並需要進一步的改進以滿足期望的設計標準,從而得以持續往越來越小的組件前進。
本揭示的一實施方式提供一種製造半導體裝置的方法,包含:在待圖案化的目標層之上形成光阻層,其中形成光阻層包含在蒸氣狀態下結合第一前驅物及第二前驅物以形成光阻材料。第一前驅物為具有化學式MaRbXc的有機金屬,其中M是選自由Sn、Bi、Sb、In及Te所組成的群組中的一或多者,R是由推電子基團(electron-donating group,EDG)或拉電子基團(electron-withdrawing group,EWG)中之一或多者進行取代的烷基,X為鹵化物或磺酸基,且1≦a≦2,b≧1,c≧1,且b+c≦4,且第二前驅物為選自由水、胺、硼烷及膦所組成的群組中的一或多者。將光阻材料沉積於待圖案化的目標層之上。將光阻層選擇性地曝露於光化輻射以形成潛在圖案,且藉由將顯影劑施加於選擇性地曝露的光阻層來顯影潛在圖案以形成圖案。
本揭示的一實施方式提供一種製造半導體裝置的方法,包含:在待圖案化的目標層之上形成光阻層,其中形成光阻層包含:在蒸氣狀態下結合第一前驅物及第二前驅物以形成光阻材料。第一前驅物為具有化學式MaRbXc的有機金屬,其中M是選自由Sn、Bi、Sb、In及Te所 組成的群組中的一或多者,R是由一或多個推電子基團或拉電子基團中取代的烷基,X為鹵化物或磺酸基,且a=1或2,b=2,且c=2,且第二前驅物為選自由水、胺、硼烷及膦所組成的群組中的一或多者。將光阻層選擇性地曝露於光化輻射以形成潛在圖案,且藉由將顯影劑施加於選擇性地曝露的光阻層來顯影潛在圖案以形成圖案。
本揭示的一實施方式提供一種製造半導體裝置的方法,包含:在待圖案化的目標層之上形成光阻層,其中形成光阻層包含:在蒸氣狀態下結合第一前驅物及第二前驅物以形成光阻材料及將光阻材料沉積於待圖案化的目標層之上。第一前驅物為具有化學式MaRbXc的有機金屬,其中M包括Sn、Bi、Sb、In或Te中之一或多者,R是由一或多個推電子基團或拉電子基團取代的烷基,X為鹵化物或磺酸基,且a=1或2,b=1,且c=3,且第二前驅物包括水、胺、硼烷或膦中之一或多者。將光阻層選擇性地曝露於光化輻射以形成潛在圖案及藉由將顯影劑施加於選擇性地曝露的光阻層來顯影潛在圖案以形成圖案。
10:基板
12:目標層
14:遮罩層
15:光阻層
30,65:光罩
35:不透明圖案
40:光罩基板
45,97:光化輻射
50:曝露區域
52:未曝露區域
55:圖案
55”:凹槽/圖案
57:顯影劑
60:目標層
62:分配器
70:低熱膨脹玻璃基板
75:反射性多層
80:覆蓋層
85:吸收層
90:後側導電層
95:極紫外光輻射
100:製程流程
105:乾顯影劑
200:沉積設備
205:真空腔室
210:基板支撐台
220:第一前驅物或化合物氣體供應
225:載體/吹掃氣體供應
230,230’:進氣口
235,235’:氣體管線
240:第二前驅物或化合物氣體供應
245:真空泵
250:出氣口
255:排氣管
260:控制器
S110,S120,S130,S140,S150:操作
當讀到隨附的圖式時,從以下詳細的敘述可充分瞭解本揭示的各方面。值得注意的是,根據工業上的標準實務,各種特徵不是按比例繪製。事實上,為了清楚的討論,各種特徵的尺寸可任意增加或減少。
第1圖繪示根據本揭示的實施例的製造半導體裝置的 製程流程。
第2圖繪示根據本揭示的實施例的順序操作的製程階段。
第3A圖及第3B圖繪示根據本揭示的實施例的順序操作的製程階段。
第4A圖及第4B圖繪示根據本揭示的實施例的順序操作的製程階段。
第5圖繪示根據本揭示的實施例的順序操作的製程階段。
第6圖繪示根據本揭示的實施例的順序操作的製程階段。
第7A圖繪示根據本揭示的實施例的有機金屬前驅物。
第7B圖繪示根據本揭示的實施例的有機金屬前驅物。
第8圖繪示根據本揭示的一些實施例的光阻劑沉積設備。
第9A圖繪示根據本揭示的實施例的光阻層由於曝露於光化輻射及加熱而經歷的反應。
第9B圖繪示根據本揭示的實施例的光阻層由於曝露於光化輻射及加熱而經歷的反應。
第10圖繪示根據本揭示的實施例的順序操作的製程階段。
第11A圖及第11B圖繪示根據本揭示的實施例的順序操作的製程階段。
第12A圖及第12B圖繪示根據本揭示的實施例的順序 操作的製程階段。
第13圖繪示根據本揭示的實施例的順序操作的製程階段。
第14圖繪示根據本揭示的實施例的順序操作的製程階段。
第15A圖、第15B圖、第15C圖、第15D圖、第15E圖及第15F圖繪示根據本揭示的實施例的半導體裝置的順序製造操作的各種階段。
第15G圖、第15H圖、第15I圖及第15J圖繪示根據本揭示的實施例的半導體裝置的順序製造操作的各種階段。
應理解,以下揭示內容提供了用於實施本揭示實施方式之不同特徵的許多不同實施例或實例。以下描述了組件及配置的特定實施例或實例以簡化本揭示。當然,這些僅為實例,且不意欲具有限制性。例如,元件尺寸不限於所揭示的範圍或值,而是可取決於製程條件及/或裝置的所要性質。此外,以下描述中在第二特徵之上或上形成第一特徵可包括其中第一特徵及第二特徵形成為直接接觸的實施例,且亦可包括其中額外特徵可形成為介於第一特徵與第二特徵之間以使得第一特徵及第二特徵可能不直接接觸的實施例。為了簡單及清楚起見,可按不同比例任意繪製各種特徵。
此外,為便於描述,本文中可使用諸如「下方」、「之下」、「下部」、「上方」、「上部」等等空間相對術語來描述一個元件或特徵與另一(些)元件或特徵的關係,如圖中所繪示。除圖中所描繪的定向之外,空間相對術語還意欲涵蓋裝置在使用或操作中的不同定向。可以其他方式來定向裝置(旋轉90度或以其他定向),並且同樣地可相應地解釋本文所使用的空間相對描述詞。另外,術語「由......製成」可意謂「包含」或「由......組成」。
隨著半導體工業為了追求更高的裝置密度、更高的性能以及更低的成本而向奈米技術製程節點發展,在縮小半導體特徵尺寸上一直存在挑戰。極紫外光微影(EUVL)已被開發用於在半導體晶圓上形成更小的半導體裝置特徵尺寸及增加裝置密度。為了改善極紫外光微影,需要增加晶圓曝光量。可以通過增加曝光功率或增加抗蝕劑感光速度(靈敏度)來提高晶圓曝光量。另一方面,為了減小成本,需要減小極紫外(extreme ultraviolet,EUV)劑量。
含金屬的光阻用於極紫外光(EUV)微影,因為金屬對極紫外光輻射具有高吸收能力,因此增加了抗蝕劑感光速度。然而,含金屬的光阻層在製程中可能會排氣(outgas),導致光阻層品質隨時間發生變化,並可能造成污染,從而對微影性能產生負面影響,並增加缺陷。
此外,光阻的曝光不均勻,尤其是在光阻層較深的部分,會導致光阻的交聯度不均勻。到達光阻層下部的光 能較少,導致曝光不均勻。不均勻的曝光可能導致較差的線寬粗糙度(LWR),從而防止直邊抗蝕劑(straight edge resist)輪廓的形成。
此外,用於形成和顯影基於溶劑的光阻的溶劑可能是有毒的。不使用有毒溶劑的更環保的光阻層形成和隨後的圖案形成製程是合乎需要的。
此外,旋塗製程可能僅使用分配到基板上的材料的2-5%,而剩餘的95-98%在旋塗操作期間被甩掉。具有高材料使用效率的光阻沉積操作是合乎需要的。
此外,旋塗光阻膜的密度可能不均勻。在某些部分可能發生光阻膜的聚集。
此外,實質減少或防止來自含金屬光阻中的金屬對處理室和基板處理設備的金屬污染的光阻層形成和圖案化操作是合乎需要的。
在本揭示的實施例中,通過氣相沉積操作在基板上沉積光阻來解決以上問題,氣相沉積操作包括原子層沉積(ALD)或化學氣相沉積(CVD)光阻材料。根據本揭示實施例的氣相沉積操作沉積的光阻層在大的沉積面積上提供具有可控膜厚以及高膜均勻性和密度的光阻層。此外,本揭示實施例包括無溶劑光阻層的形成,從而提供更環保的製程。此外,光阻劑沉積操作在一些實施例中為一鍋法(在單個腔室中進行),從而提高了製造效率且限制或防止對處理腔室的金屬污染。在一些實施例中,使用鍵解離能(bond dissociation energy,BDE)調整來增加EUV曝露操 作的效率。
第1圖繪示根據本揭示的實施例的製造半導體裝置的製程流程100。在一些實施例中,在操作S110中在待圖案化的層或基板10的表面上塗佈光阻劑,以形成光阻層15,如第2圖所示。在一些實施例中,光阻劑是由CVD或ALD形成的含金屬的光阻劑。在一些實施例中,在沉積之後,光阻層15隨後經歷第一加熱操作S120。在一些實施例中,光阻層在約10秒至約10分鐘的時間內加熱至約40℃至約120℃的溫度。
在可選的第一加熱操作S120或抗蝕劑沉積操作S110之後,在操作S130中將光阻層15選擇性地曝露於光化輻射45/97(參照第3A圖及第3B圖)。在一些實施方式中,將光阻層15選擇性地或圖案化地曝露於紫外光輻射。在一些實施方式中,上述紫外光輻射是深紫外光輻射(DUV)。在一些實施方式中,上述紫外光輻射是極紫外光(extreme ultraviolet,EUV)輻射。在一些實施方式中,光阻層被選擇性地或圖案化地暴露於電子束。
如第3A圖所示,在一些實施方式中,曝光輻射45在照射光阻層15前先穿過光罩30。在一些實施方式中,光罩具有待複製至光阻層15的圖案。在一些實施方式中,上述圖案是由光罩基板40上的不透明圖案35所形成。不透明圖案35可由對紫外光輻射不透明的材料(例如鉻)所形成,而光罩基板40由對紫外光輻射透明的材料形成,例如熔融石英。
在一些實施方式中,使用極紫外光微影對光阻層15進行選擇性或圖案化曝光,以形成曝光區域50及未曝光區域52。在一些實施方式中,在極紫外光微影操作中,使用反射式光罩65來形成圖案化的曝光,如第3B圖所示。反射式光罩65包含低熱膨脹玻璃基板70,低熱膨脹玻璃基板70上具有由Si及Mo所形成的反射性多層75。覆蓋層80及吸收層85形成在反射性多層75上。後側導電層90形成在低熱膨脹基板70的背側。極紫外光輻射95以約6°的入射角被導向反射式光罩65。極紫外光輻射的一部分97被Si/Mo多層75反射至具有光阻塗佈的基板10,而入射在吸收層85的極紫外光輻射部分則被光罩吸收。在一些實施方式中,附加的光學裝置(包括鏡子)位於反射式光罩65及具有光阻塗佈的基板之間。
在一些實施方式中,通過將塗有光阻的基板置於光微影工具中來進行暴露於輻射。光微影工具包含光罩30/65、光學器件、以及用於在曝光輻射下支撐和移動基板的可移動台。
在一些實施方式中,在輻射45/97被光罩30/65圖案化之前或之後,在光微影工具中使用光學器件(未圖示)來擴展、反射或以其他方式控制輻射。在一些實施方式中,光學器件包括一個或多個透鏡、反射鏡、濾光器及其組合,以沿其路徑控制輻射45/97。
在一些實施方式中,輻射是電磁輻射,例如g-線(g-line,波長約436nm)、i-線(i-line,波長約365nm)、 紫外光輻射、遠紫外光輻射、極紫外光、電子束及其類似者。在一些實施方式中,輻射源是例如汞蒸氣燈、氙氣燈、碳弧燈、KrF準分子雷射(波長為248nm)、ArF準分子雷射(波長為193nm)、F2準分子雷射(波長為157nm),或CO2雷射激發的Sn電漿(極紫外光,波長為13.5nm)中的一種或多種。
電磁輻射的量可以通過注量(fluence)或劑量(dose)來表示,其是通過在曝露時間內的積分輻射通量獲得。在一些實施方式中,合適的輻射通量為約1mJ/cm2至約150mJ/cm2,在其他實施方式中為約2mJ/cm2至約100mJ/cm2,且在其他實施方式中為約3mJ/cm2至約50mJ/cm2。本領域通常知識者將了解到,在上述明確範圍內的輻射通量的其他範圍是可預期的,並且在本揭示的實施方式範圍內。
在一些實施例中,選擇性或按圖案的曝露是由掃描電子束來執行。利用電子束微影術,電子束誘發二次電子,二次電子對受照射的材料進行改質。使用本文所揭示的電子束微影術及含金屬的光阻劑,可達成高解析度。電子束可由束的能量表徵,且合適的能量的範圍在一些實施例中為約5V至約200kV(千伏),且在其他實施例中為約7.5V至約100kV。在30kV下的經接近性校正的束劑量的範圍在一些實施例中為約0.1μC/cm2至約5μC/cm2,在其他實施例中為約0.5μC/cm2至約1μC/cm2,且在其他實施例中為約1μC/cm2至約100 μC/cm2。一般熟習此項技術者可基於本文中的教示計算出在其他束能量下的對應劑量,且將認識到,在以上明確範圍內的額外的電子束性質範圍經涵蓋且在本揭示實施例內。
光阻層的曝露於輻射的區域50經歷化學反應,從而改變其在後續顯影操作S150中經去除的敏感性。在一些實施例中,光阻層的曝露於輻射的區域50經歷反應,從而使曝露部分在顯影操作S150期間更容易去除。在其他實施例中,光阻層的曝露於輻射的區域50經歷反應,從而使曝露部分在顯影操作S150期間可抵抗去除。
接下來,光阻層15在操作S140中經歷第二加熱或曝露後烘烤(post-exposure bake,PEB)。在一些實施例中,光阻層15在約20秒至約120秒的時間內加熱至約50℃至約250℃的溫度。在一些實施例中,曝露後烘烤是在範圍為約100℃至約230℃的溫度下執行,且在其他實施例中在範圍為150℃至約200℃的溫度下執行。在一些實施例中,曝露後烘烤操作S140致使第一化合物或第一前驅物與第二化合物或第二前驅物的反應產物發生交聯。
隨後在操作S150中對選擇性地曝露的光阻層進行顯影。在一些實施例中,藉由將溶劑基顯影劑57施加於選擇性地曝露的光阻層來對光阻層15進行顯影。如第4A圖所示,液態顯影劑57是自分配器62供應至光阻層15。在一些實施例中,光阻劑的曝露區域50由於曝露於光化輻 射或曝露後烘烤而經歷交聯反應,且光阻層的未曝露區域52由顯影劑57去除,從而在光阻層15中形成開口圖案55以曝露基板20,如第5圖所示。
在一些實施例中,光阻劑顯影劑57包括溶劑及酸或鹼。在一些實施例中,基於光阻劑顯影劑的總重量,溶劑的濃度為約60wt.%至約99wt.%。基於光阻劑顯影劑的總重量,酸或鹼濃度為約0.001wt.%至約20wt.%。在某些實施例中,基於光阻劑顯影劑的總重量,顯影劑中的酸或鹼濃度為約0.01wt.%至約15wt.%。
在一些實施例中,使用旋塗製程將顯影劑57施加於光阻層15。在旋塗製程中,在塗有光阻劑的基板旋轉的同時,自光阻層15上方將顯影劑57施加於光阻層15,如第4A圖所示。在一些實施例中,以介於約5ml/min與約800ml/min之間的速率供應顯影劑57,而塗有光阻劑的基板10以介於約100rpm與約2000rpm之間的速度旋轉。在一些實施例中,顯影劑在顯影操作期間處於約10℃與約80℃之間的溫度。在一些實施例中,顯影操作持續約30秒至約10分鐘之間。
在一些實施例中,顯影劑57為有機溶劑。有機溶劑可以為任何合適的溶劑。在一些實施例中,溶劑為選自以下的一或多者:丙二醇甲基醚乙酸酯(propylene glycol methyl ether acetate,PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether,PGME)、1-乙氧基-2-丙醇(1-ethoxy-2-propanol,PGEE)、γ- 丁內酯(γ-butyrolactone,GBL)、環己酮(cyclohexanone,CHN)、乳酸乙酯(ethyl lactate,EL)、甲醇、乙醇、丙醇、正丁醇、4-甲-2-戊醇、丙酮、甲基乙基酮、二甲基甲醯胺(dimethylformamide,DMF)、異丙醇(isopropanol,IPA)、四氫呋喃(tetrahydrofuran,THF)、甲基異丁基甲醇(methyl isobutyl carbinol,MIBC)、正乙酸丁酯(n-butyl acetate,nBA)、2-庚酮(2-heptanone,MAK)、四氫呋喃(tetrahydrofuran,THF)及二噁烷。
雖然旋塗操作是用於在曝露之後對光阻層15進行顯影的一種合適方法,但是其目的是說明性的而不意欲限制實施例。相反,可替代地使用任何合適的顯影操作,包括浸漬製程、熔池製程(puddle processes)及噴塗方法。這些顯影操作全部包括在實施方式的範圍內。
在一些實施例中,將乾顯影劑105施加於選擇性地曝露的光阻層15,如第4B圖所示。在一些實施例中,乾顯影劑105為電漿或化學蒸氣,且乾顯影操作S150為電漿蝕刻或化學蝕刻操作。乾顯影使用與組成、交聯程度及膜密度相關的差異來選擇性地去除所欲去除的光阻劑部分。在一些實施例中,乾顯影製程使用平緩的電漿(高壓力,低功率)或在受熱真空腔室中的熱製程,同時使乾顯影化學品(諸如Cl2、CHCl3、CH2Cl2、CH4、CF4、N2、BF3、BCl3、CCl4、HCl、O2、NF3、NH3、N2H2、HBr及NO2,或其他路易斯酸)在蒸氣狀態下流動。在一些實施例 中,BCl3去除未曝露的材料,留下曝露的膜的圖案,圖案由基於電漿的蝕刻製程轉印至下面的層中。
在一些實施例中,乾顯影包括電漿製程,電漿製程包括變壓器耦合電漿(transformer coupled plasma,TCP)、電感耦合電漿(inductively coupled plasma,ICP)或電容耦合電漿(capacitively coupled plasma,CCP)。在一些實施例中,電漿製程是在範圍為約5mTorr至約20mTorr的壓力下,在約250W至約1000W的功率水平下,在範圍為約0℃至約300℃的溫度下,且在約100sccm至約1000sccm的流速下進行約1秒至約3000秒。
在顯影操作之後,在圖案化的光阻層15處於適當位置的同時執行額外的處理。例如,在一些實施例中執行使用乾式或濕式蝕刻的蝕刻操作來將光阻層15的圖案轉印至下面的基板10,從而形成如第6圖所示的凹槽55’。基板10具有與光阻層15不同的抗蝕刻性。在一些實施例中,蝕刻劑對基板10比對光阻層15更具選擇性。
在一些實施例中,在一些實施例中在蝕刻操作期間至少部分地去除曝露的光阻層15。在其他實施例中,在藉由選擇性蝕刻對基板10進行蝕刻之後,使用合適的光阻劑剝除溶劑或由光阻劑電漿灰化操作來去除曝露的光阻層15。
在一些實施例中,基板10至少在其表面部分上包括單晶半導體層。基板10可包括單晶半導體材料,諸如但 不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在一些實施例中,基板10為絕緣體上矽(silicon-on insulator,SOI)基板的矽層。在某些實施例中,基板10由結晶Si製成。
基板10可在其表面區中包括一或多個緩衝層(未示出)。緩衝層可用於使晶格常數自基板的晶格常數逐漸變為隨後形成的源極/汲極區的晶格常數。緩衝層可由單晶半導體材料磊晶生長而成,諸如但不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP及InP。在一實施例中,鍺化矽(SiGe)緩衝層經磊晶生長於矽基板10上。SiGe緩衝層的鍺濃度可自最底部緩衝層的30原子%增大至最頂部緩衝層的70原子%。
在一些實施方式中,基板10包含具有式MXa的至少一種金屬、金屬合金和金屬-氮化物/硫化物/氧化物/矽化物的一層或多層,其中M是金屬,X是N、S、Se、O、Si,a為約0.4至約2.5。在一些實施方式中,基板10包含鈦、鋁、鈷、釕、氮化鈦、氮化鎢、氮化鉭及其組合。
在一些實施方式中,基板10包含具有式MXb的矽或金屬氧化物或氮化物的介電材料,其中M為金屬或Si,X為N或O,b為約0.4至約2.5。在一些實施方式中,基板10包含二氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭 及其組合。
在一些實施例中,底部抗反射塗層(bottom antireflective coating,BARC)層形成於基板與金屬光阻層15之間。
光阻層15是藉由曝露於光化輻射予以圖案化的光敏層。通常,入射輻射所撞擊的光阻劑區的化學性質以取決於所使用光阻劑的類型的方式改變。光阻層15為正型光阻劑或負型光阻劑。正型光阻劑是指:在經顯影時,光阻層的曝露於光化輻射(諸如UV光)的部分經去除,而光阻劑的未曝露(或曝露較少)的區域在顯影操作之後保留在基板上的光阻材料。另一方面,負型光阻劑是指:在經顯影時,光阻劑的曝露於光化輻射的部分在顯影操作之後保留在基板上,而光阻劑的未曝露(或曝露較少)的區域在顯影操作期間經去除的光阻材料。
在一些實施例中,光阻層15由光阻劑組合物製成,光阻劑組合物包括在蒸氣狀態下結合的第一化合物或第一前驅物及第二化合物或第二前驅物。第一前驅物或第一化合物為具有化學式MaRbXc(a、b及c為自然數)的有機金屬,如第7A圖及第7B圖所示,其中M是選自由Sn、Bi、Sb、In及Te所組成的群組中的一或多者;R為經取代或未取代的烷基、烯基或羧基。在一些實施例中,X為與第二化合物或第二前驅物反應的配位體、離子或部分;且在一些實施例中1≦a≦2,b≧1,c≧1且b+c≦4。在某些實施例中,b+c=4。在一些實施例中,烷 基、烯基或羧基由一或多個氟基取代。在一些實施例中,有機金屬前驅物是如第7A圖所示的二聚體,其中每個單體單元由胺基連結。在一些實施例中,b=c=2。在其他實施例中,有機金屬前驅物是如第7B圖所示的二聚體,其中每個單體單元由氧連結。在一些實施例中,b=1且c=3。每個單體具有如上文所定義的化學式MaRbXc
在一些實施例中,化學式MaRbXc中的R包括烷基,由不同的推電子基團(electron-donating group,EDG)及/或拉電子基團(electron-withdrawing group,EWG)對其進行取代以調整鍵解離能,以便提供由低EUV劑量斷裂的鍵。在一些實施例中,R為經取代或未取代的C1-C20烷基,包括C1-C20烷基的所有異構物。推電子基團包括氧化基團(-O-)、胺基(-NH2、-NHR、-NR2,其中R=C1-C4基團及苯基)、羥基及烷氧基(-OH、-OR,其中R=C1-C4基團及苯基)、醯胺基(-NHCOR,其中R=C1-C4基團及苯基)、烷硫基及硫氫基(-SH、-SR,其中R=C1-C4基團及苯基)、苯基及羧基(-(C=O)O-)。拉電子基團包括鹵化物基團(-I、-Cl、-Br、-F)、銨基(-NR3 +,其中R=C1-C4基團及苯基)、硝基(-NO2)、磺酸基及磺醯基(-SO3H、-SO2R,其中R=C1-C4基團及苯基)、氰基(-CN)、甲醯基及醯基(-CHO、-COR,其中R=C1-C4基團及苯基)、羧基及烷氧基羰基(-CO2H、-CO2R,其中R=C1-C4基團及苯基)及胺基羰基(-CONH2、-CONHR、-CONR,其中R=C1-C4 基團及苯基),其中C1-C4基團包括C1-C4基團的所有異構物。
在一些實施例中,第一前驅物或化合物具有化學式MaRbXc,R包括由苯基、胺基(-NH2、-NHR、-NR2,其中R=C1-C3烷基)、羥基及烷氧基(-OH、-OR,其中R=C1-C3烷基及苯基)取代的C1-C4烷基的所有結構異構物。在一些實施例中,第一前驅物或化合物具有化學式MaRbXc,R包括在α-C位置處由一個或兩個苯基、胺基(-NH2、-NHR、-NR2,其中R=C1、C2或C3烷基)及烷氧基(-OR,其中R=C1、C2或C3烷基)取代的C1-C4烷基。
在一些實施例中,X為容易由第二化合物或第二前驅物置換以產生M-OH部分的任何部分,諸如選自由以下所組成的群組的部分:胺,包括二烷基胺及單烷基胺;烷氧基;羧基;鹵素及磺酸基。在一些實施例中,磺酸基由一或多個胺基取代。在一些實施例中,鹵化物為選自由F、Cl、Br及I所組成的群組中的一或多者。在一些實施例中,磺酸基包括經取代或未取代的C1-C3基團。
在一些實施例中,第一化合物或第一前驅物包括一或多個不飽和鍵,其可與基板或中間下層的表面上的官能基(諸如羥基)配位以改良光阻層對基板或下層的黏附。
在一些實施例中,第二前驅物或第二化合物為選自由水、胺、硼烷及膦所組成的群組中的一或多者。在一些實施例中,胺具有化學式NpHnXm,其中當p為1時,0≦ n≦3,0≦m≦3,n+m=3,且當p為2時,n+m=4,且每個X獨立地為選自由F、Cl、Br及I所組成的群組的鹵素。在一些實施例中,硼烷具有化學式BpHnXm,其中當p為1時,0≦n≦3,0≦m≦3,n+m=3,且當p為2時,n+m=4,且每個X獨立地為選自由F、Cl、Br及I所組成的群組的鹵素。在一些實施例中,膦具有化學式PpHnXm,其中當p為1時,0≦n≦3,0≦m≦3,n+m=3,或當p為2時,n+m=4,且每個X獨立地為選自由F、Cl、Br及I所組成的群組的鹵素。
在一些實施例中,第二前驅物或化合物為氨或聯胺。氨或聯胺與有機金屬前驅物或化合物的反應產物可形成氫鍵,氫鍵增大了反應產物的沸點且防止金屬光阻材料的排放(emission),從而防止金屬污染。氫鍵亦可幫助防止濕氣對光阻層品質的影響。
在一些實施例中,沉積光阻劑組合物的操作S110是由氣相沉積操作來執行。在一些實施例中,氣相沉積操作包括原子層沉積(atomic layer deposition,ALD)或化學氣相沉積(chemical vapor deposition,CVD)。在一些實施例中,ALD包括電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PE-ALD),且CVD包括電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PE-CVD)、金屬有機化學氣相沉積(metal-organic chemical vapor deposition,MO-CVD)、大氣壓化學氣相沉積(atmospheric pressure chemical vapor deposition,AP-CVD)及低壓化學氣相沉積(low pressure chemical vapor deposition,LP-CVD)。沉積光阻層包括在蒸氣狀態下結合第一化合物或第一前驅物與第二化合物或第二前驅物以形成光阻劑組合物。在一些實施例中,光阻劑組合物的第一化合物或第一前驅物及第二化合物或第二前驅物大約同時經引入至沉積室(CVD室)中。在一些實施例中,第一化合物或第一前驅物及第二化合物或第二前驅物以交替方式引入至沉積室(ALD室)中,即:首先是一種化合物或前驅物,然後是第二化合物或前驅物,然後交替地重複引入一種化合物或前驅物、後續接著第二化合物或前驅物。
在一些實施例中,在沉積操作期間沉積室溫度的範圍為約30℃至約400℃,且在其他實施例中為約50℃至約250℃之間。在一些實施例中,在沉積操作期間沉積室中的壓力的範圍為約5mTorr至約100Torr,且在其他實施例中為約100mTorr至約10Torr之間。在一些實施例中,電漿功率小於約1000W。在一些實施例中,電漿功率的範圍為約100W至約900W。在一些實施例中,第一化合物或前驅物及第二化合物或前驅物的流速的範圍為約100sccm至約1000sccm。在一些實施例中,有機金屬化合物或前驅物與第二化合物或前驅物的流量比的範圍為約1:1至約1:10,且在其他實施例中範圍為約1:3 至約1:6。在上述範圍外的操作參數下,在一些實施例中得到不令人滿意的光阻層。在一些實施例中,光阻層形成發生在單個室中(一鍋式層形成)。
在根據本揭示的一些實施例的CVD製程中,在單獨的入口路徑中的有機金屬前驅物及第二前驅物的兩個或兩個以上氣流引入至CVD設備的沉積室,在沉積室中它們在氣相中混合且發生反應以形成反應產物。在一些實施例中,這些氣流是使用單獨的注入口或雙充氣噴淋頭(dual-plenum showerhead)引入。沉積設備配置以使有機金屬前驅物及第二前驅物的氣流在腔室中混合,從而允許有機金屬前驅物及第二前驅物發生反應以形成反應產物。在不限制本揭示實施例的機制、功能或效用的情況下,相信氣相反應的產物的分子量會變重,然後凝結或以其他方式沉積至基板上。
在一些實施例中,使用ALD製程來沉積光阻層。在ALD期間,藉由將基板的表面曝露於交替的氣態化合物(或前驅物)在基板上生長一層。與CVD相比,前驅物是作為一系列連續的不重疊的脈衝引入。在這些脈衝中的每一者中,前驅物分子與表面以自限制方式發生反應,因此一旦表面上的所有反應部位耗盡就終止反應。因此,在單次曝露於所有前驅物(所謂的ALD循環)之後沉積於表面上的材料的最大量由前驅物-表面交互作用的本質決定。
在ALD製程的一實施例中,在前半部分反應中,將有機金屬前驅物脈衝化,以將含金屬前驅物遞送至基板 表面。在一些實施例中,有機金屬前驅物與合適的底層物質(underlying species)(例如,基板表面上的OH或NH官能基)發生反應以形成新的自飽和表面。在一些實施例中藉由真空泵抽空及/或藉由使惰性吹掃氣體流動來去除過量未使用的反應物和反應副產物。然後,在一些實施例中,第二前驅物(諸如水或氨(NH3))被脈衝到沉積室。水或氨與基板上的有機金屬前驅物發生反應,從而獲得反應產物光阻劑在基板表面上。第二前驅物也與底層反應性物質形成自飽和鍵,以提供另一自限及飽和的後半部分反應。在一些實施例中,進行第二次吹掃以除去未使用的反應物和反應副產物。第一前驅物及第二前驅物的脈衝與中間的吹掃操作交替進行,直至達成所要厚度的光阻層15為止。
在一些實施例中,光阻層15形成為約5nm至約50nm的厚度,且在其他實施例中形成為約10nm至約30nm的厚度。一般熟習此項技術者將了解到,在上述明確範圍內的厚度的其他範圍是可以預期的,並且在本揭示的實施方式範圍內。可以基於光阻層的光學特性使用X射線反射率和/或橢圓偏振法的非接觸方法來評估厚度。光阻層厚度相對均勻以便於處理。在一些實施例中,塗層厚度的變化自平均塗層厚度的變化不超過±25%,在其他實施例中,光阻層厚度自平均光阻層厚度的變化不超過±10%。在一些實施例中,諸如更大基板上的高均勻度塗層,光阻層均勻度的評估可在1公分邊緣排除的情況下評估,即, 對於在邊緣的1公分內的塗層部分,不評估層均勻度。本領域通常知識者將了解,上述明確範圍內的其他範圍是可預期的,並且在本揭示的實施方式範圍內。
在一些實施例中,利用載體氣體將第一及第二化合物或前驅物傳遞至沉積室中。載體氣體、吹掃氣體、沉積氣體或其他製程氣體可包含氮氣、氫氣、氬氣、氖氣、氦氣或其組合。
第8圖中繪示根據本揭示的一些實施例的光阻層沉積設備200。在一些實施例中,沉積設備200為ALD或CVD設備。沉積設備200包括真空腔室205。真空腔室205中的基板支撐台210支撐諸如矽晶圓的基板10。在一些實施例中,基板支撐台210包括加熱器。在一些實施例中,第一前驅物或化合物氣體供應220及載體/吹掃氣體供應225經由氣體管線235連接至室中的進氣口230,且第二前驅物或化合物氣體供應240及載體/吹掃氣體供應225經由另一氣體管線235’連接至室中的另一進氣口230’。腔室抽真空,並藉由真空幫浦245通過出氣口250及排氣管255移除過量的反應物及反應副產物。在一些實施例中,前驅物氣體及載體/吹掃氣體的流速或脈衝、過量反應物及反應副產物排出、真空腔室205內的壓力、以及真空腔室205或晶圓支撐台210的溫度由控制器260控制,控制器260被配置為控制這些參數中的每一個。
在一些實施例中,有機金屬化合物包括錫(Sn)、銻(Sb)、鉍(Bi)、銦(In)及/或碲(Te)作為金屬組分,然 而,本揭示實施例不限於這些金屬。在其他實施例中,額外的合適金屬包括鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈷(Co)、鉬(Mo)、鎢(W)、鋁(Al)、鎵(Ga)、矽(Si)、鍺(Ge)、磷(P)、砷(As)、釔(Y)、鑭(La)、鈰(Ce)、鑥(Lu)或其組合。額外的金屬可作為Sn、Sb、Bi、In及/或Te的替代或添加。
所使用的特定金屬可能會顯著影響輻射的吸收。因此,可以根據所需的輻射和吸收截面來選擇金屬成分。錫、銻、鉍、碲及銦在13.5nm對極紫外光有強吸收。鉿提供良好的電子束吸收及極紫外光輻射。包括鈦、釩、鉬或鎢的金屬組合物在更長的波長下具有強吸收性,以提供例如對248nm波長紫外光的靈敏度。
在一些實施例中,ALD或CVD沉積參數在沉積操作期間改變以形成具有密度梯度的光阻層。在一些實施例中,密度梯度光阻層減少了諸如浮渣及橋接的缺陷;且改良線寬粗糙度(line width roughness,LWR)及線邊緣粗糙度(line edge roughness,LER)。
第9A圖繪示根據本揭示的實施例的光阻劑組合物組分曝露於光化輻射及加熱而經歷的反應。第9A圖繪示光阻層(photoresist layer,PR)在根據本揭示的實施例的光阻劑圖案化方法的各種階段的示範性化學結構。如第9A圖所示,光阻劑組合物包括有機金屬化合物,例如SnX2R2,及第二化合物,例如氨(NH3)。當有機金屬化合物及氨結合時,有機金屬化合物與氨的一部分在氣相中 發生反應以形成反應產物,反應產物具有附接至有機金屬化合物的金屬(Sn)的胺基。所沉積光阻層中的胺基具有氫鍵,氫鍵可實質上增大所沉積光阻層的沸點且防止含金屬的光阻材料放出氣體,從而防止含金屬的光阻劑中的金屬對沉積室及半導體裝置處理設備的污染。此外,胺基的氫鍵可控制濕氣對光阻層品質的影響。
當隨後使用遮罩曝露於極紫外光輻射時,有機金屬化合物吸收極紫外光輻射,且一或多個有機R基團自有機金屬化合物斷裂以,在輻射曝露區域中形成胺基金屬化合物。然後,當執行曝露後烘烤(post-exposure bake,PEB)時,胺基金屬化合物在一些實施例中經由胺基交聯,如第9A圖所示。在一些實施例中,由於曝露於極紫外光輻射,發生胺基金屬化合物的部分交聯。選擇性地曝露的光阻劑隨後經顯影,且交聯的輻射曝露圖案保留在基板之上,而輻射未曝露區域在顯影期間經去除。
第9B圖繪示根據本揭示的實施例的光阻劑組合物組分由於曝露於光化輻射及加熱而經歷的反應。第9B圖繪示光阻層(photoresist layer,PR)在根據本揭示的實施例的光阻劑圖案化方法的各種階段的示範性化學結構。如第9B圖所示,光阻劑組合物包括有機金屬化合物,例如SnX3R,及第二化合物,例如水(H2O)。當有機金屬化合物及水(蒸氣)在CVD製程中結合時,有機金屬化合物與水的一部分在氣相中發生反應,以藉由用-OH取代X來形成反應產物,反應產物具有附接至有機金屬化合物的金屬(Sn) 的羥基(-OH)。此外,反應產物經受CVD製程以在基板之上形成膜,其中羥基金屬化合物在一些實施例中經由羥基交聯。
所沉積光阻層中的交聯結構可實質上增大所沉積光阻層的沸點且防止含金屬的光阻材料放出氣體,從而防止含金屬的光阻劑中的金屬對沉積室及半導體裝置處理設備的污染。
當隨後使用遮罩曝露於極紫外光輻射時,有機金屬化合物吸收極紫外光輻射且一或多個有機R基團自有機金屬化合物斷裂以在輻射曝露區域中形成交聯金屬氧化物化合物。在一些實施例中,當有機R基團斷裂時,留下氫。然後,當執行曝露後烘烤(post-exposure bake,PEB)時,交聯金屬氧化物化合物在一些實施例中經由氫及氧進一步交聯,如第9B圖所示。在一些實施例中,由於曝露於極紫外光輻射,發生部分交聯。選擇性地曝露的光阻劑隨後經顯影,且交聯的輻射曝露圖案保留在基板之上,而輻射未曝露區域在顯影期間經去除。
在一些實施例中,在形成光阻層之前將待圖案化的層(目標層)60設置於基板之上,如第10圖所示。在一些實施例中,待圖案化的層60為金屬化層或設置於金屬化層之上的介電層,諸如硬遮罩層、層間介電層或鈍化層。在其他實施例中,目標層是由有機聚合物製成的底部抗反射塗層(bottom antireflective coating,BARC)層。在待圖案化的層60為金屬化層的實施例中,待圖案化的層 60是使用金屬化製程及金屬沉積技術由導電材料形成,金屬沉積技術包括化學氣相沉積、原子層沉積及物理氣相沉積(濺鍍)。同樣地,若待圖案化的層60為介電層,則待圖案化的層60是由介電層形成技術形成,介電層形成技術包括熱氧化、化學氣相沉積、原子層沉積及物理氣相沉積。
隨後將光阻層15選擇性地曝露於光化輻射45以在光阻層中形成曝露區域50及未曝露區域52,如第11A圖及第11B圖所示,且本文中結合第3A圖及第3B圖所描述。如本文所解釋,在一些實施例中光阻劑為負型光阻劑。
藉由自分配器62施配顯影劑57(如第12A圖所示)或藉由乾顯影操作(如第12B圖所示)對未曝露的光阻劑區域52進行顯影,以形成光阻劑圖案55,如第13圖所示。顯影操作類似於本文第4A圖、第4B圖和第5圖所揭示的顯影操作。
然後,如第14圖所示,使用蝕刻操作將光阻層15中的圖案55轉印至待圖案化的層60且去除光阻層(如參考第6圖所解釋),以在待圖案化的層60中形成圖案55”。
第15A圖至第15J圖繪示根據本揭示的實施例的半導體裝置的循序製造操作的各種階段。應理解,在第15A圖至第15J圖所示的製程之前、期間及之後,可提供額外的操作,且對於方法的額外實施例,替換或消除以下描述的操作中之一些。操作/製程的次序可為可互換的。以下實 施例中可採用與利用第1圖至第14圖描述的前述實施例相同或類似的材料、組態、尺寸及/或製程,且可省略其詳細解釋。
第15A圖至第15F圖繪示在正型顯影劑情況下的操作。如第15A圖所示,在基板10之上形成待圖案化的目標層12。在一些實施例中,目標層12為導電層,諸如金屬或金屬層(Ti、TiN、Ta、TaN、W、Cu、Al、Co、Ni、Mo、Ru或其合金,或半導體製造中使用的任何合適的導電材料),或半導體層(經摻雜或未摻雜的非晶、多晶或結晶Si、SiGe或Ge,或半導體製造中使用的任何合適的半導體材料),或介電層(諸如氧化矽、氮化矽、SiON、SiOC、SiOCN、SiCN、氧化鉿、氧化鋁,或半導體製造中使用的任何合適的介電材料)。在一些實施例中,在目標層12之上形成遮罩層14。在一些實施例中,遮罩層14包括抗蝕刻性充分高於目標層12的介電材料、半導體材料或導電材料。在一些實施例中,遮罩層14為有機底部抗反射塗層(bottom antireflective coating,BARC)。此外,在遮罩層14之上形成含金屬的光阻層15,如上文所闡釋。
然後,如第15B圖所示,藉由光罩反射或穿過光罩的EUV或DUV輻射在含金屬的光阻層15上執行曝露操作,光罩具有電路圖案。然後,如第15C圖所示,由濕顯影劑或乾顯影對曝露的含金屬的光阻層15進行顯影及去除。接下來,如第15D圖所示,藉由使用圖案化的金屬 光阻層15作為蝕刻遮罩對遮罩層14進行圖案化。在一些實施例中,然後藉由使用合適的濕式或乾式蝕刻劑來去除含金屬的光阻層15,如第15E圖所示。然後,藉由使用圖案化的遮罩層14作為蝕刻遮罩對目標層12進行圖案化,且去除遮罩層14,如第15F圖所示。在一些實施例中,對目標層12進行圖案化而不去除含金屬的光阻層15。在一些實施例中,在目標層12的圖案化之後不去除圖案化的遮罩層14。
第15G圖至第15J圖繪示在負型顯影劑情況下的操作。如第15G圖所示,由濕顯影劑或乾顯影對含金屬的光阻層15的未曝露區域進行顯影及去除。第15H圖、第15I圖及第15J圖的操作與第15D圖、第15E圖及第15F圖的操作相同。
其他實施例包括在上述操作之前、期間或之後的其他操作。在一些實施例中,所揭示方法包括形成鰭式場效電晶體(fin field effect transistor,FinFET)結構。在一些實施例中,在半導體基板上形成複數個主動鰭片。此類實施例進一步包括:穿過圖案化的硬遮罩的開口蝕刻基板以在基板中形成溝槽;用介電材料填充溝槽;執行化學機械研磨(chemical mechanical polishing,CMP)製程以形成淺溝槽隔離(shallow trench isolation,STI)特徵;及磊晶生長或凹陷STI特徵以形成鰭片狀主動區。在一些實施例中,在基板上形成一或多個閘電極。一些實施例包括形成用於閘極間隔物、摻雜的源極/汲極區、 閘極/源極/汲極特徵的接點等。在其他實施例中,目標圖案形成為多層互連結構中的金屬線。例如,金屬線可形成於基板的層間介電質(inter-layer dielectric,ILD)層中,ILD層已經蝕刻以形成複數個溝槽。可用諸如金屬的導電材料填充溝槽;且可使用諸如化學機械平坦化(chemical mechanical planarization,CMP)的製程研磨導電材料以曝露圖案化的ILD層,從而在ILD層中形成金屬線。以上是可使用本文中描述的方法來製造及/或改良的裝置/結構的非限制性實例。
在一些實施例中,根據本揭示的實施例形成主動元件,諸如二極體、場效電晶體(field-effect transistor,FET)、金氧半場效電晶體(metal-oxide semiconductor field-effect transistor,MOSFET)、互補式金氧半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極電晶體、高電壓電晶體、高頻電晶體、FinFET、其他三維(three-dimensional,3D)FET、金氧半場效電晶體(metal-oxide semiconductor field-effect transistor,MOSFET)、互補式金氧半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極電晶體、高電壓電晶體、高頻電晶體、其他記憶體單元及其組合。
將理解,本文中未必已論述所有優點,並不是所有實施例或實例都需要特定優點,且其他實施例或實例可提 供不同的優點。
根據本揭示的半導體形成方法及光阻劑圖案化方法提供改良的光阻材料使用效率及相比溶劑基光阻劑,減少了潛在有毒有機溶劑的使用。本揭示的實施例提供具有更低Sn-C鍵解離能的有機錫前驅物,導致在更低EUV劑量下具有增大的EUV靈敏度的光阻劑膜。本揭示的實施例提供更低成本的EUV圖案化操作。本揭示的實施例進一步提供沉積於半導體基板的表面上的均勻的光阻劑膜。另外,可自光阻劑圖案化製程實質上消除有毒的有機溶劑,因為光阻劑並非溶劑基的,且在一些實施例中在不使用溶劑基顯影劑的情況下執行乾顯影。在一些實施例中,防止沉積室及半導體基板處理設備被含金屬的光阻劑中的金屬污染。根據本揭示的實施例的方法防止光阻劑濕氣敏感性問題。本揭示的實施例提供改良的圖案解析度。本揭示的實施例提供改進的線寬粗糙度及改進光阻劑的曝露部分與未曝露部分之間的差別。另外,根據本揭示的實施例的一鍋式沉積方法提供改良的半導體裝置製造效率。含金屬的光阻劑可用於形成尺寸為約5nm至約40nm且具有低線寬粗糙度(line width roughness,LWR)及高蝕刻選擇性的圖案。在一些實施例中,與聚合物基光阻劑相比,線寬粗糙度改良了約20%。
根據本揭示實施例的一個態樣,在製造半導體裝置的方法中,藉由在蒸氣狀態下結合第一前驅物及第二前驅物以形成光阻材料來在待圖案化的目標層之上形成光阻層。 第一前驅物為具有化學式MaRbXc的有機金屬,其中M是選自由Sn、Bi、Sb、In及Te所組成的群組中的一或多者,R是由推電子基團(electron-donating group,EDG)或拉電子基團(electron-withdrawing group,EWG)中之一或多者進行取代的烷基,X為鹵化物或磺酸基,且1≦a≦2,b≧1,c≧1,且b+c≦4,且第二前驅物為選自由水、胺、硼烷及膦所組成的群組中的一或多者。將光阻材料沉積於待圖案化的目標層之上。將光阻層選擇性地曝露於光化輻射以形成潛在圖案,且藉由將顯影劑施加於選擇性地曝露的光阻層來顯影潛在圖案以形成圖案。在前述或以下實施例中之一或多者中,R是由推電子基團取代的C1-C20烷基,包括C1-C20烷基的所有異構物,推電子基團選自以下中之一或多者:-O--NH2、-NHR1、-NR12、-OH、-OR1、-NHCOR1、-SH、-SR1、苯基及-(C=O)O-,其中R1=C1-C4基團或苯基。在前述或以下實施例中之一或多者中,R是由拉電子基團取代的C1-C20烷基,包括C1-C20烷基的所有異構物,拉電子基團選自以下中之一或多者:-I、-Cl、-Br、-F、-NR23 +、-NO2、-SO3H、-SO2R2、-CN、-CHO、-COR2、-CO2H、-CO2R2、-CONH2、-CONHR2及-CONR22,其中R2=C1-C4基團或苯基。在前述或以下實施例中之一或多者中,R是由苯基、-NH2、-NHR3、-NR32、-OH、-OR3取代的一或多個C1-C4烷基,其中R3=C1-C3烷基或苯基。在前述或以下實施例中之一或多者中,R是在α-C位 置處由一個或兩個苯基、-NH2、-NHR4、-NR42、或-OR4取代的一或多個C1-C4烷基,其中R4=C1-C3烷基。在前述或以下實施例中之一或多者中,光化輻射為極紫外光輻射。在前述或以下實施例中之一或多者中,在將光阻層選擇性地曝露於光化輻射以形成潛在圖案之後且在對潛在圖案進行顯影之前,在光阻層上執行曝露後烘烤。在前述或以下實施例中之一或多者中,光阻材料是由原子層沉積(atomic layer deposition,ALD)或化學氣相沉積(chemical vapor deposition,CVD)沉積於待圖案化的目標層之上。在前述或以下實施例中之一或多者中,第一前驅物是由NH或O連接的二聚體。在前述或以下實施例中之一或多者中,在將光阻層選擇性地曝露於光化輻射以形成潛在圖案之後且在對潛在圖案進行顯影之前,在範圍為150℃至230℃或100℃至200℃的溫度下加熱光阻層。在前述或以下實施例中之一或多者中,顯影劑為乾顯影劑。在前述或以下實施例中之一或多者中,在將光阻層選擇性地曝露於光化輻射以形成潛在圖案之前,在範圍為40℃至120℃的溫度下加熱光阻層。
根據本揭示實施例的另一態樣,在製造半導體裝置的方法中,藉由在蒸氣狀態下結合第一前驅物及第二前驅物以形成光阻材料來在待圖案化的目標層之上形成光阻層。第一前驅物為具有化學式MaRbXc的有機金屬,其中M是選自由Sn、Bi、Sb、In及Te所組成的群組中的一或多者,R是由推電子基團(electron-donating group, EDG)或拉電子基團(electron-withdrawing group,EWG)中之一或多者進行取代的烷基,X為鹵化物或磺酸基,且a=1或2,b=2,且c=2,且第二前驅物為選自由水、胺、硼烷及膦所組成的群組中的一或多者。將光阻材料沉積於待圖案化的目標層之上。將光阻層選擇性地曝露於光化輻射以形成潛在圖案,且藉由將顯影劑施加於選擇性地曝露的光阻層來顯影潛在圖案以形成圖案。在前述或以下實施例中之一或多者中,a=1。在前述或以下實施例中之一或多者中,a=2,且第一前驅物為具有化學式MR2X2-NH-MR2X2的有機金屬。在前述或以下實施例中之一或多者中,第二前驅物為氨。
根據本揭示實施例的另一態樣,在製造半導體裝置的方法中,藉由在蒸氣狀態下結合第一前驅物及第二前驅物以形成光阻材料來在待圖案化的目標層之上形成光阻層。第一前驅物為具有化學式MaRbXc的有機金屬,其中M是選自由Sn、Bi、Sb、In及Te所組成的群組中的一或多者,R是由推電子基團(electron-donating group,EDG)或拉電子基團(electron-withdrawing group,EWG)中之一或多者進行取代的烷基,X為鹵化物或磺酸基,且a=1或2,b=1,且c=3,且第二前驅物為選自由水、胺、硼烷及膦所組成的群組中的一或多者。將光阻材料沉積於待圖案化的目標層之上。將光阻層選擇性地曝露於光化輻射以形成潛在圖案,且藉由將顯影劑施加於選擇性地曝露的光阻層來顯影潛在圖案以形成圖案。在前述或 以下實施例中之一或多者中,a=1。在前述或以下實施例中之一或多者中,a=2,且第一前驅物為具有化學式MRX3-O-MRX3的有機金屬。在前述或以下實施例中之一或多者中,第二前驅物為水蒸氣。
前述內容概述了若干實施例或實例的特徵,以便熟習此項技術者可更好地理解本揭示實施例之態樣。熟習此項技術者應瞭解,他們可容易使用本揭示實施例作為基礎來設計或修改其他製程及結構以便實現本文所介紹的實施例或實例的相同目的及/或達成這些實施例或實例的相同優點。熟習此項技術者亦應意識到,此類等效構造不脫離本揭示實施例的精神及範疇,且他們可在不脫離本揭示實施例的精神及範疇的情況下在本文中進行各種改變、替代及變更。
100:製程流程
S110,S120,S130,S140,S150:操作

Claims (10)

  1. 一種製造半導體裝置的方法,包含:在一待圖案化的目標層之上形成一光阻層,其中形成該光阻層包含:在一蒸氣狀態下結合一第一前驅物及一第二前驅物以形成一光阻材料,其中該第一前驅物為具有以下化學式的一有機金屬:MaRbXc其中M是選自由Sn、Bi、Sb、In及Te所組成的群組中的一或多者,R是由一或多個推電子基團或拉電子基團取代的一烷基,X為鹵化物或磺酸基,且1≦a≦2,b≧1,c≧1,且b+c≦4,且該第二前驅物為選自由胺、硼烷及膦所組成的群組中的一或多者,或者該第二前驅物為氨;及將該光阻材料沉積於該待圖案化的目標層之上;將該光阻層選擇性地曝露於光化輻射以形成一潛在圖案;及藉由將一顯影劑施加於該選擇性地曝露的光阻層來顯影該潛在圖案,以形成一圖案。
  2. 如請求項1所述之方法,其中R是由一推電子基團取代的C1-C20烷基,包括C1-C20烷基的所有異 構物,該推電子基團選自以下中之一或多者:-O--NH2、-NHR1、-NR12、-OH、-OR1、-NHCOR1、-SH、-SR1、苯基及-(C=O)O-,其中R1=C1-C4基團或苯基。
  3. 如請求項1所述之方法,其中R是由一拉電子基團取代的C1-C20烷基,包括C1-C20烷基的所有異構物,該拉電子基團選自以下中之一或多者:-I、-Cl、-Br、-F、-NR23 +、-NO2、-SO3H、-SO2R2、-CN、-CHO、-COR2、-CO2H、-CO2R2、-CONH2、-CONHR2及-CONR22,其中R2=C1-C4基團或苯基。
  4. 如請求項1所述之方法,其中R是由苯基、-NH2、-NHR3、-NR32、-OH、-OR3取代的一或多個C1-C4烷基,其中R3=C1-C3烷基或苯基。
  5. 如請求項1所述之方法,其中R為在α-C位置處由一個或兩個苯基、-NH2、-NHR4、-NR42、或-OR4取代的一或多個C1-C4烷基,其中R4=C1-C3烷基。
  6. 如請求項1所述之方法,其中該第一前驅物是由NH或O連接的二聚體。
  7. 一種製造半導體裝置的方法,包含:在一待圖案化的目標層之上形成一光阻層, 其中形成該光阻層包含:在一蒸氣狀態下結合一第一前驅物及一第二前驅物以形成一光阻材料,其中該第一前驅物為具有以下化學式的一有機金屬:MaRbXc其中M是選自由Sn、Bi、Sb、In及Te所組成的群組中的一或多者,R是由一或多個推電子基團或拉電子基團中取代的烷基,X為鹵化物或磺酸基,且a=1或2,b=2,且c=2,且該第二前驅物為選自由胺、硼烷及膦所組成的群組中的一或多者,或者該第二前驅物為氨;及將該光阻材料沉積於該待圖案化的目標層之上;將該光阻層選擇性地曝露於光化輻射以形成一潛在圖案;及藉由將一顯影劑施加於該選擇性地曝露的光阻層來顯影該潛在圖案以形成一圖案。
  8. 如請求項7所述之方法,其中a=2,且該第一前驅物為具有化學式MR2X2-NH-MR2X2的一有機金屬。
  9. 一種製造半導體裝置的方法,包含: 在一待圖案化的目標層之上形成一光阻層,其中形成該光阻層包含:在一蒸氣狀態下結合一第一前驅物及一第二前驅物以形成一光阻材料,其中該第一前驅物為具有以下化學式的一有機金屬:MaRbXc其中M包括Sn、Bi、Sb、In或Te中之一或多者,R是由一或多個推電子基團或拉電子基團取代的烷基,X為鹵化物或磺酸基,且a=1或2,b=1,且c=3,且該第二前驅物包括胺、硼烷或膦中之一或多者,或者該第二前驅物為氨;及將該光阻材料沉積於該待圖案化的目標層之上;將該光阻層選擇性地曝露於光化輻射以形成一潛在圖案;及藉由將一顯影劑施加於該選擇性地曝露的光阻層來顯影該潛在圖案以形成一圖案。
  10. 如請求項9所述之方法,其中a=2,且該第一前驅物為具有化學式MRX3-O-MRX3的一有機金屬。
TW110124297A 2020-07-02 2021-07-01 製造半導體裝置的方法 TWI773415B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063047350P 2020-07-02 2020-07-02
US63/047,350 2020-07-02
US202063049956P 2020-07-09 2020-07-09
US63/049,956 2020-07-09
US17/316,221 2021-05-10
US17/316,221 US20220005687A1 (en) 2020-07-02 2021-05-10 Method of manufacturing a semiconductor device and pattern formation method

Publications (2)

Publication Number Publication Date
TW202212970A TW202212970A (zh) 2022-04-01
TWI773415B true TWI773415B (zh) 2022-08-01

Family

ID=76744729

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110124297A TWI773415B (zh) 2020-07-02 2021-07-01 製造半導體裝置的方法

Country Status (7)

Country Link
US (1) US20220005687A1 (zh)
EP (1) EP3933506A1 (zh)
JP (1) JP2022013909A (zh)
KR (1) KR102647995B1 (zh)
CN (1) CN113568271A (zh)
DE (1) DE102021113271A1 (zh)
TW (1) TWI773415B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833259B (zh) * 2022-05-05 2024-02-21 南亞科技股份有限公司 具有長形主動區之記憶體元件的製備方法
US20240002412A1 (en) * 2022-07-01 2024-01-04 Entegris, Inc. Compounds and processes for extreme ultraviolet lithography
WO2024070756A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201035118A (en) * 2009-01-15 2010-10-01 Fujifilm Corp Novel compound, polymerizable composition, color filter and method of producing the same, solid-state imaging device, and planographic printing plate precursor
TW201035049A (en) * 2009-01-30 2010-10-01 Fujifilm Corp Colored photopolymerizable composition, method for producing colored pattern, and color filter and liquid crystal display device with the color filter
TW201135366A (en) * 2010-01-27 2011-10-16 Fujifilm Corp Polymerizable composition for solder resister and method of forming solder resister pattern
TW201200975A (en) * 2010-06-17 2012-01-01 Du Pont Process and materials for making contained layers and devices made with same
TW201238979A (en) * 2011-03-25 2012-10-01 Fujifilm Corp Colored radiation-sensitive composition, color filter, method of fabricating colored pattern, method of fabricating color filter, solid-state image device and liquid crystal display
TW201902951A (zh) * 2017-06-05 2019-01-16 日商中央硝子股份有限公司 含氟單體、含氟聚合物與使用其之圖案形成用組合物、及其圖案形成方法
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE361366B (zh) * 1968-10-03 1973-10-29 Western Electric Co
JP3100041B2 (ja) * 1997-07-04 2000-10-16 日本合成化学工業株式会社 レジストパターン形成方法
JP3238369B2 (ja) * 1998-04-10 2001-12-10 ソニーケミカル株式会社 フォトレジスト用組成物、及びフレキシブルプリント配線板の製造方法
US7175944B2 (en) * 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US8318830B2 (en) * 2007-10-17 2012-11-27 Basf Se Photolatent catalysts based on organometallic compounds
JP4656242B2 (ja) * 2009-02-19 2011-03-23 三菱電機株式会社 レジスト材およびこれを用いた半導体装置の製造方法
US9261784B2 (en) * 2011-07-08 2016-02-16 Asml Netherlands B.V. Lithographic patterning process and resists to use therein
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
TWI584061B (zh) * 2014-08-27 2017-05-21 羅門哈斯電子材料有限公司 多重圖案的形成方法
EP3391148B1 (en) * 2015-10-13 2021-09-15 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
KR102634520B1 (ko) * 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
TW202348612A (zh) * 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
KR102307981B1 (ko) * 2018-08-10 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11605538B2 (en) * 2018-10-31 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Protective composition and method of forming photoresist pattern
US20230072538A1 (en) * 2020-07-02 2023-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201035118A (en) * 2009-01-15 2010-10-01 Fujifilm Corp Novel compound, polymerizable composition, color filter and method of producing the same, solid-state imaging device, and planographic printing plate precursor
TW201035049A (en) * 2009-01-30 2010-10-01 Fujifilm Corp Colored photopolymerizable composition, method for producing colored pattern, and color filter and liquid crystal display device with the color filter
TW201135366A (en) * 2010-01-27 2011-10-16 Fujifilm Corp Polymerizable composition for solder resister and method of forming solder resister pattern
TW201200975A (en) * 2010-06-17 2012-01-01 Du Pont Process and materials for making contained layers and devices made with same
TW201238979A (en) * 2011-03-25 2012-10-01 Fujifilm Corp Colored radiation-sensitive composition, color filter, method of fabricating colored pattern, method of fabricating color filter, solid-state image device and liquid crystal display
TW201902951A (zh) * 2017-06-05 2019-01-16 日商中央硝子股份有限公司 含氟單體、含氟聚合物與使用其之圖案形成用組合物、及其圖案形成方法
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks

Also Published As

Publication number Publication date
DE102021113271A1 (de) 2022-01-05
KR20220003967A (ko) 2022-01-11
US20220005687A1 (en) 2022-01-06
CN113568271A (zh) 2021-10-29
EP3933506A1 (en) 2022-01-05
TW202212970A (zh) 2022-04-01
KR102647995B1 (ko) 2024-03-14
JP2022013909A (ja) 2022-01-18

Similar Documents

Publication Publication Date Title
TWI773415B (zh) 製造半導體裝置的方法
US11705332B2 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11822237B2 (en) Method of manufacturing a semiconductor device
US20230386840A1 (en) Method of manufacturing semiconductor devices and pattern formation method
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
KR102630481B1 (ko) 반도체 디바이스 제조 방법
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI796661B (zh) 半導體裝置之製造方法
TW202205374A (zh) 在光阻層中形成圖案的方法及半導體裝置的製造方法
US20230375920A1 (en) Method of manufacturing a semiconductor device
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
US11942322B2 (en) Method of manufacturing semiconductor devices and pattern formation method
TWI780715B (zh) 製造半導體裝置的方法及顯影劑組成物
TWI831108B (zh) 製造半導體裝置的方法