TWI781629B - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TWI781629B
TWI781629B TW110118322A TW110118322A TWI781629B TW I781629 B TWI781629 B TW I781629B TW 110118322 A TW110118322 A TW 110118322A TW 110118322 A TW110118322 A TW 110118322A TW I781629 B TWI781629 B TW I781629B
Authority
TW
Taiwan
Prior art keywords
layer
metal
photoresist layer
alloy
photoresist
Prior art date
Application number
TW110118322A
Other languages
English (en)
Other versions
TW202147450A (zh
Inventor
訾安仁
何俊智
鄭雅如
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/226,872 external-priority patent/US11942322B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202147450A publication Critical patent/TW202147450A/zh
Application granted granted Critical
Publication of TWI781629B publication Critical patent/TWI781629B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

一種製造半導體裝置的方法包含形成金屬光阻層在待圖案化的目標層上,選擇性曝露金屬光阻層於光化輻射,以形成潛在圖案,以及通過施加顯影劑至受到選擇性曝露的光阻層顯影潛在圖案,以形成圖案。金屬光阻層為兩種或多種金屬元素的合金層,以及選擇性曝露改變合金層的相位。

Description

半導體裝置的製造方法
本揭示的實施方式是關於半導體裝置的製造方法。
隨著消費者裝置因應於消費者需求而變得越來越小,這些裝置的個別組件也必須減小尺寸。構成例如行動電話、電腦、平板電腦等的裝置主要組件的半導體裝置需要越來越小,且相應地半導體裝置內的個別裝置(例如電晶體、電阻器、電容器等)也需要縮小尺寸。
在半導體裝置的製造過程中所使用的一種可行技術是使用微影材料。將這些材料施加到一個待圖案化的層的表面上,然後將其曝光至本身已被圖案化的能量。這樣的曝光改變了光敏材料的曝光區域域的化學和物理性質。可以利用這種修改與在未曝光的光敏材料區域中不修改,來去除一區域而不去除另一區域,反之亦然。
然而,隨著各個裝置的尺寸減小,用於微影處理的製程窗口變得越來越緊密。因此需要在微影處理領域有所 進展以維持按比例縮小裝置的能力,並需要進一步的改進以滿足期望的設計標準,從而得以持續往越來越小的組件前進。
本揭示的一實施方式提供一種製造半導體裝置的方法,包含:形成金屬光阻層在待圖案化的目標層上,其中金屬光阻層為兩種或多種金屬元素的合金層;通過改變合金層的曝露部分的相位,選擇性曝露金屬光阻層於光化輻射,以形成潛在圖案;以及通過施加顯影劑至受到選擇性曝露的金屬光阻層顯影潛在圖案,以形成圖案。
本揭示的一實施方式提供一種製造半導體裝置的方法,包含:形成金屬光阻層在待圖案化的目標層上;選擇性曝露金屬光阻層於光化輻射,以形成潛在圖案;以及通過施加顯影劑至受到選擇性曝露的金屬光阻層顯影潛在圖案,以形成圖案,其中金屬光阻層包含由第一金屬元素製成的第一層及由與第一金屬元素不同的第二金屬元素製成的第二層的兩層或多層,以及選擇性曝露將兩層或多層的曝露部分轉換為第一金屬元素及第二金屬元素的合金層。
本揭示的一實施方式提供一種製造半導體裝置的方法,包含:形成金屬光阻層在待圖案化的目標層上;選擇性曝露金屬光阻層於光化輻射,以形成潛在圖案;以及通過施加顯影劑至受到選擇性曝露的金屬光阻層顯影潛在 圖案,以形成圖案,其中金屬光阻層包含一層或多層金屬或金屬合金層,以及一層或多層金屬或金屬合金層包含選自由Ag、In、Sn、Sb及Te所組成的群組中的兩種或多種。
10:基板
12:目標層
14:遮罩層
15:光阻層
30:光罩
35:不透明圖案
40:光罩基板
45:輻射
50:曝光區域
52:未曝光區域
55,55’,55”:圖案
57:顯影劑
60:待圖案化層/目標層
62:分配器
65:反射式光罩
70:低熱膨脹玻璃基板
75:反射性多層
80:覆蓋層
85:吸收層
90:後側導電層
95:極紫外光輻射
97:輻射
100:製程流程圖
105:乾顯影劑
S110:操作
S120:操作
S130:操作
S140:操作
S150:操作
當讀到隨附的圖式時,從以下詳細的敘述可充分瞭解本揭露的各方面。值得注意的是,根據工業上的標準實務,各種特徵不是按比例繪製。事實上,為了清楚的討論,各種特徵的尺寸可任意增加或減少。
第1圖繪示根據本揭示的實施方式之製造半導體裝置的製程流程。
第2圖顯示根據本揭示的一實施方式之一順序操作的一製程階段。
第3A圖及第3B圖顯示根據本揭示的一實施方式之一順序操作的一製程階段。
第4A圖及第4B圖顯示根據本揭示的一實施方式之一順序操作的一製程階段。
第5圖顯示根據本揭示的一實施方式之一順序操作的一製程階段。
第6圖顯示根據本揭示的一實施方式之一順序操作的一製程階段。
第7A圖、第7B圖、第7C圖、及第7D圖顯示根據本揭示的一實施方式之形成金屬光阻層的各階段。
第8A圖及第8B圖顯示根據本揭示的一實施方式之金屬光阻層的曝光操作。
第9A圖、第9B圖、第9C圖、第9D圖、第9E圖及第9F圖顯示根據本揭示的一實施方式之半導體裝置之順序製造操作之各個階段。
第9G圖、第9H圖、第9I圖、及第9J圖顯示根據本揭示的一實施方式之半導體裝置之順序製造操作之各個階段。
第10圖顯示根據本揭示的一實施方式之一順序操作的一製程階段。
第11A圖及第11B圖顯示根據本揭示的一實施方式之一順序操作的一製程階段。
第12A圖及第12B圖顯示根據本揭示的一實施方式之一順序操作的一製程階段。
第13圖顯示根據本揭示的一實施方式之一順序操作的一製程階段。
第14圖顯示根據本揭示的一實施方式之一順序操作的一製程階段。
為了使本揭示的實施方式的內容的敘述更加詳盡與完備,下文針對了本揭示的實施態樣與具體實施例提出了說明性的描述;但這並非實施或運用本揭示具體實施例的唯一形式。例如,元件的尺寸不限於所揭示的範圍或值, 而是可以取決於製程條件及/或裝置的所需性質。此外,在後續的本揭示的實施方式中,一個特徵形成於另一特徵上、連接至及/或耦合至另一特徵,可包括這些特徵直接接觸的實施方式,亦可包括有另一特徵可形成並中介於這些特徵之間,使得這些特徵可不直接接觸的實施方式。為了簡單和清楚起見,可以將各種特徵任意繪製成不同比例。
此外,本文中可使用空間性相對用詞,例如「下方(beneath)」、「低於(below)」、「下(lower)」、「之上(above)」、「上(upper)」及其類似用語,是利於敘述圖式中一個元件或特徵與另一個元件或特徵的關係。這些空間性相對用詞本意上涵蓋除了圖中所繪示的位向之外,也涵蓋使用或操作中之裝置的不同位向。裝置也可被轉換成其他位向(旋轉90度或其他位向),因此本文中使用的空間性相對描述以應做類似的解釋。此外,詞彙「製成(made of)」可表示「包含(comprising)」或「組成(consisting of)」。
隨著半導體工業為了追求更高的裝置密度、更高的性能以及更低的成本而向奈米技術製程節點發展,在縮小半導體特徵尺寸上一直存在挑戰。極紫外光微影(EUVL)已被開發用於在半導體晶圓上形成更小的半導體裝置特徵尺寸及增加裝置密度。為了改善極紫外光微影,需要增加晶圓曝光量。可以通過增加曝光功率或增加抗蝕劑感光速度(靈敏度)來提高晶圓曝光量。
含金屬的光阻用於極紫外光(EUV)微影,因為金屬對極紫外輻射具有高吸收能力,因此增加了抗蝕劑感光速度。然而,含金屬的光阻層在製程中可能會排氣(outgas),導致光阻層品質隨時間發生變化,並可能造成污染,從而對微影性能產生負面影響,並增加缺陷。
此外,光阻的曝光不均勻,尤其是在光阻層較深的部分,會導致光阻的交聯度不均勻。到達光阻層下部的光能較少,導致曝光不均勻。不均勻的曝光可能導致較差的線寬粗糙度(LWR),從而防止直邊抗蝕劑(straight edge resist)輪廓的形成。
此外,用於形成和顯影基於溶劑的光阻的溶劑可能是有毒的。不使用有毒溶劑的更環保的光阻層形成和隨後的圖案形成製程是合乎需要的。
此外,旋塗製程可能僅使用分配到基板上的材料的2-5%,而剩餘的95-98%在旋塗操作期間被甩掉。具有高材料使用效率的光阻沉積操作是合乎需要的。
此外,旋塗光阻膜的密度可能不均勻。在某些部分可能發生光阻膜的聚集。
此外,實質減少或防止來自含金屬光阻中的金屬對處理室和基板處理設備的金屬污染的光阻層形成和圖案化操作是合乎需要的。
在本揭示的實施例中,通過氣相沉積操作在基板上沉積光阻來解決以上問題,氣相沉積操作包括原子層沉積(ALD)、物理氣相沉積(PVD)或化學氣相沉積(CVD)光 阻材料。根據本揭示實施例的氣相沉積操作沉積的光阻層在大的沉積面積上提供具有可控膜厚以及高膜均勻性和密度的光阻層。此外,本揭示實施例包括無溶劑光阻層的形成,從而提供更環保的製程。此外,光阻沉積操作是一鍋法(在單個腔室中進行),從而提高了製造效率,並限製或防止了處理腔室的金屬污染。
第1圖根據本揭示的多個實施方式繪示製造半導體裝置的製程流程圖100。在一些實施方式中,在操作S110中,將抗蝕劑(resist)塗佈在待圖案化層的表面或基板10上以形成光阻層15,如第2圖所示。在一些實施方式中,光阻層15為通過CVD、PVD或ALD形成的金屬光阻。金屬光阻的組成將在本揭示內容的後面解釋。在一些實施例中,光阻層15在沉積之後進行第一加熱操作S120。在一些實施方式中,光阻層15被加熱到約40℃和約1000℃之間的溫度持續約10秒至約10分鐘,並且在其他實施方示中,加熱溫度在約250℃到800℃的範圍內。
在可選的第一加熱操作S120或抗蝕劑沉積操作S110之後,在操作S130中將光阻層15選擇性地曝露於光化輻射45/97(參照第3A圖及第3B圖)。在一些實施方式中,將光阻層15選擇性地或圖案化地曝露於紫外光輻射。在一些實施方式中,上述紫外光輻射是深紫外光輻射(DUV)。在一些實施方式中,上述紫外光輻射是極紫外光(extreme ultraviolet,EUV)輻射。在一些實施方式 中,光阻層被選擇性地或圖案化地暴露於電子束。
如第3A圖所示,在一些實施方式中,曝光輻射45在照射光阻層15前先穿過光罩30。在一些實施方式中,光罩具有待複製至光阻層15的圖案。在一些實施方式中,上述圖案是由光罩基板40上的不透明圖案35所形成。不透明圖案35可由對紫外光輻射不透明的材料(例如鉻)所形成,而光罩基板40由對紫外光輻射透明的材料形成,例如熔融石英。
在一些實施方式中,使用極紫外光微影對光阻層15進行選擇性或圖案化曝光,以形成曝光區域50及未曝光區域52。在一些實施方式中,在極紫外光微影操作中,使用反射式光罩65來形成圖案化的曝光,如第3B圖所示。反射式光罩65包含低熱膨脹玻璃基板70,低熱膨脹玻璃基板70上具有由Si及Mo所形成的反射性多層75。覆蓋層80及吸收層85形成在反射性多層75上。後側導電層90形成在低熱膨脹基板70的背側。極紫外光輻射95以約6°的入射角被導向反射式光罩65。極紫外光輻射的一部分97被Si/Mo多層75反射至具有光阻塗佈的基板10,而入射在吸收層85的極紫外光輻射部分則被光罩吸收。在一些實施方式中,附加的光學裝置(包括鏡子)位於反射式光罩65及具有光阻塗佈的基板之間。
在一些實施方式中,通過將塗有光阻的基板置於光微影工具中來進行暴露於輻射。光微影工具包含光罩30/65、光學器件、以及用於在曝光輻射下支撐和移動基 板的可移動台。
在一些實施方式中,在輻射45/97被光罩30/65圖案化之前或之後,在光微影工具中使用光學器件(未圖示)來擴展、反射或以其他方式控制輻射。在一些實施方式中,光學器件包括一個或多個透鏡、反射鏡、濾光器及其組合,以沿其路徑控制輻射45/97。
在一些實施方式中,輻射是電磁輻射,例如g-線(g-line,波長約436nm)、i-線(i-line,波長約365nm)、紫外光輻射、遠紫外光輻射、極紫外光、電子束及其類似者。在一些實施方式中,輻射源是汞蒸氣燈、氙氣燈、碳弧燈、KrF準分子雷射(波長為248nm)、ArF準分子雷射(波長為193nm)、F2準分子雷射(波長為157nm),或CO2雷射激發的Sn電漿(極紫外光,波長為13.5nm)中的一種或多種。
電磁輻射的量可以通過注量(fluence)或劑量(dose)來表示,其是通過在曝露時間內的積分輻射通量獲得。在一些實施方式中,合適的輻射通量為約1mJ/cm2至約150mJ/cm2,在其他實施方式中為約2mJ/cm2至約100mJ/cm2,且在其他實施方式中為約3mJ/cm2至約50mJ/cm2。本領域通常知識者將了解到,在上述明確範圍內的輻射通量的其他範圍是可預期的,並且在本揭示的實施方式範圍內。
在一些實施方式中,選擇性或圖案化曝光是通過掃描電子束來進行。利用電子束微影,電子束誘發二次電子, 從而改變被照射的材料。使用電子束微影和本揭示實施方式的含金屬的抗蝕劑(resist)可以實現高解析度。電子束可以由能量來表示,且在一些實施方式中,合適的能量範圍為約5V至約200kV(千伏),在其他實施方式中,為約7.5V至約100kV。在一些實施方式中,在30kV的接近校正光束劑量為約0.1μC/cm2至約5μC/cm2,在其他實施方式中為約0.5μC/cm2至約1μC/cm2,且在其他實施方式中為約1μC/cm2至約100μC/cm2。本領域通常知識者可基於本揭示實施方式的教導來計算在其他光束能量下的相應劑量,並且將了解到在上述明確範圍內的電子束性質的其他範圍是可預期的,並且在本揭示的實施方式範圍內。
光阻層暴露於輻射的區域50進行化學或結構反應,從而改變其在後續顯影操作S150中被去除的敏感性。在一些實施方式中,在顯影操作S150期間,光阻層暴露於輻射的區域50進行反應使得暴露的部分更容易去除。
接下來,在一些實施方式中,在操作S140中,光阻層進行第二加熱或曝光後烘烤(PEB)。在其他實施方式中,不執行曝光後烘烤。在一些實施方式中,將光阻層15加熱至約50℃至約1000℃的溫度約20秒至約120秒。在一些實施方式中,曝光後烘烤在室溫(25℃)或約100°C至約250℃範圍內的溫度,以及在其他實施方式中,在約150℃至約200℃範圍內的溫度下進行。
隨後在操作S150中,顯影經選擇性曝露的光阻層。 在一些實施方式中,通過將溶劑基顯影劑57施加到經選擇性曝露的光阻層來顯影光阻層15。如第4A圖所示,分配器62將液體顯影劑57供應到光阻層15。在一些實施方式中,由於暴露於光化輻射,光阻的曝露部分50經歷相變,顯影劑57移除光阻層的未曝露部分52,在光阻層15中形成開口的圖案55以曝露基板10,如第5圖所示。在其他實施方式中,光阻層的曝露部分52被顯影劑57去除。
在一些實施方式中,光阻顯影劑組成物57包含第一溶劑、酸或鹼。在一些實施方式中,一種或多種附加溶劑與第一溶劑一起使用。在一些實施方式中,基於光阻顯影劑組成物的總重量,第一溶劑的濃度為約60重量%至約99重量%。在一些實施方式中,基於顯影劑的總重量,附加溶劑的濃度為約1wt.%至約40wt.%。在一些實施方式中,附加溶劑是去離子水。
在一些實施方式中,第一種溶劑的漢森溶解度參數為5<δd<35、5<δp<35、及5<δh<45。漢森溶解度參數的單位為(焦耳/cm3)½或相等於MPa½,且是基於如下構想:一個分子被定義為與另一個分子相似,如果此分子以類似方式與其自身鍵合。δd是分子之間的分散力產生的能量。δp是分子之間的偶極分子間力產生的能量。δh是分子之間氫鍵的能量。可以將三個參數δd、δp和δh視為三個維度上稱為漢森空間的點的坐標。兩個分子在漢森空間中越近,它們彼此溶解的可能性就越大。
具有所需漢森溶解度參數的第一溶劑二甲亞碸 (dimethyl sulfoxide)、丙酮、乙二醇、甲醇、乙醇、丙醇、丙二醇、水、4-甲基-2-戊酮(4-methyl-2-pentanone)、過氧化氫、異丙醇及丁基二甘醇(butyldiglycol)。
在一些實施方式中,光阻顯影劑組成物57包含添加劑,其為酸或鹼。基於光阻顯影劑組成物的總重量,酸或鹼濃度為約0.01重量%至約30重量%。在某些實施例中,基於光阻顯影劑組成物的總重量,顯影劑中的酸或鹼濃度為約0.1重量%至約15重量%。在某些實施例中,基於光阻顯影劑組成物的總重量,顯影劑中的第二溶劑濃度為約1重量%至約5重量%。在所公開範圍之外的溶劑組分濃度下,顯影劑組成物性能和顯影效率可能降低,導致光阻圖案中的光阻殘留物和浮渣增加,以及線寬粗糙度(line width roughness)和線邊緣粗糙度(line edge roughness)增加。
在一些實施方式中,酸具有-45<pKa<6.9的酸解離常數pKa。在一些實施方式中,鹼的pKa為45>pKa>7.1。酸解離常數pKa是酸解離常數Ka的對數常數。Ka是溶液中酸強度的定性衡量。Ka是根據等式HA+H2O
Figure 110118322-A0305-02-0014-12
A-+H3O+酸解離的平衡常數,其中HA解離成其共軛鹼A-以及氫離子,此氫離子與水分子結合形成水合氫離子。解離常數可以表示為平衡濃度的比值:
Figure 110118322-A0305-02-0014-1
在大多數情況下,水的量是固定的,且上述方程式可以簡 化為HA
Figure 110118322-A0305-02-0015-8
A-+H+,以及
Figure 110118322-A0305-02-0015-2
對數常數pKa通過等式pKa=-log10(Ka)與Ka相關。pKa值越低,酸越強。相反,pKa值越高,則鹼越強。
在一些實施方式中,適用於光阻顯影劑組成物57的酸包括有機或無機酸,其為乙酸、乙二酸(草酸)、甲酸、2-羥基丙酸、2-羥基丁二酸、檸檬酸、尿酸、三氟甲磺酸(trifluoromethanesulfonic acid)、苯磺酸(benzenesulfonic acid)、乙磺酸(ethanesulfonic acid)、甲磺酸(methanesulfonic acid)、馬來酸(maleic acid)、碳酸(carbonic acid)、氧代乙酸(oxoethanoic acid)、2-羥基乙酸(2-hydroxyethanoic acid)、丙二酸(propanedioic acid)、丁二酸(butanedioic acid)、3-氧代丁酸(3-oxobutanoic acid)、羥胺-O-磺酸(hydroxylamine-O-sulfonic acid)、甲脒亞磺酸(formamidinesulfinic acid)、甲基磺胺酸(methylsulfamic acid)、磺基乙酸(sulfoacetic acid)、1,1,2,2-四氟乙磺酸(1,1,2,2-tetrafluoroethanesulfonic acid)、1,3-丙二磺酸(1,3-propanedisulfonic acid)、九氟丁烷-1-磺酸(nonafluorobutane-1-sulfonic acid)、5-磺基水楊酸(5-sulfosalicylic acid)及其組合中的一種 或多種。在一些實施方式中,用於光阻顯影劑組成物57的合適酸包括無機酸,其為HNO3、H2SO4、HCl或H3PO4或其組合中的一種或多種。
在一些實施方式中,用於光阻顯影劑組成物57的合適鹼包括有機鹼,其為乙醇胺(monoethanolamine)、單異丙醇胺(monoisopropanolamine)、2-氨基-2-甲基-1-丙醇(2-amino-2-methyl-1-propanol)、1H-苯並三唑(1H-benzotriazole)、1,2,4-三唑(1,2,4-triazole)、1,8-二氮雜二環十一碳-7-烯(1,8-diazabicycloundec-7-ene)、四正丁基氫氧化銨(tetrabutylammonium hydroxide)、四甲基氫氧化銨(tetramethylammonium hydroxide)、氫氧化銨(ammonium hydroxide)、氨基磺酸銨(ammonium sulfamate),氨基甲酸銨(ammonium carbamate)、四乙基氫氧化銨(tetraethylammonium hydroxide)或四丙基氫氧化銨(tetrapropylammonium hydroxide)或其組合中的一種或多種。
在一些實施方式中,光阻顯影劑57包含螯合物。在一些實施方式中,螯合物為乙二胺四乙酸(EDTA)、乙二胺-N,N'-二琥珀酸(EDDS)、二亞乙基三胺五乙酸(DTPA)、聚天冬氨酸(polyaspartic acid)、反式-1,2-環己二胺-N,N,N',N'-四乙酸一水合物(trans-1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid monohydrate)、乙二胺(ethylenediamine)、或 其組合、或其類似者中的一種或多種。在一些實施方式中,螯合物濃度為光阻顯影劑總重量的約0.001重量%至約15重量%。
在一些實施方式中,光阻顯影劑組成物57包含約0.00wt.%至約3wt.%的離子或非離子界面活性劑,以增加溶解度並降低基板上的表面張力。
在一些實施方式中,非離子界面活性劑具有A-X或A-X-A-X結構,其中A是未取代的或被氧或鹵素取代的、分支的或不分支的、環狀或非環狀的、飽和的C2-C100脂族或芳族基團,X包含一個或多個極性官能團選自由-OH、=O、-S-、-P-、-P(O2)、-C(=O)SH、-C(=O)OH、-C(=O)OR-、-O-、-N-、-C(=O)NH、-SO2OH、-SO2SH、-SOH、-SO2-、-CO-、-CN-、-SO-、-CON-、-NH-、-SO3NH-、及SO2NH組成的群組。在一些實施方式中,非離子界面活性劑是選自下群組中的一種或多種
Figure 110118322-A0305-02-0017-3
Figure 110118322-A0305-02-0018-11
其中n為重複單元的數量。
在一些實施方式中,界面活性劑包括一種或多種聚環氧乙烷(polyethylene oxide)或聚環氧丙烷(polypropylene oxide)選自下群組
Figure 110118322-A0305-02-0018-4
其中n是重複單元的數量;R、R1和R2相同或不同,為取代或未取代的脂肪族、脂環族或芳香族基團;EO/PO為環氧乙烷、環氧丙烷或環氧乙烷與環氧丙烷的共聚物。在一些實施方式中,R、R1和R2是取代或未取代的C1-C25烷基、C1-C25芳基或C1-C25芳烷基或其類似者。
離子界面活性劑是選自下群組的一種或多種
Figure 110118322-A0305-02-0018-9
Figure 110118322-A0305-02-0019-10
其中R是取代或未取代的脂族、脂環族或芳族基團。在一些實施方式中,R是取代或未取代的C1-C12烷基、C1-C12芳基或C1-C12芳烷基或其類似者。
在一些實施方式中,基於光阻顯影劑組成物的總重量,顯影劑57包括約0.001重量%至約10重量%的H2O2以提高性能。
在一些實施方式中,使用旋塗製程將顯影劑57施加到光阻層15。在旋塗製程中,顯影劑57從光阻層15上方施加到光阻層15,同時旋轉光阻塗覆的基板,如第4A圖所示。在一些實施方式中,以介於約5ml/min與約800ml/min之間的速率供給顯影劑57,而受到光阻塗佈的基板10以介於約100rpm與約2000rpm之間的速度旋轉。在一些實施方式中,在顯影操作中,顯影劑的溫度為約25℃至約75℃。在一些實施方式中,顯影操作持續約10秒至約10分鐘。
雖然旋塗操作是在曝光之後用於顯影光阻層15的一種合適方法,但其為說明性的,而非旨在限制實施方式。可以替代地使用任何合適的顯影操作,包括浸漬製程、熔池製程(puddle processes)及噴塗方法。這些顯影操作 全部包括在實施方式的範圍內。
在一些實施方式中在顯影製程中,顯影劑組成物57溶解未曝露於輻射(即,未相變)的光阻區域52,暴露基板10的表面,如第5圖所示,並留下良好定義之受到曝光的光阻區域50,其具有較傳統負型光阻光微影所提供的清晰度更高的清晰度。在其他實施方式中,顯影劑組成物57溶解曝露於輻射的光阻區域50(即,正型光阻)。
在顯影操作S150後,從圖案化後並受到光阻覆蓋的基板上移除殘餘的顯影劑。在一些實施方式中,使用旋轉乾燥製程移除殘餘的顯影劑,然而使用任何合適的移除技術皆可使用。在顯影光阻層15並移除殘餘的顯影劑後,在圖案化的光阻層15就位的同時進行另外的加工處理。舉例而言,在一些實施方式中,使用乾式或濕式蝕刻來進行蝕刻操作,以將光阻層15的圖案轉移到下面的基板10,形成如第6圖所示圖案55’。基板10與光阻層15具有不同的抗蝕刻能力。在一些實施方式中,蝕刻劑對基板10的選擇性比光阻層15更高。
在一些實施方式中,基板10及光阻層15包含至少一種抗蝕刻性分子。在一些實施方式中,抗蝕刻性分子包括具有低大西數(onishi number)的結構、雙鍵、三鍵、矽、氮化矽、鈦、氮化鈦、鋁、氧化鋁、氮氧化矽、其組合或其類似者的分子。
在一些實施方式中,將乾顯影劑105施加到選擇性曝光的光阻層15,如第4B圖所示。在一些實施方式中, 乾顯影劑105為電漿或化學蒸氣,並且乾式顯影操作S150是電漿蝕刻或化學蝕刻操作。乾顯影使用與結構、結晶相相關的差異來選擇性地去除所欲去除的抗蝕劑部分。在一些實施方式中,乾式顯影製程在加熱真空室中使用溫和的電漿(高壓、低功率)或熱處理,同時流動乾顯影化學物質,其為至少一種選自Cl2、CHCl3、CH2Cl2、CH4、CF4、N2、BCl3、CCl4、HCl、O2、NF3、NH3、N2H2、HBr及NO2的群組。在一些實施方式中,乾顯影劑為BCl3並且BCl3去除未曝光的材料,留下曝光膜的圖案,通過基於電漿的蝕刻製程轉移到下面的層中。
在一些實施方式中,乾式顯影包含電漿製程,包括變壓器耦合電漿(TCP)、電感耦合電漿(ICP)或電容耦合電漿(CCP)。在一些實施方式中,電漿製程在約5mTorr至約20mTorr的壓力、約250W至約1000W的功率水平、約0℃至約300℃的溫度、及約100至約1000sccm的流速下進行約1至約3000秒。
在顯影操作之後,在圖案化光阻層15就位的同時執行附加處理。例如,在一些實施方式中,使用乾式或濕式蝕刻進行蝕刻操作,以將光阻層15的圖案轉移到下面的基板10,形成如第6圖所示的凹槽55'。基板10具有與光阻層15不同的耐蝕刻性。在一些實施方式中,蝕刻劑對基板的選擇性比光阻層15更大。
在一些實施方式中,在蝕刻操作期間至少部分地去除曝光的光阻層15。在其他實施方式中,在蝕刻基板10 後,通過選擇性蝕刻,使用合適的光阻剝離溶劑或通過光阻灰化操作來移除曝光的光阻層15。
在一些實施方式中,基板10包括位於至少在其表面部分上的單晶半導體層。基板10可包括單晶半導體材料,例如但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在一些實施方式中,基板10是絕緣體上矽(silicon-on insulator,SOI)基板的矽層。在特定實施方式中,基板10由晶體Si製成。
基板10可包括位於其表面區域中的一個或多個緩衝層(未示出)。緩衝層可以用於將晶格常數從基板的晶格常數逐漸改變為隨後形成的源/汲區的晶格常數。緩衝層可以由外延生長的單晶半導體材料形成,例如但不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP及InP。在一實施方式中,在矽基板10上磊晶生長矽鍺(SiGe)緩衝層。SiGe緩衝層的鍺濃度可從最底部緩衝層的30原子%增加到最頂部緩衝層的70原子%。
在一些實施方式中,基板10包含具有式MXa的至少一種金屬、金屬合金和金屬-氮化物/硫化物/氧化物/矽化物的一層或多層,其中M是金屬,X是N、S、Se、O、Si,aj為約0.4至約2.5。在一些實施方式中,基板10包含鈦、鋁、鈷、釕、氮化鈦、氮化鎢、氮化鉭及其組合。
在一些實施方式中,基板10包含具有式MXb的矽或金屬氧化物或氮化物的介電材料,其中M為金屬或Si,X為N或O,b為約0.4至約2.5。在一些實施方式中,基板10包含二氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭及其組合。
在一些實施方式中,底部抗反射塗層(BARC)層形成在基板和金屬光阻層15之間。
光阻層是通過曝露於光化輻射而被圖案化的光敏層。一般而言,受到入射輻射撞擊的光阻區域的化學和/或結構特性會取決於所使用的光阻類型的方式而變化光阻層是正型光阻或負型光阻。正型光阻是一種指光阻材料,當顯影時,光阻層曝露於光化輻射(例如,UV光)的部分被去除,而未曝光(或曝光較少)的光阻區域在顯影操作後保留在基板上。另一方面,負型光阻是一種指光阻材料,當顯影時,光阻曝露於光化輻射的部分保留在基板上,而未曝光(或曝光較少)的光阻區域在顯影操作期間被去除。
在一些實施方式中,金屬光阻層15為金屬合金層或兩層或多層金屬元素,包含選自Ag、Cd、In、Sn、Sb、Te、Cs、Au、Hg、Tl、Pb、Bi、Po和At所組成的群組中的至少兩種或多種。在一些實施方式中,合金層是二元合金或三元合金。在一些實施方式中,金屬光阻層15不含有機材料且不含有機或無機聚合物。
在一些實施方式中,當金屬光阻層15的合金層曝露於EUV或DUV輻射時,合金層的曝露部分改變其相位 (phase),導致暴露區域和未暴露區域之間對顯影劑的不同溶解速率和/或蝕刻速率。在一些實施方式中,合金層將其相位從非晶相或兩個單獨的層轉變為結晶相或一層的多晶相。在一些實施方式中,暴露於EUV或DUV輻射將結構的暴露部分完全改變為結晶(crystalline)或多晶(polycrystalline),並且在其他實施方式中,暴露僅將結構的暴露部分部分地改變為結晶或多晶(統稱為結晶),例如50%至小於100%。
在一些實施方式中,金屬光阻層15為Sn和Sb的合金。在一些實施方式中,Sn-Sb合金的雜質水平(例如,附加元素)小於0.01原子%(包括零)。在某些實施方式中,合金包括約47-49原子%的Sn和約51-53原子%的Sb。當Sn-Sb合金層暴露於EUV或DUV輻射時,合金層將其相位從非β(SnSb)結晶相(例如非晶相)變為β(SnSb)結晶相。在一些實施方式中,Sn-Sb合金在室溫(25℃)或約350℃至約450℃範圍內的溫度下形成或退火。在一些實施方式中,沉積的金屬光阻層15包含一層或多層交替的Sn層和一層或多層Sb,並且通過EUV或DUV輻射,這些層變成如上所述的結晶。
在一些實施方式中,合金包含約56-58原子%的Sn和約42-44原子%的Sb。當Sn-Sb合金層暴露於EUV或DUV輻射時,合金層將其相位從非Sb2Sn3結晶相(例如非晶相)轉變為Sb2Sn3結晶相。在一些實施方式中,Sn-Sb合金在室溫(25℃)或約325℃至約400℃範圍 內的溫度下形成或退火。在一些實施方式中,沉積的金屬光阻層15包括一層或多層交替的Sn和Sb層,並且通過EUV或DUV輻射,這些層變成如上所述的結晶。
在一些實施方式中,金屬光阻層15為Sn和Ag的合金。在一些實施方式中,Sn-Ag合金的雜質水平(例如,附加元素)小於0.01原子%(包括零)。在某些實施方式中,合金包括約9-16原子%的Sn和約84-91原子%的Ag。當Sn-Ag合金層暴露於EUV或DUV輻射時,合金層將其相位從非ζ(Ag4Sn)結晶相(例如非晶相)變為ζ(Ag4Sn)結晶相。在一些實施方式中,Sn-Ag合金在室溫(25℃)或在約600℃至約800℃範圍內的溫度下形成或退火。在一些實施方式中,沉積的金屬光阻層15一層或多層Sn和一層或多層Ag,並且通過EUV或DUV輻射,這些層變成如上所述的結晶。
在一些實施方式中,合金包含約24-26原子%的Sn和約74-76原子%的Ag。當Sn-Ag合金層暴露於EUV或DUV輻射時,合金層將其相位從非Ag3Sn結晶相(例如非晶相)變為Ag3Sn結晶相。在一些實施方式中,Sn-Ag合金在室溫(25℃)或約480℃至約725℃範圍內的溫度下形成或退火。在一些實施方式中,沉積的金屬光阻層15包含一層或多層Sn和一層或多層Ag,並且通過EUV或DUV輻射,這些層變成如上所述的結晶。
在一些實施方式中,金屬光阻層15為Sn和In的合金。在一些實施方式中,Sn-In合金的雜質水平(例 如,附加元素)小於0.01原子%(包括零)。在某些實施方式中,合金包含約17-28原子%的Sn和約72-83原子%的In。當Sn-In合金層暴露於EUV或DUV輻射時,合金層將其相位從非β(In4Sn)結晶相(例如非晶相)變為β(In4Sn)結晶相。在一些實施方式中,Sn-In合金在室溫(25℃)或約120℃至約150℃範圍內的溫度下形成或退火。在一些實施方式中,沉積的金屬光阻層15包含一層或多層交替的Sn和In層,並且通過EUV或DUV輻射,這些層變成如上所述的結晶。
在一些實施方式中,合金包含約72-86原子%的Sn和約14-28原子%的In。當Sn-In合金暴露於EUV或DUV輻射時,合金層將其相位從非γ(InSn4)結晶相(例如非晶相)變為γ(InSn4)結晶相。在一些實施方式中,Sn-Ag合金在室溫(25℃)或約130℃至約225℃範圍內的溫度下形成或退火。在一些實施方式中,沉積的金屬光阻層15包含一層或多層交替的Sn和In層,並且通過EUV或DUV輻射,這些層變成如上所述的結晶。在一些實施方式中,在EUV或DUV輻射期間,在室溫或約50℃至約130℃的溫度下加熱光阻層。
在一些實施方式中,金屬光阻層15為Sn和Te的合金。在一些實施方式中,Sn-Te合金的雜質水平(例如,附加元素)小於0.01原子%(包括零)。在某些實施方式中,合金包含約48-52原子%的Sn和約48-52原子%的Te。當Sn-Te合金層暴露於EUV或DUV輻射時,合 金層將其相位從非SnTe結晶相(例如非晶相)變為SnTe結晶相。在一些實施方式中,Sn-Te合金在室溫(25℃)或約500℃至約1000℃範圍內的溫度下形成或退火。在一些實施方式中,沉積的金屬光阻層15包含一層或多層交替的Sn和Te層,並且通過EUV或DUV輻射,這些層變成如上所述的結晶。
在一些實施方式中,金屬光阻層15為Ag和Sb的合金。在一些實施方式中,Ag-Sb合金的雜質水平(例如,附加元素)小於0.01原子%(包括零)。在某些實施方式中,合金包含約9-16原子%的Sb及約84-91原子%的Ag。當Ag-Sb合金層暴露於EUV或DUV輻射時,合金層將其相位從非ζ(Ag4Sb)結晶相(例如非晶相)變為ζ(Ag4Sb)結晶相。在一些實施方式中,Ag-Sb合金在室溫(25℃)或在約550℃至約700℃範圍內的溫度下形成或退火。在一些實施方式中,沉積的金屬光阻層15包含一層或多層交替的Ag和Sb層,並且通過EUV或DUV輻射,這些層變成如上所述的結晶。
在一些實施方式中,合金包含約22-26原子%的Sb及約74-78原子%的Ag。當Ag-Sb合金層暴露於EUV或DUV輻射時,合金層將其相位從非ε’(Ag3Sb)結晶相(例如非晶相)變為ε’(Ag3Sb)結晶相。在一些實施方式中,Ag-Sb合金在室溫(25℃)或在約440℃至約550℃範圍內的溫度下形成或退火。在一些實施方式中,沉積的金屬光阻層15包含一層或多層交替的Ag及Sb層, 並且通過EUV或DUV輻射,這些層變成如上所述的結晶。
在一些實施方式中,金屬光阻層15為Sn、Ag和Sb的合金。在一些實施方式中,Sn-Ag-Sb合金的雜質水平(例如,附加元素)小於0.01原子%(包括零)。在某些實施方式中,合金包含約0.1-75原子%的Ag,其餘為Sb和Sn。當Sn-Ag-Sb合金層暴露於EUV或DUV輻射時,合金層改變其抗蝕刻性、光吸收、溶解速率和/或相位穩定性。在一些實施方式中,沉積的金屬光阻層15包含一層或多層交替的Ag、Sn和Sb層,並且通過EUV或DUV輻射,這些層如上所述改變其相位。
第7A圖及第7B圖顯示根據本揭示的一實施方式之形成金屬光阻層15於基板上的各種沉積方法。
在一些實施方式中,如第7A圖所示,金屬光阻層15是二元體系,並且沉積層包括第一金屬(例如Sn)的第一層和隨後在第一層上形成的第二金屬(例如Sb或Ag)的第二層。在一些實施方式中,第一層和第二層通過CVD、ALD或PVD形成。在一些實施例中,用於CVD和ALD的前體是有機金屬化合物。在一些實施方式中,用於CVD和ALD的前驅物是有機金屬化合物。在一些實施方式中,第一層和第二層的厚度分別在約1nm至約50nm的範圍內,並且在其他實施例中,分別在約2nm至約20nm的範圍內,並且在其他實施例中,分別在約2nm至約20nm的範圍內。調整第一層(例如,Sn)和第二層(例如,Sb或Ag)的厚度比以獲得如上所述的所需元素比。例如,為了獲得包含約 47-49原子%的Sn和約51-53原子%的Sb的合金,Sn層的厚度與Sb層的厚度的比率為約47:53至約49:51。第一層和第二層的沉積順序可以互換。
在一些實施方式中,如第7B圖所示,金屬光阻層15是二元體系,並且沉積層包含交替形成的兩個或多個第一金屬(例如Sn)的第一層和兩個或多個第二金屬(例如Sb或Ag)的第二層。在一些實施方式中,第一層和第二層通過CVD、ALD或PVD形成。在一些實施方式中,用於CVD和ALD的前驅物是有機金屬化合物。在一些實施方式中,第一層和第二層中的每一層的厚度分別在約0.5nm至約25nm的範圍內,並且在其他實施方式中分別在約1nm至約10nm的範圍內。調整第一層(例如,Sn)的總厚度與第二層(例如,Sb或Ag)的總厚度的比率以獲得如上所述的所需元素比率。例如,為了獲得包含約47-49原子%的Sn和約51-53原子%的Sb的合金,Sn層的總厚度與Sb層的總厚度之比約為47:53至大約49:51。第一層和第二層的沉積順序可以互換。在一些實施方式中,第一層和/或第二層的厚度不同。在一些實施方式中,層的總數為三到十。
在一些實施方式中,如第7C圖所示,金屬光阻層15是二元體系,並且採用第一金屬和第二金屬的合金靶材通過濺射方法形成。合金具有如上所述的所需元素比率。在一些實施方式中,金屬光阻層15的厚度在約1nm至約100nm的範圍內,在其他實施方式中,在約2nm至約 20nm的範圍內。在一些實施方式中,金屬光阻層15是非晶態。
在一些實施方式中,如第7D圖所示,金屬光阻層15是二元體系,由第一金屬的第一靶材和第二金屬的第二靶材通過濺射法形成。通過調整濺射條件(濺射時間/功率對應各個靶材),在基板上形成的合金層具有如上所述的所需元素比率。在一些實施方式中,金屬光阻層15的厚度在約1nm至約100nm的範圍內,在其他實施方式中,在約2nm至約20nm的範圍內。在一些實施方式中,金屬光阻層15是非晶態。在一些實施方式中,分別使用第一金屬和第二金屬的前驅物通過CVD方法形成第一元素和第二元素的合金的金屬光阻層15。在一些實施方式中,前驅物為有機金屬化合物。
當目標金屬光阻層15為三元體系時,在第7A圖和第7B圖中形成三層各元素,在第7C圖中使用三元合金靶材,並且在第7D圖中使用三種不同的靶材或三種不同的前驅物。
在一些實施方式中,通過氣相沉積操作執行沉積金屬光阻的操作S110。在一些實施方式中,氣相沉積操作包含原子層沉積(ALD)或化學氣相沉積(CVD)。在一些實施方式中,原子層沉積包含電漿增強原子層沉積(PE-ALD),且化學氣相沉積包含電漿增強化學氣相沉積(PE-CVD)、有機金屬化學氣相沉積(MO-CVD);常壓化學氣相沉積(AP-CVD)、及低壓化學氣相沉積(LP-CVD)。沉積金屬 光阻層包含在蒸氣態(vapor state)結合第一化合物或第一前驅物與第二化合物或第二前驅物(或第三前驅物或更多)以形成金屬光阻層。在一些實施方式中,金屬光阻層的第一化合物或第一前驅物及第二化合物或第二前驅物大約同時被引入沉積腔室(CVD腔室)。在一些實施方式中,第一化合物或第一前驅物及第二化合物或第二前驅物以交替的方式引入沉積腔室205(ALD腔室),也就是,首先將一種化合物或前驅物,接著是第二種化合物或前驅物,然後交替地重複引入一種化合物或前驅物,隨後是第二種化合物或前驅物。
根據本揭示一些實施方式的CVD製程中,將兩種或更多種有機金屬前驅物及第二前驅物的氣流由分開的入口路徑引入化學氣相沉積設備的沉積腔室中,使其在氣相中混和及反應,以形成反應產物。在一些實施方式中,使用分開的注入進氣口或雙增壓噴頭(dual-plenum showerhead)引入氣流。沉積設備被配置為使得有機金屬前驅物及第二前驅物的氣流在腔室中混和,使得有機金屬前驅物及第二前驅物反應已形成反應產物。在不限制本揭示的實施方式的機制、功能或用途的情況下,相信來自氣相反應的產物的分子量變得更重,接著被凝結(condensed)或以其他方式沉積到基板上。
在一些實施方式中,原子層沉積製程用於沉積光阻層。在原子層沉積期間,通過將基板表面曝露於交替的氣態化合物(或前驅物)而生長一層在基板上。在原子層沉積 中,前驅物作為一系列順序的、不重疊的脈衝引入。在這些脈衝中的每一個中,前驅物分子以自限方式與表面反應,因此一旦表面上所有的反應性位點都被消耗掉,反應就會終止。因此,在一次曝露於所有前驅物(所謂的ALD循環)之後,沉積在表面上的最大材料量取決於前驅物與表面的相互作用的性質。
在原子層沉積製程的一個實施方式中,在前半部分反應中,將有機金屬前驅物脈衝化,以將含金屬前驅物遞送至基板表面。在一些實施方式中,有機金屬前驅物與合適的底層物質(underlying species)反應,以形成新的自飽和(self-saturating)表面。在一些實施方式中,通過使用真空和/或通過使惰性吹掃氣體流動來去除過量未使用的反應物和反應副產物。然後,在一些實施方式中,第二前驅物被脈衝到沉積室。第二前驅物與基板上的有機金屬前驅物反應,以獲得反應產物光阻在基板表面上。第二前驅物也與底層反應性物質形成自飽和鍵,以提供另一自限及飽和的後半部分反應。在一些實施方式中,進行第二次吹掃以除去未使用的反應物和反應副產物。第一前驅物及第二前驅物的脈衝與中間的吹掃操作交替進行,直到獲得所需的光阻層15厚度。
在一些實施方式中,氣相沉積是包括濺射的物理氣相沉積(PVD)。在濺射方法中,用於所需金屬元素或合金的一種或多種金屬或合金靶材被放置在真空腔室中。通過電子束、離子束和/或電漿濺射靶材以產生靶材料的蒸汽並 且蒸汽沉積在基板上。
在一些實施方式中,在沉積操作期間,CVD、ALD或PVD的沉積腔室溫度為約25℃至約1000℃,而在其他實施方式中,溫度為約150℃至約750℃之間。在沉積操作期間,沉積腔室中的壓力為約5mTorr至約100Torr,而在其他實施方式中,壓力為約100mTorr至約10Torr。在一些實施方式中,電漿功率小於約1000W。在一些實施方式中,電漿功率為約100W至約900W。在一些實施方式中,第一化合物或前驅物及第二化合物或前驅物的流速為約100sccm至約1000sccm。在超出上述範圍的操作參數下,在一些實施方式中會產生不令人滿意的光阻層。在一些實施方式中,光阻層的形成發生在單一腔室中(單鍋(one-pot)層形成)。
在一些實施方式中,在沉積之後,沉積膜可選地在約40℃和約1000℃範圍內的溫度下進行約10秒至約10分鐘的退火操作,在其他實施方式中,在惰性氣體(Ar、He和/或N2)環境中,加熱溫度在約250℃至800℃的範圍內。退火操作可以減少或去除沉積膜中的缺陷。在一些實施方式中,在退火操作期間施加約1000Torr至約10000Torr範圍內的壓力。
第8A圖及第8B圖顯示根據本揭示的一實施方式之通過EUV或DUV曝光的金屬光阻層15的相變。
在一些實施方式中,如第8A圖所示,第7A圖所示的雙層抗蝕劑或第7B圖所示的多層抗蝕劑形成在基板 上或基板上待圖案化的目標層上。在通過EUV或DUV輻射曝光之後,根據第一金屬和第二金屬的比率(例如,厚度比率),對應於遮罩圖案的暴露區域改變為如上所述的結晶相。結晶區域與未曝光區域相比具有不同的蝕刻速率或對濕和/或乾顯影劑的溶解速率,並且在顯影之後在基板上形成對應於光罩的圖案。
在一些實施方式中,如第8B圖所示,如第7C圖或第7D圖所示的非晶層形成於基板上或基板上待圖案化的目標層上。在通過EUV或DUV輻射曝光之後,根據第一金屬和第二金屬的比率(例如,厚度比率),對應於遮罩圖案的暴露區域變為如上所述的結晶相。結晶區域與未曝光區域相比具有不同的蝕刻速率或對濕和/或乾顯影劑的溶解速率,並且在顯影之後在基板上形成對應於光罩的圖案。
在一些實施方式中,在EUV或DEV曝光期間,金屬光阻可選地在約40℃至約1000℃的溫度範圍內進行加熱操作,在其他實施方式中,加熱溫度在約150℃至300℃的範圍內。在一些實施方式中,在曝光操作期間向金屬光阻施加約1Torr至約100Torr(He和/或H2)的壓力。
第9A圖至第9J圖顯示根據本揭示的實施方式之半導體裝置之順序製造操作之各個階段。應當理解,可以在第9A圖至第9J圖所示的製程之前、期間和之後提供額外的操作,並且對於此方法的額外實施例,下面描述的一 些操作被替換或消除。操作/製程的順序可以互換。與第1圖至第8B圖描述的前述實施例相同或相似的材料、配置、尺寸和/或製程可用於以下實施例中,並且可省略其詳細說明。
第9A圖至第9F圖顯示正型顯影劑的情況下的操作。如第9A圖所示,形成待圖案化的目標層12在10上。在一些實施方式中,目標層12是導電層,例如金屬或金屬層(Ti、TiN、Ta、TaN、W、Cu、Al、Co、Ni、Mo、Ru或其合金,或任何合適的半導體製造中使用的導電材料)或半導體層(非晶、多晶或結晶Si、SiGe或Ge,摻雜或非摻雜,或任何適用於半導體製造的半導體材料)或介電層,例如氧化矽、氮化矽、SiON、SiOC、SiOCN、SiCN、氧化鉿、氧化鋁或用於半導體製造的任何合適的介電材料。在一些實施方式中,遮罩層14形成在目標層12上。在一些實施方式中,遮罩層14包含介電材料、半導體材料或比目標層12的抗蝕刻性足夠高的導電材料。在一些實施方式中,遮罩層14有機底部抗反射塗層(BARC)。此外,如上所述,在遮罩層14上形成金屬光阻層15。
之後,如第9B圖所示,通過具有電路圖案的光罩反射或穿過的EUV或DUV輻射對金屬光阻層15進行曝光操作。之後,如第9C圖所示,暴露的金屬光阻層15通過濕顯影劑或乾顯影被顯影和去除。接著,如第9D圖所示,通過使用圖案化的金屬光阻層15作為蝕刻遮罩來圖案化遮罩層14。在一些實施方式中,然後通過使用合適的濕 或乾蝕刻劑去除金屬光阻層15,如第9E圖所示。之後,使用圖案化遮罩層14作為蝕刻遮罩對目標層12進行圖案化,並去除遮罩層14,如第9F圖所示。在一些實施方式中,在不去除金屬光阻層15的情況下圖案化目標層12。在一些實施方式中,在圖案化目標層12之後不去除圖案化遮罩層14。
第9G圖至第9J圖顯示負型顯影劑的情況下的操作。如第9G圖所示,金屬光阻層15的未曝光區域通過濕顯影劑或乾顯影被顯影和去除。第9H圖、第9I圖、第9J圖的操作與第9D圖、第9E圖、第9F圖的操作相同。
第10圖至第14圖顯示根據本揭示實施方式的半導體裝置之順序製造操作之各個階段。應當理解,可以在第10圖至第14圖所示的製程之前、期間和之後提供額外的操作,並且對於此方法的額外實施例,下面描述的一些操作被替換或消除。操作/製程的順序可以互換。與第1圖至第9F圖描述的前述實施例相同或相似的材料、配置、尺寸和/或製程可用於以下實施例中,並且可省略其詳細說明。
在一些實施方式中,在形成光阻層之前,在基板上設置待圖案化層(目標層)60,如第10圖所示。在一些實施方式中,待圖案化層60是設置在金屬化層上方的金屬化層或介電層,例如硬遮罩層、層間介電層或鈍化層。在其他實施方式中,目標層是由有機聚合物製成的底部抗反射塗層(BARC)層。在待圖案化層60為金屬化層的實施方式 中,待圖案化層60由使用金屬化製程及金屬沉積技術的導電材料形成,包含使用化學氣相沉積、原子層沉積及物理氣相沉積(濺射)。同樣地,如果待圖案化層60為介電層,待圖案化層60是由介電層形成技術形成,包含熱氧化、化學氣相沉積、原子層沉積及物理氣相沉積。
光阻層15隨後選擇性地曝露於光化輻射45以在光阻層中形成曝光區域50及未曝光區域52,如第11A圖及第11B圖所示,並在此結合第3A圖及第3B圖進行描述。如本揭示之實施方式所述,在一些實施方式中,光阻為負型光阻。
如第12A圖所示,通過從分配器62分配顯影劑57來顯影未曝光光阻區域52,或通過乾顯影操作,如第12B圖所示,以形成光阻圖案55,如第13圖所示。此處的顯影操作類似於本文第4A圖、第4B圖和第5圖所揭示的顯影操作。
之後,如第14圖所示,使用蝕刻操作將光阻層15中的圖案55轉移至待圖案化層60,並去除光阻層,如第6圖所述在待圖案化層60中形成圖案55”。
其他實施方式包括在上述操作之前,之中或之後的其他操作。在多個實施方式中,在此揭示的方法包括形成鰭式場效應電晶體(FinFET)結構。在一些實施方式中,複數個主動鰭(active fins)形成於半導體基板上。這些實施方式更包括透過圖案化硬遮罩的開口蝕刻基板,以形成基板中的溝槽;以介電材料填充溝槽;執行化學機械研 磨(CMP)製程以形成淺溝槽隔離(STI)特徵;以及外延生長或凹陷STI特徵以形成鰭狀主動區。在一些實施方式中,一或多個閘極電極形成於基板上。一些實施方式包括形成用於閘極/源極/汲極特徵的閘極間隔物、摻雜的源極/汲極區域、接觸。在其他實施方式中,將形成靶圖案以作為多層互連結構中的金屬線。舉例而言,金屬線可以形成在基板的層間介電質(ILD)層中,此層已被蝕刻以形成複數個溝槽。導電材料例如金屬可填充於溝槽中;以及可使用例如化學機械平坦化(CMP)之類的製程來研磨導電材料以曝露出圖案化的ILD層,從而在ILD層中形成金屬線。以上是可以使用本文敘述的方法進行製造及/或改進的裝置/結構的非限制性示例。
在一些實施方式中,形成主動元件例如二極管、場效電晶體(FET)、金屬氧化物半導體場效電晶體(MOSFET)、互補金屬氧化物半導體(CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體、FinFET、其他三維(3D)FET、金屬氧化物半導體場效應電晶體(MOSFET)、互補金屬氧化物半導體(CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體、其他記憶單元及其組合,根據本揭示的實施方式。
將理解的是,並非所有的優點在此都必須討論,所有實施例或示例都不需要特別的優點,並且其他實施例或示例可以提供不同的優點。
如本揭示實施方式所公開的金屬光阻層可用於形 成尺寸為約5nm至約40nm且具有低線寬粗糙度(LWR)和高蝕刻選擇性的圖案,與基於聚合物的光阻相比,提高了約20%。
根據本揭示的一態樣,在製造半導體裝置的方法中,形成金屬光阻層在待圖案化的目標層上,選擇性曝露金屬光阻層於光化輻射,以形成潛在圖案,以及通過施加顯影劑至受到選擇性曝露的金屬光阻層顯影潛在圖案,以形成圖案。金屬光阻層為兩種或多種金屬元素的合金層,並且選擇性曝露改變合金層的相位。在一個或多個前述或以下實施方式中,合金層在選擇性曝露前為非晶,且選擇性曝露使合金層變為結晶或多晶。在一個或多個前述或以下實施方式中,光化輻射為極紫外輻射。在一個或多個前述或以下實施方式中,合金層包含選自由Ag、Cd、In、Sn、Sb、Te、Cs、Au、Hg、Tl、Pb、Bi、Po及At所組成的群組中的兩種或多種。在一個或多個前述或以下實施方式中,合金層包含Sn及自由Sb、In、Te及Ag所組成的群組中的一種或多種。在一個或多個前述或以下實施方式中,金屬光阻層通過原子層沉積(ALD)、化學氣相沉積(CVD)或物理氣相沉積(PVD)沉積在目標層上。在一個或多個前述或以下實施方式中,金屬光阻層通過濺射作為PVD使用包括與合金層具有相同元素組成的合金的靶材沉積在目標層上。在一個或多個前述或以下實施方式中,金屬光阻層通過濺射作為PVD使用對應於合金層的兩種或多種金屬元素的兩種或多種靶材的沉積在目標層上。
根據本揭示的另一態樣,在製造半導體裝置的方法中,形成金屬光阻層在待圖案化的目標層上選擇性曝露金屬光阻層於光化輻射,以形成潛在圖案,以及通過施加顯影劑至受到選擇性曝露的金屬光阻層顯影潛在圖案,以形成圖案。金屬光阻層包含由第一金屬元素製成的第一層及由與第金屬元素不同的第二金屬元素製成的第二層的兩層或多層,以及選擇性曝露將兩層或多層轉換為第一金屬元素及第二金屬元素的合金層。在一個或多個前述或以下實施方式中,合金層為結晶或多晶。在一個或多個前述或以下實施方式中,第一金屬元素及第二金屬元素選自由Ag、Cd、In、Sn、Sb、Te、Cs、Au、Hg、Tl、Pb、Bi、Po及At所組成的群組。在一個或多個前述或以下實施方式中,第一金屬元素為Sn,且第二金屬元素選自由Sb、In、Te及Ag組成的群組中的一種。在一個或多個前述或以下實施方式中,金屬光阻層通過原子層沉積(ALD)、化學氣相沉積(CVD)或物理氣相沉積(PVD)沉積在目標層上。在一個或多個前述或以下實施方式中,由一層第一金屬元素及一層第二金屬元素組成。在一個或多個前述或以下實施方式中,金屬光阻層由兩層或多層第一金屬元素及一層或多層第二金屬元素組成。
根據本揭示的另一態樣,在製造半導體裝置的方法中,形成金屬光阻層在待圖案化的目標層上,選擇性曝露金屬光阻層於光化輻射,以形成潛在圖案,以及通過施加顯影劑至受到選擇性曝露的金屬光阻層顯影潛在圖案, 以形成圖案。金屬光阻層包含一層或多層金屬或金屬合金層,以及一層或多層金屬或金屬合金層包含選自由In、Sn、Sb、Te及Ag所組成的群組中的兩種或多種。在一個或多個前述或以下實施方式中,顯影劑為液體顯影劑,包含一種或多種溶劑選自由二甲亞碸、丙酮、乙二醇、甲醇、乙醇、丙醇、丙二醇、水、4-甲基-2-戊酮、過氧化氫、異丙醇及丁基二甘醇所組成的群組。在一個或多個前述或以下實施方式中,液體顯影劑還包含一種或多種酸選自由乙酸、乙二酸、甲酸、2-羥基丙酸、2-羥基丁二酸、檸檬酸、尿酸、三氟甲磺酸、苯磺酸、乙磺酸、甲磺酸、草酸、馬來酸、碳酸、氧代乙酸、2-羥基乙酸、丙二酸、丁二酸、3-氧代丁酸、羥胺-O-磺酸(hydroxylamine-O-sulfonic acid)、甲脒亞磺酸(formamidinesulfinic acid)、甲基磺胺酸(methylsulfamic acid)、磺基乙酸、1,1,2,2-四氟乙磺酸、1,3-丙二磺酸、九氟丁烷-1-磺酸、5-磺基水楊酸、HNO3、H2SO4、HCl及H3PO4所組成的群組。在一個或多個前述或以下實施方式中,液體顯影劑還包含一種或多種鹼選自由乙醇胺、單異丙醇胺、2-氨基-2-甲基-1-丙醇、1H-苯並三唑、1,2,4-三唑、1,8-二氮雜二環十一碳-7-烯(1,8-diazabicycloundec-7-ene)、四正丁基氫氧化銨、四甲基氫氧化銨、氫氧化銨、氨基磺酸銨,氨基甲酸銨、四乙基氫氧化銨及四丙基氫氧化銨所組成的群組。液體顯影劑還包含一種或多種鹼選自由乙醇胺、單異丙醇胺、2-氨基-2-甲基-1-丙醇、1H-苯並三唑、1,2,4- 三唑、1,8-二氮雜二環十一碳-7-烯(1,8-diazabicycloundec-7-ene)、四正丁基氫氧化銨、四甲基氫氧化銨、氫氧化銨、氨基磺酸銨,氨基甲酸銨、四乙基氫氧化銨及四丙基氫氧化銨所組成的群組。在一個或多個前述或以下實施方式中,顯影劑為氣體顯影劑,包含選自由Cl2、CHCl3、CH2Cl2、CH4、CF4、N2、BCl3、CCl4、HCl、O2、NF3、NH3、N2H2、HBr及NO2所組成的群組中的至少一種。
根據本揭示的另一態樣,光阻顯影劑包含漢森溶解度參數為5<δd<35、5<δp<35、及5<δh<45的第一溶劑、具有-45<pKa<6.9的酸解離常數pKa的酸、或pKa為45>pKa>7.1的鹼、及螯合物。在一個或多個前述或以下實施方式中,基於光阻顯影劑組成物的總重量,第一溶劑的濃度為約70重量%至約99重量%。在一個或多個前述或以下實施方式中,基於光阻顯影劑組成物的總重量,酸或鹼濃度為約0.001重量%至約30重量%。在一個或多個前述或以下實施方式中,基於光阻顯影劑組成物的總重量,螯合物的濃度為0.001重量%至約15重量%。在一個或多個前述或以下實施方式中,光阻顯影劑更包含界面活性劑。在一個或多個前述或以下實施方式中,基於光阻顯影劑組成物的總重量,界面活性劑的濃度為0.001重量%至3重量%。在一個或多個前述或以下實施方式中,光阻顯影劑更包含過氧化氫。在一個或多個前述或以下實施方式中,第一溶劑為選自由二甲亞碸、丙 酮、乙二醇、甲醇、乙醇、丙醇、丙二醇、水、4-甲基-2-戊酮、過氧化氫、異丙醇及丁基二甘醇所組成的群組中的至少一種。在一個或多個前述或以下實施方式中,光阻顯影劑包含酸,選自由乙酸、乙二酸、甲酸、2-羥基丙酸、2-羥基丁二酸、檸檬酸、尿酸、三氟甲磺酸、苯磺酸、乙磺酸、甲磺酸、草酸、馬來酸、碳酸、氧代乙酸、2-羥基乙酸、丙二酸、丁二酸、3-氧代丁酸、羥胺-O-磺酸(hydroxylamine-O-sulfonic acid)、甲脒亞磺酸(formamidinesulfinic acid)、甲基磺胺酸(methylsulfamic acid)、磺基乙酸、1,1,2,2-四氟乙磺酸、1,3-丙二磺酸、九氟丁烷-1-磺酸、5-磺基水楊酸、HNO3、H2SO4、HCl及H3PO4所組成的群組中的至少一種。在一個或多個前述或以下實施方式中,光阻顯影劑包含鹼,選自由乙醇胺、單異丙醇胺、2-氨基-2-甲基-1-丙醇、1H-苯並三唑、1,2,4-三唑、1,8-二氮雜二環十一碳-7-烯(1,8-diazabicycloundec-7-ene)、四正丁基氫氧化銨、四甲基氫氧化銨、氫氧化銨、氨基磺酸銨,氨基甲酸銨、四乙基氫氧化銨及四丙基氫氧化銨所組成的群組中的至少一種。在一個或多個前述或以下實施方式中,螯合物選自由乙二胺四乙酸(EDTA)、乙二胺-N,N'-二琥珀酸(EDDS)、二亞乙基三胺五乙酸(DTPA)、聚天冬氨酸(polyaspartic acid)、反式-1,2-環己二胺-N,N,N',N'-四乙酸一水合物(trans-1,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid monohydrate)、乙二胺(ethylenediamine)所組成的群組中的至少一種。
前述內容概述若干實施例或實例之特徵,以使得熟習此項技術者可較佳理解本揭示的實施方式之態樣。熟習此項技術者應理解,他們可容易地使用本揭示的實施方式作為設計或修改用於執行本文所介紹之實施方式相同目的及/或達成相同優點的其他製程及結構之基礎。熟習此項技術者應同時認識到,這些的等效構造並不偏離本揭示的實施方式之精神及範疇,且其可在不偏離本揭示的實施方式之精神及範疇之情況下於本文中進行各種變化、替換及變更。
100:製程流程圖
S110:操作
S120:操作
S130:操作
S140:操作
S150:操作

Claims (10)

  1. 一種製造半導體裝置的方法,包含:形成一金屬光阻層在待圖案化的一目標層上,其中該金屬光阻層為兩種或多種金屬元素的一合金層;通過改變該合金層的一曝露部分的一相位,選擇性曝露該金屬光阻層於光化輻射,以形成一潛在圖案;以及通過施加一顯影劑至受到選擇性曝露的該金屬光阻層顯影該潛在圖案,以形成一圖案。
  2. 如請求項1所述的方法,其中:該合金層在該選擇性曝露前為一非晶層,以及該選擇性曝露使該合金層的該曝露部分變為結晶或多晶。
  3. 如請求項1所述的方法,其中該金屬光阻層通過原子層沉積、化學氣相沉積或物理氣相沉積沉積在該目標層上。
  4. 一種製造半導體裝置的方法,包含:形成一金屬光阻層在待圖案化的一目標層上;選擇性曝露該金屬光阻層於光化輻射,以形成一潛在圖案;以及通過施加一顯影劑至受到選擇性曝露的該金屬光阻層顯影該潛在圖案,以形成一圖案, 其中該金屬光阻層包含由一第一金屬元素製成的一第一層及由與該第一金屬元素不同的一第二金屬元素製成的一第二層的兩層或多層,以及該選擇性曝露將該兩層或多層的曝露部分轉換為該第一金屬元素及該第二金屬元素的一合金層。
  5. 如請求項4所述的方法,其中該第一金屬元素及該第二金屬元素選自由Ag、Cd、In、Sn、Sb、Te、Cs、Au、Hg、Tl、Pb、Bi、Po及At所組成的群組。
  6. 如請求項4所述的方法,其中該金屬光阻層由一層該第一金屬元素及一層該第二金屬元素組成。
  7. 如請求項4所述的方法,其中該金屬光阻層由兩層或多層該第一金屬元素及一層或多層該第二金屬元素組成。
  8. 一種製造半導體裝置的方法,包含:形成一金屬光阻層在待圖案化的一目標層上;選擇性曝露該金屬光阻層於光化輻射,以形成一潛在圖案;以及通過施加一顯影劑至受到選擇性曝露的該金屬光阻層顯影該潛在圖案,以形成一圖案,其中該金屬光阻層包含一層或多層金屬或金屬合金層,以 及該一層或多層金屬或金屬合金層包含選自由Ag、In、Sn、Sb及Te所組成的群組中的兩種或多種。
  9. 如請求項8所述的方法,其中該顯影劑為一液體顯影劑,包含一種或多種溶劑選自由二甲亞碸、丙酮、乙二醇、甲醇、乙醇、丙醇、丙二醇、水、4-甲基-2-戊酮、過氧化氫、異丙醇及丁基二甘醇所組成的群組。
  10. 如請求項8所述的方法,其中該顯影劑為一氣體顯影劑,包含選自由Cl2、CHCl3、CH2Cl2、CH4、CF4、N2、BCl3、CCl4、HCl、O2、NF3、NH3、N2H2、HBr及NO2所組成的群組中的至少一種。
TW110118322A 2020-05-22 2021-05-20 半導體裝置的製造方法 TWI781629B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063028665P 2020-05-22 2020-05-22
US63/028,665 2020-05-22
US17/226,872 2021-04-09
US17/226,872 US11942322B2 (en) 2020-05-22 2021-04-09 Method of manufacturing semiconductor devices and pattern formation method

Publications (2)

Publication Number Publication Date
TW202147450A TW202147450A (zh) 2021-12-16
TWI781629B true TWI781629B (zh) 2022-10-21

Family

ID=77571372

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110118322A TWI781629B (zh) 2020-05-22 2021-05-20 半導體裝置的製造方法

Country Status (3)

Country Link
US (1) US20230386840A1 (zh)
CN (1) CN113376960A (zh)
TW (1) TWI781629B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230154750A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co.,Ltd. Photoresist and Method
TWI833197B (zh) * 2022-01-07 2024-02-21 南亞科技股份有限公司 具有不同深度特徵之半導體元件結構的製備方法
CN114628620B (zh) * 2022-03-15 2024-06-14 安徽熙泰智能科技有限公司 一种用于药液耐受性差的膜层的图形化方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110293888A1 (en) * 2010-06-01 2011-12-01 Stowers Jason K Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US20200073238A1 (en) * 2018-08-31 2020-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist, developer, and method of forming photoresist pattern
TW202018766A (zh) * 2018-10-30 2020-05-16 台灣積體電路製造股份有限公司 微影圖案化之方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6641978B1 (en) * 2000-07-17 2003-11-04 Creo Srl Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US10007177B2 (en) * 2015-08-21 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using double exposures
US10825684B2 (en) * 2016-03-18 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10741410B2 (en) * 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10381481B1 (en) * 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
US11971659B2 (en) * 2018-10-08 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110293888A1 (en) * 2010-06-01 2011-12-01 Stowers Jason K Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US20200073238A1 (en) * 2018-08-31 2020-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist, developer, and method of forming photoresist pattern
TW202018766A (zh) * 2018-10-30 2020-05-16 台灣積體電路製造股份有限公司 微影圖案化之方法

Also Published As

Publication number Publication date
US20230386840A1 (en) 2023-11-30
TW202147450A (zh) 2021-12-16
CN113376960A (zh) 2021-09-10

Similar Documents

Publication Publication Date Title
TWI781629B (zh) 半導體裝置的製造方法
TWI773415B (zh) 製造半導體裝置的方法
TW201732446A (zh) 微影圖案化的方法
TW201830472A (zh) 微影圖案化的方法
KR102405489B1 (ko) 반도체 디바이스 제조 방법
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
KR102630481B1 (ko) 반도체 디바이스 제조 방법
US11942322B2 (en) Method of manufacturing semiconductor devices and pattern formation method
TWI796661B (zh) 半導體裝置之製造方法
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI801831B (zh) 半導體裝置之製造方法及形成圖案之方法
TW202205374A (zh) 在光阻層中形成圖案的方法及半導體裝置的製造方法
TWI780715B (zh) 製造半導體裝置的方法及顯影劑組成物
TWI804806B (zh) 製造半導體元件的方法
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
TWI831108B (zh) 製造半導體裝置的方法
US20220365427A1 (en) Photoresist composition and method for manufacturing a semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent