TWI801831B - 半導體裝置之製造方法及形成圖案之方法 - Google Patents

半導體裝置之製造方法及形成圖案之方法 Download PDF

Info

Publication number
TWI801831B
TWI801831B TW110111413A TW110111413A TWI801831B TW I801831 B TWI801831 B TW I801831B TW 110111413 A TW110111413 A TW 110111413A TW 110111413 A TW110111413 A TW 110111413A TW I801831 B TWI801831 B TW I801831B
Authority
TW
Taiwan
Prior art keywords
photoresist
photoresist layer
precursor
layer
multilayer
Prior art date
Application number
TW110111413A
Other languages
English (en)
Other versions
TW202136912A (zh
Inventor
魏嘉林
翁明暉
劉之誠
郭怡辰
陳彥儒
鄭雅如
李志鴻
張慶裕
李資良
楊棋銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/150,356 external-priority patent/US11784046B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202136912A publication Critical patent/TW202136912A/zh
Application granted granted Critical
Publication of TWI801831B publication Critical patent/TWI801831B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本揭露關於一種半導體裝置之製造方法,包括在基板上形成多層光阻結構。多層光阻結構包括具有不同物理參數的二或多個含金屬光阻層。含金屬光阻層係第一前驅物與第二前驅物之反應產物,且用多個不同光阻層形成參數來形成多層光阻結構之每一層。前述光阻層形成參數選自於由第一前驅物、第一前驅物之含量、第二前驅物、第二前驅物之含量、每一光阻層形成操作的時間長度及光阻層之加熱條件所組成之群組的一或多者。選擇性將多層光阻結構曝光於光化輻射,以形成潛在圖案,且對經選擇性曝光之多層光阻結構施加顯影劑來顯影潛在圖案,以形成圖案。

Description

半導體裝置之製造方法及形成圖案之方法
本揭露係有關於一種半導體裝置之製造方法,且特別是有關於一種利用多層光阻結構之半導體裝置的製造方法。
隨著回應於消費者的需求,消費性裝置已變得愈來愈小,此些裝置之個別組件之尺寸亦必須縮小。構成裝置(諸如行動電話、平板電腦及類似者)之主要組件的半導體裝置已被迫變得愈來愈小,相應地要求半導體裝置內的個別組件(例如,電晶體、電阻器、電容器等)之尺寸亦縮小。
半導體裝置之製造製程中使用的一種實現技術係使用光微影材料。向要圖案化之層的表面施加此類材料,然後將其曝光於本身圖案化的能量。此種曝光修改光敏感性材料之曝光區域的化學及物理性質。可利用此修改以及不修改光敏感性材料之未經曝光的區域來去除一個區域而不去除另一個區域。
然而,隨著個別裝置之尺寸已減小,光微影處理之 製程視窗已變得愈來愈緊密。因此,保持按比例縮小裝置之能力需要光微影處理領域之進步,且為了滿足所要的設計準則,以使得可保持朝向愈來愈小組件推進,則需要進一步改良。
隨著半導體工業追求更高的裝置密度、更高的性能及更低的成本,已進入奈米技術製程節點,在縮小半導體特徵尺寸方面存在挑戰。極紫外線微影技術(extreme ultraviolet lithography,EUVL)已被開發來形成更小的半導體裝置特徵尺寸並增加半導體晶圓上之裝置密度。為了改良EUVL,需要增加晶圓曝光產量。透過增加曝光功率或增加光阻曝光速度(resist photospeed)可改良晶圓曝光產量。
本揭露之一實施例揭露一種半導體裝置之製造方法,其包含形成包含含金屬光阻劑的多層光阻結構在基板上、選擇性地將多層光阻結構曝光於光化輻射,以形成潛在圖案,以及藉由向經選擇性曝光之多層光阻結構施加顯影劑來顯影潛在圖案,以形成圖案。多層光阻結構包括具有複數個不同物理參數的二或多個含金屬光阻層。含金屬光阻劑係第一前驅物與第二前驅物之反應產物,且使用複數個不同光阻層形成參數形成多層光阻結構中之每一層,其中此些不同光阻層形成參數係選自於由第一前驅物、第一前驅物之含量、第二前驅物、第二前驅物之含量、每一 個光阻層形成操作之時間長度及此些光阻層之加熱條件所組成之群組的一或多者。
本揭露之一實施例揭露一種形成圖案之方法,其包含形成具有第一物理參數的第一光阻層在基板之上、形成具有第二物理參數的第二光阻層在第一光阻層之上、使第一光阻層及第二光阻層進行圖案式交聯,以及去除第一光阻層之未交聯的一部分及第二光阻層之未交聯的一部分,以形成圖案在第一光阻層及第二光阻層中。第一物理參數及第二物理參數不相同。第一光阻層及第二光阻層係第一含金屬前驅物與第二前驅物之反應產物,其中使用複數個不同光阻層形成參數來形成第一光阻層及第二光阻層,其中此些不同光阻層形成參數係選自於由第一含金屬前驅物、第一含金屬前驅物之含量、第二前驅物、第二前驅物之含量、每一個光阻層形成操作之時間長度及此些光阻層之加熱條件所組成之群組的一或多者。
本揭露之一實施例揭露一種形成圖案之方法,其包含藉由在第一氣相沉積操作中以第一沉積參數沉積第一光阻組成物來形成第一光阻層在基板之上;藉由在第二氣相沉積操作中以第二沉積參數沉積第二光阻組成物來形成第二光阻層在第一光阻層之上、選擇性地將第一光阻層及第二光阻層曝光於光化輻射以形成潛在圖案,以及藉由向經選擇性曝光之第一光阻層及第二光阻層施加顯影劑來顯影潛在圖案以形成圖案。第一沉積參數不同於第二沉積參數。第一沉積參數及第二沉積參數係選自於由第一光阻組 成物之含量、第二組成物之含量、每一個光阻層形成操作之時間長度、壓力、蒸汽流動速率及此些光阻層之加熱條件所組成之群組的一或多者。
100:製程流程
10:基板
15:多層光阻結構
15a,15b,15c,15d,15e:光阻層
30,65:光罩
35:不透明圖案
40:光罩基板
45,97:輻射
50:曝光區域
52:未曝光區域
55,55’:圖案
57:顯影劑
60:要圖案化之層
62:分配器
70:基板
75:Si及Mo之反射多層
80:覆蓋層
85:吸收層
90:後導電層
95:極紫外線輻射
105:乾式顯影劑
200:沉積設備
205:真空腔室
210:支撐平台
220,225,240:氣體供應器
230,230’:入口
235,235’:氣體管線
245:真空泵
250:出口
255:排氣管線
260:控制器
S110,S120,S130,S140,S150:操作
當結合圖式閱讀以下詳細描述時可最好地理解本揭露。強調的是,根據業界之標準慣例,各種特徵並未按比例繪製,且僅用以繪示之目的。事實上,為了清楚討論起見,可任意增大或縮小各種特徵之尺寸。
圖1繪示根據本揭露之一些實施例半導體裝置之製程流程。
圖2顯示根據本揭露之一實施例的順序操作之製程階段。
圖3A及圖3B顯示根據本揭露之一些實施例的順序操作之製程階段。
圖4A及圖4B顯示根據本揭露之一些實施例的順序操作之製程階段。
圖5顯示根據本揭露之一實施例的順序操作之製程階段。
圖6顯示根據本揭露之一實施例的順序操作之製程階段。
圖7A顯示根據本揭露之一些實施例的有機金屬前驅物。
圖7B顯示金屬前驅物由於曝光於光化輻射而經歷的反應。圖7C顯示根據本揭露之一些實施例的有機金屬前驅物之實施例之實例。
圖8顯示根據本揭露之一些實施例的光阻劑沉積設備。
圖9顯示根據本揭露之一實施例的光阻層由於曝光於光化 輻射及加熱而經歷的反應。
圖10A、圖10B及圖10C顯示根據本揭露之一實施例的順序操作。
圖11A及圖11B顯示根據本揭露之一些實施例的具有多層光阻結構之光阻層之參數的表格。
圖12A、圖12B及圖12C顯示根據本揭露之一實施例的順序操作。
圖13A、圖13B及圖13C顯示根據本揭露之一實施例的順序操作。
圖14顯示根據本揭露之一實施例的順序操作之製程階段。
圖15A及圖15B顯示根據本揭露之一實施例的順序操作之製程階段。
圖16A及圖16B顯示根據本揭露之一實施例的順序操作之製程階段。
圖17顯示根據本揭露之一實施例的順序操作之製程階段。
圖18顯示根據本揭露之一實施例的順序操作之製程階段。
應理解的是,以下揭露提供許多不同的實施例或實例,以用於實施本揭露之不同特徵。以下描述組件及配置之具體實施例或實例以簡化本揭露。當然,此些組件及配置僅為實例,而非意欲進行限制。例如,元件之尺寸不限於所揭示之範圍或數值,但可取決於裝置之製程條件及/或所需要的性質。此外,在後續的描述中,在第二特徵之上方或在其上形成第一特徵可包括以直接接觸的方式形成第 一特徵與第二特徵之實施例,且亦可包括可在第一特徵與第二特徵之間插入形成附加特徵,以使得第一特徵與第二特徵可不直接接觸的實施例。為了簡單及清楚起見,可使用不同比例任意繪製各種特徵。
另外,為了便於描述,在本文中可使用空間相對術語(諸如「在…之下(beneath)」、「在…下方(below)」、「下部(lower)」、「在…上方(above)」、「上方的(upper)」及類似者)來描述如圖中所例示之一個元件或特徵與另一個(另一些)元件或特徵之關係。除了圖中所描繪之定向之外,空間相對術語意欲涵蓋裝置在使用中或操作中的不同定向。可以其他方式來定向裝置(旋轉90度或以其他定向),且同樣可相應地解釋本文所使用之空間相對描述詞。另外,術語「由...製成(made of)」可意指「包含(comprising)」或「由...組成(consisting of)」。
因為金屬對EUV輻射具有高吸收能力,故含金屬光阻層係用於極紫外線微影技術(extreme ultraviolet lithography)中。然而,含金屬光阻層在處理期間可能會有釋氣(outgas),導致光阻層品質隨時間而改變,且可能導致污染,從而負面地影響微影技術性能,且增加缺陷。
此外,光阻層的曝光不均可導致光阻層之交聯度不均,尤其是光阻層的較深部分。曝光不均係由於到達下方的光阻層之光能量較少而引起。低曝光劑量可導致線寬粗糙度增加及臨界尺寸一致性降低。下方的光阻層之曝光劑量低及曝光不均可導致線寬粗糙度(line width roughness,LWR)不良。下方的光阻層之曝光劑量低可導致T形頂部的光阻輪廓(resist profile),而非邊緣筆直的光阻輪廓。因為下方的光阻層曝光劑量低,上方的光阻層之交聯反應會大於下方的光阻層。故在顯影時,可產生T形頂部的光阻輪廓。T形頂部的光阻輪廓係如剖面圖所示,上方的光阻層的寬度比其下方的光阻層的寬度更寬。在一實例中,光阻輪廓的外形就如同大寫字母T。
在本揭露之實施例中,藉由在基板之上形成多層光阻結構來解決以上問題,其中多層光阻結構包括具有不同物理參數的二或多個光阻層。在一些實施例中,多層光阻結構包括疊堆於彼此之頂部上的三至五個光阻層,其中緊鄰的光阻層具有不同的物理參數。
圖1繪示根據本揭露之一些實施例半導體裝置之製程流程100。在一些實施例中,在操作S110中,如圖2所示,在要圖案化之層或基板10之表面上塗佈光阻劑,以形成多層光阻結構15。在一些實施例中,多層光阻結構15包括兩個光阻層15a及15b,而在其他實施例中,多層光阻結構15包括三個、四個、五個或更多個光阻層。然後,在一些實施例中,光阻結構15經歷對光阻組成物的第一次加熱操作(或曝光前烘烤)S120。在足以固化多層光阻結構15的溫度及時間下加熱多層光阻結構15。在一些實施例中,對多層光阻結構15加熱至約50℃至約200℃之溫度,持續約10秒至約10分鐘。在其他實施例中,對多層光阻結構15加熱至約100℃至約150℃之溫度, 持續約1分鐘至約5分鐘。在一些實施例中,在每一個光阻層形成之後,執行第一加熱操作S120。在一些實施例中,不執行第一加熱操作S120。若加熱操作在小於所揭示之範圍的溫度或時間下進行,則交聯可能不充分,且光阻結構LWR可能降低。若加熱操作在大於此些範圍的溫度或時間下進行,則交聯可能太多,且光阻結構LWR可能降低。
在操作S130中,選擇性地將多層光阻結構15曝光於光化輻射45或97(參見圖3A及圖3B)。在一些實施例中,選擇性地將多層光阻結構15曝光於紫外線輻射。在一些實施例中,紫外線輻射係深紫外線輻射(deep ultraviolet radiation,DUV)。在一些實施例中,紫外線輻射係極紫外線(extreme ultraviolet,EUV)輻射。在一些實施例中,輻射係電子束。
如圖3A所示,在一些實施例中,曝光輻射45在輻照多層光阻結構15之前穿過光罩30。在一些實施例中,光罩30具有要在多層光阻結構15中複製的圖案。在一些實施例中,藉由光罩基板40上的不透明圖案35來形成圖案。可藉由對紫外線輻射不透明的材料(諸如:鉻)來形成不透明圖案35,同時藉由對紫外線輻射透明的材料(諸如:熔融石英)來形成光罩基板40。
在一些實施例中,使用極紫外線微影技術來選擇性曝光多層光阻結構15,以形成曝光區域50及未曝光區域52。如圖3B所示,在極紫外線微影技術操作中,使用反 射光罩65來形成圖案化曝光光線。反射光罩65包括低熱膨脹玻璃基板70,Si及Mo之反射多層(簡稱Si/Mo多層)75形成於此低熱膨脹玻璃基板70之上。覆蓋層80及吸收層85形成於Si/Mo多層75上。後導電層90形成於低熱膨脹基板70之背側上。在極紫外線微影技術中,極紫外線輻射95以約6°之入射角被引導朝向反射光罩65。極紫外線輻射95之一部分97被Si/Mo多層75朝向塗佈光阻劑之基板10反射,同時極紫外線輻射95入射在吸收層85上的一部分被光罩吸收。在一些實施例中,附加光學元件(包括鏡子)位於反射光罩65與塗佈光阻劑的基板10之間。
在一些實施例中,藉由放置塗佈光阻劑之基板於光微影工具中來進行對輻射之曝光。在曝光輻射之情況下,光微影工具包括光罩30或光罩65、光學元件、提供用於曝光之輻射45或輻射97的曝光輻射源及用於支撐及移動基板的可移動式平台。
在一些實施例中,在輻射45或輻射97被光罩30或光罩65圖案化之前或之後,光學元件(未顯示)被用於光微影工具中,以擴展、反射或以其他方式控制輻射。在一些實施例中,光學元件包括一或多個透鏡、鏡子、濾波器及其組合,以沿輻射45或輻射97的路徑控制輻射45或輻射97。
在一些實施例中,輻射係電磁輻射,諸如g線(約436nm波長)、i線(約365nm波長)、紫外線輻射、遠 紫外線輻射、極紫外線、電子束或類似者。在一些實施例中,輻射源係選自於由汞汽燈(mercury vapor lamp)、氙燈、碳弧燈、KrF準分子雷射光(248nm的波長)、ArF準分子雷射光(193nm的波長)、F2準分子雷射光(157nm的波長)及CO2雷射激生Sn電漿(極紫外線,13.5nm的波長)所組成之群組。
電磁輻射量可使用通量或劑量為特徵,此通量或劑量藉由曝光時間內之積分輻射通量來獲得。在一些實施例中,合適的輻射通量之範圍為約1mJ/cm2至約150mJ/cm2,在另一些實施例中,為約2mJ/cm2至約100mJ/cm2,以及在又一些實施例中,為約3mJ/cm2至約50mJ/cm2。本揭露所屬技術領域中具有通常知識者將認知的是,在以上明確範圍內的輻射通量之附加範圍係預期的且在本揭露內。
在一些實施例中,藉由掃描電子束來執行選擇性或圖案式曝光。憑藉電子束微影技術,電子束感應二次電子,此些二次電子修改經輻照的材料。使用電子束微影技術及本文所揭示之含金屬光阻劑可達成高解析度。電子束可使用電子束能量為特徵,且在一些實施例中,合適的能量之範圍為約5V至約200kV(千伏),而在其他實施例中,為約7.5V至約100kV。在一些實施例中,30kV之經鄰近校正的電子束劑量之範圍為約0.1μC/cm2至約5μC/cm2,在其他實施例中,為約0.5μC/cm2至約1μC/cm2,且在其他實施例中,為約1μC/cm2至約100 μC/cm2。基於本文中之教示內容,本揭露所屬技術領域中具有通常知識者可計算其他電子束能量所對應之劑量,且將認知的是,在以上明確範圍內的電子束性質之附加範圍係預期的且在本揭露內。
相對於未曝光於輻射之光阻層的區域52,曝光於輻射之光阻結構的曝光區域50(亦稱作部分)經歷化學反應,從而改變其在後續所施加之顯影劑中的溶解度。在一些實施例中,曝光於輻射之光阻結構的曝光區域50經過反應後,使曝光的部分更易溶於顯影劑。在其他實施例中,曝光於輻射之光阻結構的曝光區域50經過交聯反應後,使曝光的部分較不易溶於顯影劑。
接著,在一些實施例中,在操作S140中,多層光阻結構15經歷第二次加熱(或曝光後烘烤)。在一些實施例中,對多層光阻結構15至約50℃加熱至約250℃之溫度,持續約20秒至約10分鐘,此取決於光阻層厚度及所想要的交聯度。在一些實施例中,對多層光阻結構15加熱至約100℃至約200℃之溫度,持續約1分鐘至約5分鐘。在一些實施例中,曝光後烘烤進一步使光阻結構之曝光區域50進行交聯,從而增加曝光區域50與未曝光區域52之間的溶解度差異。若加熱操作在小於所揭示之範圍的溫度或時間下進行,則交聯可能不充分且光阻結構LWR可能降低。若加熱操作在大於此些範圍的溫度或時間下進行,則交聯可能太多,且光阻結構LWR可能降低。若曝光的區域之部分(其為不應在顯影操作期間被去除的部分) 中之交聯過少,則其可在顯影操作期間被去除,且所得之圖案不具有所想要的清晰度。若未曝光的區域之部分(其為應在顯影操作期間被去除的部分)中之交聯過多,則其不能在顯影操作期間被去除,且所得之圖案不具有所想要的清晰度。
後續在操作S150中,對經選擇性曝光之光阻結構進行顯影。在一些實施例中,藉由向經選擇性曝光之多層光阻結構15施加以溶劑為基礎的(solvent-based)顯影劑57來對多層光阻結構15進行顯影。如圖4A所示,液體顯影劑57從分配器62供應至多層光阻結構15。如圖5所示,在一些實施例中,光阻結構之曝光區域50曝光於光化輻射或曝光後烘烤而經歷交聯反應,而光阻結構之未曝光區域52則利用顯影劑57去除,從而在光阻結構15中形成開口55的圖案,以暴露出基板10。
在一些實施例中,光阻顯影劑57包括溶劑,以及酸或鹼。在一些實施例中,基於光阻顯影劑57之總重量,溶劑的濃度為約60重量百分比至約99重量百分比。基於光阻顯影劑57之總重量,酸或鹼的濃度為約0.001重量百分比至約20重量百分比。在某些實施例中,基於光阻顯影劑57之總重量,顯影劑57中的酸或鹼的濃度為約0.01重量百分比至約15重量百分比。
如圖4A所示,在一些實施例中,使用旋塗製程(spin-on process)來施加顯影劑57至多層光阻結構15。在旋塗製程中,旋轉塗佈光阻劑之基板的同時從多層 光阻結構15上方來施加顯影劑57至多層光阻結構15。在一些實施例中,以介於約5ml/min與約800ml/min之間的速率供應顯影劑57的同時以介於約100rpm與約2000rpm之間的速率旋轉塗佈光阻劑之基板10。在一些實施例中,顯影劑57處於介於約10℃與約80℃之間的溫度。在一些實施例中,顯影操作持續達約30秒至約10分鐘之間。
在一些實施例中,顯影劑57為有機溶劑。有機溶劑可為任何合適的溶劑。在一些實施例中,溶劑係選自於由丙二醇甲醚乙酸酯(PGMEA)、丙二醇單甲醚(PGME)、1-乙氧基-2-丙醇(PGEE)、γ-丁內酯(GBL)、環己酮(CHN)、乳酸乙酯(EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、甲基乙基酮、二甲基甲醯胺(DMF)、異丙醇(IPA)、四氫呋喃(THF)、甲基異丁基甲醇(MIBC)、乙酸正丁酯(nBA)、2-庚酮(MAK)及二噁烷所組成之群組的一或多者。
雖然旋塗操作係一種用於在曝光之後對多層光阻結構15進行顯影的合適方法,但其意欲為說明性,並非意欲限制實施例。更精確地說,可替代地使用任何合適的顯影操作,其包括浸鍍製程(dip process)、攪煉製程(puddle process)及塗方法(spray-on method)。所有此類顯影操作均包括在實施例之範疇內。
如圖4B所示,在一些實施例中,向經選擇性曝光之多層光阻結構15施加乾式顯影劑105。在一些實施例 中,乾式顯影劑105係電漿或化學蒸汽,且乾式顯影操作S150係電漿蝕刻操作或化學蝕刻操作。乾式顯影使用與組成物、交聯程度及膜密度相關的差異來選擇性地去除所想要的光阻部分。在一些實施例中,乾式顯影製程在加熱的真空腔室中使用溫和的電漿(高壓,低功率)或熱處理同時使在蒸汽狀態下的乾式顯影化學品(諸如:BCl3、BF3或其他路易斯酸(lewis acid))流動。在一些實施例中,BCl3去除未曝光材料,從而留下曝光膜圖案,曝光膜圖案藉由以電漿為基礎的蝕刻製程轉移至基本層中。
在一些實施例中,乾式顯影包括電漿製程,其包括變壓器耦合電漿(transformer coupled plasma,TCP)、感應耦合電漿(inductively coupled plasma,ICP)或電容耦合電漿(capacitively coupled plasma,CCP)。在一些實施例中,在範圍為約5豪托(mTorr)至約20豪托的壓力、約250W至約1000W的功率位準(power level)、範圍為約0℃至約300℃的溫度及約100sccm至約1000sccm的流動速率下進行電漿製程,持續約1秒至約3000秒。
如圖6所示,在一些實施例中,多層光阻結構15中之開口的圖案55延伸至要圖案化之層或基板10中,以在基板10中建造開口的圖案55’,從而將光阻層15中之圖案轉移至基板10中。使用一或多種合適的蝕刻劑並藉由蝕刻將圖案延伸至基板10中。在一些實施例中,在蝕刻操作期間,至少部分地去除經曝光的多層光阻結構15。在其 他實施例中,在蝕刻基板10之後,藉由使用合適的光阻剝離溶劑或藉由光阻灰化操作來去除經曝光的光阻結構15。
在一些實施例中,至少在基板10的表面部分上,基板10包括單晶半導體層。基板10可包括單晶半導體材料,諸如但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在一些實施例中,基板10係絕緣體上覆矽(silicon-on insulator,SOI)基板之矽層。在某些實施例中,基板10係由結晶矽製成。
基板10在其表面區域中可包括一或多個緩衝層(未顯示)。緩衝層可用於將晶格常數從基板之晶格常數逐漸改變為後續形成之源極/汲極區域之晶格常數。緩衝層可由經磊晶生長的單晶半導體材料形成,此些經磊晶生長的單晶半導體材料諸如但不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP及InP。在一實施例中,矽鍺(SiGe)緩衝層係磊晶生長於矽基板10上。SiGe緩衝層之鍺濃度可從最底緩衝層之30原子百分比增加至最頂緩衝層之70原子百分比。
在一些實施例中,基板10包括以下材料的至少一者之一或多個層:至少一種金屬、金屬合金及具有式MAa的金屬、氮化物、硫化物、氧化物或矽化物,其中M係金屬且A為氮(N)、硫(S)、硒(Se)、氧(O)或矽(Si),且a為約0.4至約2.5。在一些實施例中,基板10包括鈦、鋁、 鈷、釕、氮化鈦、氮化鎢、氮化鉭及其組合。
在一些實施例中,基板10包括至少具有式MAb的矽或金屬氧化物或氮化物的介電材料,其中M係金屬或Si,A為N或O,且b之範圍為約0.4至約2.5。在一些實施例中,基板10包括二氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭及其組合。
光阻層係藉由曝光於光化輻射來圖案化的光敏感性層。通常,入射輻射所撞擊的光阻區域之化學性質以取決於所使用之光阻類型的方式而改變。光阻層為正型光阻(positive tone resist)或負型光阻(negative tone resist)。正型光阻係指光阻材料曝光於輻射(諸如紫外(UV)光)時變得可溶於顯影劑,而其未曝光(或曝光較少)的區域則不可溶於顯影劑。另一方面,負型光阻係指光阻材料曝光於輻射時變得不可溶於顯影劑,而其未曝光(或曝光較少)的區域則可溶於顯影劑。負型光阻之曝光於輻射時變得不可溶的區域是因曝光於輻射所引起的交聯反應而變得不可溶。
在一些實施例中,光阻層由包括在蒸汽狀態下結合的第一化合物或第一前驅物及第二化合物或第二前驅物的光阻組成物製成。如圖7A所示,在一些實施例中,第一前驅物或第一化合物係具有式MaRbXc的有機金屬,其中M係選自於由Sn、Bi、Sb、In及Te所組成之群組的一或多者;R係經取代或未經取代的烷基、烯基或羧酸酯基。在一些實施例中,R係C3至C6之烷基、烯基或羧酸酯基。 在一些實施例中,R係選自於由丙基、異丙基、丁基、異丁基、二級丁基、三級丁基、戊基、異戊基、二級戊基、三級戊基、己基、異己基、二級己基及三級己基所組成之群組的一或多者。X係與第二化合物或第二前驅物發生反應的配位基、離子或其他部分(moiety);且在一些實施例1中,1≦a≦2,b≧1,c≧1,且b+c≦5。在一些實施例中,烷基、烯基或羧酸酯基經一或多個氟基取代。如圖7A所示,在一些實施例中,有機金屬前驅物係二聚體,其中每一個單體單元藉由胺基連接。每一個單體具有如上所定義之式:MaRbXc
在一些實施例中,R為經氟化的,例如具有式CnFxH((2n+1)-x),其中3≦n≦6。在一些實施例中,R具有至少一種β-氫或β-氟。在一些實施例中,R係選自於由異丙基、正丙基、三級丁基、異丁基、正丁基、二級丁基、正戊基、異戊基、三級戊基及二級戊基所組成之群組的一或多者。
在一些實施例中,X係易於被第二化合物或第二前驅物所置換之任何部分,以產生M-OH部分,前述之任何部分諸如選自於由胺(amine)、烷氧基(alkoxy)、羧酸酯基(carboxylate)、鹵素(halogen)及磺酸酯基(sulfonate)所組成之群組的一者。此胺包括二烷基胺基及單烷基胺基。在一些實施例中,磺酸酯基係經一或多個胺基所取代。在一些實施例中,鹵素係選自於由F、Cl、Br及I所組成之群組的一或多者。在一些實施例中,磺酸 酯基包括經取代或未經取代的C1至C3基。
如圖7B所示,在一些實施例中,第一有機金屬化合物或第一有機金屬前驅物包括金屬核心M+,此配位基L附接至金屬核心M+。在一些實施例中,金屬核心M+為金屬氧化物。在一些實施例中,配位基L包括C3至C12脂族基或芳族基。脂族基或芳族基可為非支鏈的或與包含1至9個碳的環狀或非環狀飽和側基(pendant group)之支鏈的,此側基包括烷基、烯基及苯基。支鏈的基團可進一步經氧或鹵素取代。在一些實施例中,C3至C12之脂族基或芳族基包括雜環基。在一些實施例中,C3至C12脂族基或芳族基藉由醚鍵聯(ether linkage)或酯鍵聯(ester linkage)附接至金屬。在一些實施例中,C3至C12之脂族基或芳族基包括亞硝酸酯(nitrite)取代基及磺酸酯取代基。
圖7B顯示在一些實施例中金屬前驅物由於曝光於光化輻射而經歷的反應。由於曝光於光化輻射,配位基L與金屬前驅物之金屬核心M+分離,且二或多個金屬前驅物核心彼此鍵結。
在一些實施例中,有機金屬前驅物或有機金屬化合物包括二級己基參(二甲基胺基)錫(sec-hexyl tris(dimethylamino)tin)、三級己基參(二甲基胺基)錫、異己基參(二甲基胺基)錫、正己基參(二甲基胺基)錫、二級戊基參(二甲基胺基)、三級戊基參(二甲基胺基)錫、異戊基參(二甲基胺基)錫、正戊基參(二甲基胺基)錫、二 級丁基參(二甲基胺基)錫、三級丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、異丙基(參)二甲基胺基錫、正丙基參(二乙基胺基)錫及類似的烷基(參)(三級丁氧基)錫化合物(包括二級己基參(三級丁氧基)錫、三級己基參(三級丁氧基)錫、異己基參(三級丁氧基)錫、正己基參(三級丁氧基)錫、二級戊基參(三級丁氧基)錫、三級戊基參(三級丁氧基)錫、異戊基參(三級丁氧基)錫、正戊基參(三級丁氧基)錫、三級丁基參(三級丁氧基)錫、三級丁基參(丁氧基)錫、正丁基參(丁氧基)錫、二級丁基參(丁氧基)錫、異丙基(參)二甲基胺基錫及正丙基參(丁氧基)錫)。在一些實施例中,有機金屬前驅物或有機金屬化合物為經氟化的。在一些實施例中,有機金屬前驅物或化合物具有小於約200℃的沸點。
圖7C顯示根據本揭露之實施例的有機金屬前驅物之實例。在圖7C中,Bz係指苯基。
在一些實施例中,第一化合物或第一前驅物包括一或多個不飽和鍵,此一或多個不飽和鍵可與在基板或介入底層之表面上之官能基(諸如羥基)配位,以改善光阻層對基板或底層之黏著性。
在一些實施例中,第二前驅物或第二化合物係選自於由水、胺、硼烷及膦(phosphine)所組成之群組的一或多者。在一些實施例中,胺具有式NpHnXm,其中0≦n≦3,0≦m≦3,當p為1時n+m=3,且當p為2時 n+m=4,且每一個X係獨立地選自於由F、Cl、Br及I所組成之群組的鹵素。在一些實施例中,硼烷具有式BpHnXm,其中0≦n≦3,0≦m≦3,當p為1時n+m=3,且當p為2時n+m=4,且各X係獨立地選自於由F、Cl、Br及I所組成之群組的鹵素。在一些實施例中,膦具有式PpHnXm,其中0≦n≦3,0≦m≦3,當p為1時n+m=3,或者當p為2時n+m=4,且每一個X係獨立地選自於由F、Cl、Br及I所組成之群組的鹵素。
在一些實施例中,第二前驅物或第二化合物為水、氨或肼(hydrazine)。水、氨或肼與有機金屬前驅物或化合物之反應產物可形成氫鍵,此些氫鍵增加反應產物之沸點,且避免金屬光阻材料放射,從而避免金屬污染。在一些實施例中,氫鍵亦可有助於避免濕氣對光阻層品質之影響。
在一些實施例中,藉由氣相沉積操作來執行沉積光阻組成物之操作S110。在一些實施例中,氣相沉積操作包括原子層沉積或化學氣相沉積。在一些實施例中,ALD包括電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PE-ALD),且CVD包括電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PE-CVD)、金屬有機化學氣相沉積(metal-organic chemical vapor deposition,MO-CVD)、常壓化學氣相沉積(atmospheric pressure chemical vapor deposition,AP-CVD)及低壓化學 氣相沉積(low-pressure chemical vapor deposition,LP-CVD)。
圖8顯示根據本揭露之一些實施例的光阻層沉積設備200。在一些實施例中,沉積設備200為ALD設備或CVD設備。沉積設備200包括真空腔室205。基板支撐平台210在真空腔室205中支撐基板10(諸如:矽晶圓)。在一些實施例中,基板支撐平台210包括加熱器。在一些實施例中,第一前驅物或第一化合物的氣體供應器220及載體/排出氣體供應器225經由氣體管線235連接至腔室中的入口230,且第二前驅物或第二化合物氣體供應器240及載體/排出氣體供應器225經由另一個氣體管線235’連接至腔室中的另一個入口230’。藉由真空泵245經由出口250及排氣管線255排空腔室及去除過量反應物及反應副產物。在一些實施例中,前驅物氣體及載體/排出氣體之流動速率或脈衝、過量反應物及反應副產物之排空、真空腔室205內的壓力及真空腔室205或晶圓支撐平台210之溫度由配置以控制此些參數之每一個參數的控制器260所控制。
沉積光阻層包括在蒸汽狀態下將第一化合物或第一前驅物,以及第二化合物或第二前驅物結合,以形成光阻組成物。在一些實施例中,幾乎同時經由入口230及230’將光阻組成物之第一化合物或第一前驅物,以及第二化合物或第二前驅物導入至沉積腔室205(CVD腔室)中。在一些實施例中,以交替的方式經由入口230及230’將第一 化合物或第一前驅物,以及第二化合物或第二前驅物導入至沉積腔室205(ALD腔室)中,即,先導入第一化合物或前驅物,再導入第二化合物或前驅物,後續則交替地重複導入第一化合物或前驅物,接著導入第二化合物或前驅物。
在一些實施例中,在沉積操作期間,沉積腔室溫度之範圍為約30℃至約400℃,而在其他實施例中,沉積腔室溫度介於約50℃至約250℃之間。在一些實施例中,在沉積操作期間,沉積腔室中的壓力之範圍為約5豪托至約100托,而在其他實施例中,此壓力介於約100豪托至約10托之間。在一些實施例中,電漿功率小於約1000W。在一些實施例中,電漿功率之範圍為約100W至約900W。在一些實施例中,第一化合物或第一前驅物,以及第二化合物或第二前驅物之流動速率的範圍為約100sccm至約1000sccm。在一些實施例中,有機金屬化合物前驅物對第二化合物或第二前驅物之流量比的範圍為約1:1至約1:5。在一些實施例中,在超出上述範圍的操作參數下,會導致不滿意的光阻層。在一些實施例中,光阻層的形成(一鍋式層形成,one-pot layer formation)發生在單一個腔室中。
在CVD製程中,根據本揭露之一些實施例,在單獨入口路徑230、235、230’及235’中,將有機金屬前驅物及第二前驅物之二或多個氣體流導入至CVD設備之沉積腔室205,它們於氣相下在沉積腔室205中混合,並發生反應,以形成反應產物。在一些實施例中,使用單獨 的注入口230及230’或雙氣室噴頭導入氣流。配置沉積設備,故有機金屬前驅物及第二前驅物流在腔室中混合,而允許有機金屬前驅物及第二前驅物反應以形成反應產物。在不限制本揭露之機制、功能或效用的情況下,咸信來自氣相反應之產物的分子量變得更大,且產物然後經凝聚或以其他方式沉積至基板10上。
在一些實施例中,使用ALD製程來沉積光阻層。在ALD期間,藉由將基板10之表面曝露於交替之氣態化合物(或前驅物)來生長一層在基板上。相較於CVD,前驅物以一系列順序的不重疊的脈衝被導入。在此些脈衝之每一個脈衝中,前驅物分子以自限方式(self-limiting way)與表面反應,故一旦在表面上的所有反應位置(reactive site)均被消耗,反應便終止。因此,在單次曝光於所有前驅物(所謂的ALD循環)之後沉積在表面上的最大材料的含量取決於前驅物與表面的相互作用之性質。
在ALD製程之一實施例中,在第一半反應中,用脈衝輸送有機金屬前驅物,以將含金屬前驅物遞送至基板10表面。在一些實施例中,有機金屬前驅物與合適的基本物種(例如:基板10的表面上之OH或NH官能基)發生反應,以形成新的自飽和表面。在一些實施例中,藉由使用真空泵245抽真空及/或藉由使惰性排出氣體(inert purge gas)流動來去除過量的未使用反應物及反應副產物。在一些實施例中,然後,用脈衝輸送第二前驅物(諸如: 氨(NH3))至沉積腔室。NH3與基板10上之有機金屬前驅物發生反應,以在基板10的表面上獲得反應產物的光阻層。第二前驅物亦與基本反應性物種形成自飽和鍵結(self-saturating bond),以提供另一個自限及自飽和的第二半反應。在一些實施例中,執行第二次排出,以去除未使用的反應物及反應副產物。對第一前驅物及第二前驅物之脈衝輸送與介入排出操作交替地進行,直至達成所想要的光阻層之厚度為止。
在一些實施例中,每一個光阻層形成約5nm至約50nm的厚度,而在其他實施例中,形成約10nm至約30nm厚度。本揭露所屬技術領域中具有通常知識者認知的是,在以上明確範圍內的厚度之附加範圍為預期的且在本揭露內。基於光阻層之光學性質,可使用X射線反射率(x-ray reflectivity)及/或橢圓偏振技術(ellipsometry)之非接觸式方法來評估厚度。在一些實施例中,每一個光阻層厚度為相對地一致,以利於處理。在一些實施例中,相較於光阻層的平均厚度,沉積的光阻層之厚度變化變動不大於±25%,在其他實施例中,相較於平均光阻層厚度,每一個光阻層的厚度變化變動不大於±10%。在一些實施例(諸如在較大基板上進行高一致性沉積的實施例)中,可使用1釐米邊緣剔除來評估光阻層的一致性評價,即,不對塗層之邊緣處1釐米內的部分評估光阻層的一致性。本揭露所屬技術領域中具有通常知識者將認知的是,在以上明確範圍內的附加範圍為預期的,且在 本揭露內。
在一些實施例中,以載體氣體遞送第一化合物或第一前驅物,以及第二化合物或第二前驅物至沉積腔室205中。載體氣體、排出氣體、沉積氣體或其他處理氣體可含有氮、氫、氬、氖、氦或其組合。
在一些實施例中,有機金屬化合物包括做為金屬成分之錫(Sn)、銻(Sb)、鉍(Bi)、銦(In)及/或碲(Te),然而,本揭露不限於此些金屬。在其他實施例中,附加的合適金屬包括鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈷(Co)、鉬(Mo)、鎢(W)、鋁(Al)、鎵(Ga)、矽(Si)、鍺(Ge)、磷(P)、砷(As)、釔(Y)、鑭(La)、鈰(Ce)、鑥(Lu)或其組合。附加的金屬可做為Sn、Sb、Bi、In及/或Te之替代物或補充物。
所使用之特定金屬可顯著影響對輻射的吸收。因此,可基於所想要的輻射及吸收截面來選擇金屬成分。錫、銻、鉍、碲及銦提供對13.5nm之極紫外線光的強吸收。鉿提供對電子束及極UV輻射的良好吸收。包括鈦、釩、鉬或鎢的金屬組成物在較長波長處具有強吸收,以提供對紫外線光(例如:248nm波長)的敏感度。
圖9顯示根據本揭露之一實施例的光阻組成物的成分因曝光於光化輻射及加熱而經歷的反應。圖9顯示根據本揭露之實施例的光阻結構(photoresist structure,PR)圖案化方法之各個階段的光阻結構之例示性化學結構。如圖9所示,光阻組成物包括有機金屬化合物(例如: SnX2R2)及第二化合物(例如:氨(NH3))。當有機金屬化合物與氨結合時,有機金屬化合物與一些氣相氨發生反應,以形成具有附接至有機金屬化合物之金屬(Sn)的胺基之反應產物。剛沉積之光阻層中之胺基具有氫鍵,前述之氫鍵可實質上增加所沉積之光阻層之沸點,且避免含金屬光阻材料釋氣,從而避免含金屬光阻層中之金屬污染沉積腔室及半導體裝置處理設備。此外,胺基之氫鍵可控制濕氣對光阻層品質的影響。
當後續曝光於使用光罩的極紫外線輻射時,有機金屬化合物吸收極紫外線輻射,且一或多個有機R基團從有機金屬化合物裂解,以在經輻射曝光區域中形成胺基金屬化合物。如圖9所示,在一些實施例中,然後,當執行曝光後烘烤(post-exposure bake,PEB)時,胺基金屬化合物透過胺基進行交聯。在一些實施例中,由於曝光於極紫外線輻射而發生胺基金屬化合物之部分交聯。後續對經選擇性曝光之光阻進行顯影,且在顯影期間去除未經輻射曝光的區域,同時將交聯後的經輻射曝光的圖案保留在基板上。
如以上所解釋,光阻劑(特別是光阻層之較深部分處)的不均勻曝光可導致光阻劑之交聯度不均勻,此造成不良線寬粗糙度、T形頂部的光阻輪廓及/或在光阻圖案之經顯影區域中光阻浮渣的形成。為了避免由不均勻光阻劑曝光所引起的問題,本揭露之實施例使用多層光阻層結構或分級光阻層結構做為多層光阻結構15。藉由調整沉積操作 期間所存在之水的含量、改變含金屬光阻層的厚度、改變金屬光阻前驅物之流動速率或改變光阻結構的加熱條件(時間及溫度),可形成具有不同交聯度的多層光阻結構15或分級化光阻層,以克服不均勻曝光問題。
如圖10A、圖12A及圖13A所示,藉由改變光阻層的形成參數(其包括水的含量)、改變含金屬光阻層的厚度、改變金屬光阻前驅物及改變光阻層的烘烤條件,以形成多個層。在光阻層中發生一些交聯,此取決於曝光於光化輻射之前的層形成條件,其包括水的含量、金屬光阻前驅物含量及光阻劑烘烤/加熱條件。於光化輻射的曝光及曝光後的烘烤實質上增加光阻層之曝光區域中之交聯量,且在一些實施例中負責大部分交聯反應。
舉例而言,在圖10A中,具有第一交聯密度的上方的光阻層15c形成於具有比上方的光阻層15c之第一交聯密度更大的第二交聯密度的中間的光阻層15b上,中間的光阻層15b繼而形成於具有比中間的光阻層15b之第二交聯密度更大的第三交聯密度的下方的光阻層15a上。光阻劑的上層吸收比下層更多的輻射。如圖10B所示,在一些實施例中,當EUV光(相當於輻射45)穿過多層光阻結構時,多層光阻結構具有低交聯密度及較大能量吸收的上方的光阻層15c、中等交聯密度及中等能量吸收的中間的光阻層15b及高交聯密度及較低能量吸收的下方的光阻層15a,光阻結構之曝光區域之總體厚度將具有相同的交聯度,從而提供具有改善的LWR而無T形頂部形狀的光阻 圖案55,如圖10C所示。
在一些實施例中,在圖10A及圖10B所例示之光阻結構中,上方的光阻層15c比中間的光阻層15b更薄,且中間的光阻層15b比下方的光阻層15a更薄。在其他實施例中,在圖10A及圖10B所例示之光阻結構中,上方的光阻層15c具有比中間的光阻層15b更低的金屬光阻前驅物濃度,且中間的光阻層15b具有比下方的光阻層15a更低的金屬光阻前驅物濃度。在其他實施例中,上方的光阻層15c在比中間的光阻層15b更低的溫度或更短的時間下進行曝光前烘烤,且中間的光阻層15b在比下方的光阻層15a更低的溫度或更短的時間下進行曝光前烘烤。在其他實施例中,上方的光阻層15c之沉積操作期間於沉積腔室中之第二化合物或第二前驅物含量(濃度)小於中間的光阻層15b之沉積操作期間於沉積腔室中之第二化合物或第二前驅物含量(濃度),且中間的光阻層的15b之沉積操作期間於沉積腔室中之第二化合物或第二前驅物含量(濃度)小於下方的光阻層15a之沉積操作期間於沉積腔室中之第二化合物或第二前驅物含量(濃度)。在一些實施例中,藉由下述之控制來控制沉積腔室中之第二化合物或第二前驅物的含量:控制導入至沉積腔室中的第二化合物或第二前驅物之壓力、控制導入至沉積腔室中的第二化合物或第二前驅物之流動速率或控制流動至沉積腔室中的第二化合物或第二前驅物之時間長度。在一些實施例中,第二前驅物或第二化合物為水蒸汽。
在一些實施例中,基於處理參數來決定交聯度或交聯密度。舉例而言,第二化合物或第二前驅物之濃度或流動速率愈高,第二前驅物或第二化合物流動至沉積腔室中的時間長度愈長,沉積的光阻層之加熱溫度愈高,或者沉積的光阻層之加熱時間愈長,則提供愈高的光阻層之交聯度或交聯密度。相反地,第二化合物或第二前驅物之濃度或流動速率愈低,第二前驅物或第二化合物流動至沉積腔室中之時間長度愈短,沉積的光阻層之加熱溫度愈低,或者沉積的光阻層之加熱時間愈短,則提供愈低的光阻層之交聯度或交聯密度。
在一些實施例中,光阻層15a、光阻層15b及光阻層15c之每一者之厚度的範圍為約5nm至約50nm。在低於約5nm的厚度下,光阻層不具有足夠的厚度,以如所想要的充分地發揮功效,且保護基本基板免於受到後續處理(其包括蝕刻)。在高於約50nm的厚度下,沒有獲得附加性能改善,且降低半導體製造的製程效率。
如圖11A及圖11B所示,在各種實施例中,採用不同的方法來獲得光阻層在多層光阻結構中之配置。圖11A及圖11B顯示根據本揭露之實施例的多層光阻結構之光阻層的參數表。
在一個實施例中,如圖11A所示,在形成光阻層的期間,藉由改變沉積腔室之有機金屬前驅物的濃度,可形成多層光阻結構15,其具有交聯密度高之下方的光阻層15a、交聯密度居中之中間的光阻層15b及交聯密度低之 上方的光阻層15c,且其係對應於圖10A之多層光阻結構15。每一個光阻層之厚度幾乎相同,且於沉積腔室中之水濃度幾乎相同。藉由改變光阻層形成期間沉積腔室中之有機金屬前驅物的濃度,能以較低的有機金屬前驅物濃度形成上方的光阻層15c,以比上方的光阻層15c更大的有機金屬前驅物濃度形成中間的光阻層15b,且以比中間方的光阻層15b更大的有機金屬前驅物濃度形成下方的光阻層15a,獲得各個層之所想要的交聯密度。在一些實施例中,基於處理參數來決定各個層之有機金屬前驅物濃度,以及交聯度或交聯密度。舉例而言,第一化合物或第一前驅物之濃度或流動速率愈高,或者第一前驅物或第一化合物流動至沉積腔室中的時間長度愈長,則光阻層之有機金屬前驅物濃度會愈高,且交聯度或交聯密度也愈高。相反地,第一化合物或第一前驅物之濃度或流動速率愈低,或者第一前驅物或第一化合物流動至沉積腔室中的時間長度愈短,則光阻層之有機金屬前驅物濃度會愈低,且交聯度或交聯密度也愈低。光阻層形成期間沉積腔室中之有機金屬前驅物濃度愈高,則光阻層形成期間所交聯的有機金屬前驅物的含量就越大。
在另一個實施例中,如圖11B所顯示,藉由改變光阻沉積腔室中之水濃度來形成多層光阻結構15,其具有交聯密度高之下方的光阻層15a、交聯密度居中之中間的光阻層15b及交聯密度低之上方的光阻層15c,其對應於圖10A之多層光阻結構15。每一個光阻層之厚度幾乎相同, 且每一個光阻層中之金屬濃度幾乎相同。藉由改變光阻沉積腔室中之水濃度,故以較低的水濃度形成上方的光阻層15c,以比上方的光阻層15c更大的水濃度形成中間的光阻層15b,且以比中間的光阻層15b更大的水濃度形成下方的光阻層15a,獲得各層之所想要的交聯密度。
在一些實施例中,控制於沉積腔室中之水的含量,以保持水蒸汽濃度小於水蒸汽及用於在沉積腔室中形成光阻層之其他反應物前驅物或化合物的總重量之約10重量百分比(wt.%)。在一些實施例中,基於水以及反應前驅物或化合物之總量,水蒸汽濃度之範圍為約0.1重量百分比至約10重量百分比。在一些實施例中,含金屬前驅物或化合物對水之莫耳比之範圍為約1:0.1至約1:2。水蒸汽濃度愈高,光阻層之交聯度或交聯密度就愈高。相反地,水蒸汽濃度愈低,提供的光阻層之交聯度或交聯密度就愈低。若水蒸汽濃度高於約10%,則降低光阻結構LWR。若水蒸汽濃度小於約0.1%,則於光阻結構中之交聯可能不足。若交聯過少,則在顯影操作期間會去除曝光區域之原本不應在顯影操作期間去除的部分,且所製得之圖案不具有所想要的清晰度。若未曝光區域之原本應在顯影操作期間去除的部分中之交聯過多,則會去除此些部分,且所製得之圖案不具有所想要的清晰度。
在一些實施例中,交聯度或交聯密度是根據製程參數而定。舉例而言,水蒸汽之濃度或流動速率愈高,或者水蒸汽流動至沉積腔室中的時間長度愈長,則提供的光阻 層之交聯度或交聯密度就愈高。相反地,水蒸汽之濃度或流動速率愈低,或者水蒸汽流動至沉積腔室中的時間長度愈短,則提供的光阻層之交聯度或交聯密度就愈低。
在另一個實施例中,如圖12A至圖12C所示,藉由改變光阻層形成參數(其包括H2O濃度)、改變含金屬光阻層的厚度、改變金屬光阻前驅物及改變光阻層的烘烤條件,上方的光阻層15c具有最高的交聯密度,且下方的光阻層15a具有最低的交聯密度。在一些實施例中,上方的光阻層15c之較高的交聯密度避免釋氣問題。在多層光阻結構15之底部上之低交聯密度的下方的光阻層15a更容易被顯影劑溶解/去除,從而減少浮渣缺陷。
舉例而言,在圖12A中,具有第一交聯密度的上方的光阻層15c形成於具有比上方的光阻層15c之第一交聯密度更小的第二交聯密度之中間的光阻層15b上,中間的光阻層15b繼而形成於具有比中間的光阻層15b之第二交聯密度更小的第三交聯密度的下方的光阻層15a上。光阻劑之上層比下層吸收更多的輻射。在一些實施例中,如圖12B所示,當EUV光(相當於輻射45)穿過多層光阻結構15時,此多層光阻結構15具有交聯密度高及能量吸收較大之上方的光阻層15c、交聯密度居中及能量吸收居中之中間的光阻層15b以及交聯密度低及能量吸收較低之下方的光阻層15a,光阻結構之曝光區域之總體厚度將具有範圍從最高光阻層中之高交聯度至最低光阻層中之低交聯度的交聯梯度。如圖12C所示,此結構提供的光阻圖案55 具有密度較高的上層及密度較低的下層,密度較高的上層避免光阻釋氣及沉積腔室中之後續污染,密度較低的下層可改善下方的光阻層之顯影且避免下方的光阻層形成光阻浮渣。如圖12C所示,在一些實施例中,由於光阻圖案的曝光區域50之上部之交聯密度較高,而其下部之交聯密度較低,故經圖案化光阻特徵在光阻圖案的曝光區域50之上部處具有比在光阻圖案的曝光區域50之下部處更寬的寬度。在一些實施例中,每一個光阻層中之交聯度經控制以便獲得所要光阻輪廓。若上方的光阻層與下方的光阻層之間的交聯差異過大,則經圖案化光阻輪廓之所得錐度可能過大。在一些實施例中,在上方的光阻層與下方的光阻層之間設有中間的光阻層,可改善上方的光阻層與下方的光阻層之間的黏著性。
在一些實施例中,在圖12A及圖12B所例示之光阻結構中,上方的光阻層15c比中間的光阻層15b更厚,且中間的光阻層15b比下方的光阻層15a更厚。在其他實施例中,在圖12A及圖12B所例示之光阻結構中,上方的光阻層15c具有比中間的光阻層15b更高的金屬光阻前驅物濃度,且中間的光阻層15b具有比下方的光阻層15a更高的金屬光阻前驅物濃度。在其他實施例中,上方的光阻層15c在比中間的光阻層15b更高的溫度或更長的時間下進行曝光前烘烤,且中間的光阻層15b在比下方的光阻層15a更高的溫度或更長的時間下進行曝光前烘烤。在其他實施例中,上方的光阻層15c之沉積操作期間於沉積腔 室中之第二化合物或第二前驅物含量(濃度)大於中間的光阻層15b之沉積操作期間於沉積腔室中之第二化合物或第二前驅物含量(濃度),且中間的光阻層15b之沉積操作期間於沉積腔室中之第二化合物或第二前驅物含量(濃度)大於下方的光阻層15a之沉積操作期間於沉積腔室中之第二化合物或第二前驅物含量(濃度)。
在另一個實施例中,如圖13A至圖13C所示,多層光阻結構15包括多個光阻層15a、光阻層15b、光阻層15c、光阻層15d及光阻層15e,此些光阻層具有不同的交聯密度及厚度,以消除浮渣且提供改善的LWR。如本文所揭示,在一些實施例中為了解決T形頂部形成所調節之光阻層形成參數不能解決浮渣缺陷及光阻劑釋氣。另一方面,如本文所揭示,在一些實施例中,為了解決浮渣缺陷及光阻劑釋氣問題所調節之光阻層形成參數不能解決T形頂部形成。因此,在一些實施例中,形成且排列具有不同交聯密度的多個光阻層以消除浮渣及釋氣,同時提供改善之LWR。舉例而言,在一些實施例中,具有第一厚度及第一交聯密度的底部的光阻層15a形成於基板10上。然後,三個中間的光阻層15b、光阻層15c及光阻層15d形成於底部的光阻層15a上。三個中間的光阻層15b、光阻層15c及光阻層15d類似於在圖10A至圖10C之實施例中形成的三個光阻層。具有第二交聯密度及第二厚度的中間偏上的光阻層15d形成於具有比中間偏上的光阻層15d之交聯密度更大的第三交聯密度的中間居次的光阻層 15c上,中間居次的光阻層15c繼而形成於具有比中間居次的光阻層15c之第三交聯密度更大的第四交聯密度且具有第四厚度之中間偏下的光阻層15b上。具有第五交聯密度及第五厚度的頂部光阻層15e形成於中間偏上的光阻層15d上。
頂部的光阻層15e之第五交聯密度大於底部的光阻層15a之第一交聯密度、中間偏上的光阻層15d之第二交聯密度及中間居次的光阻層15c之第三交聯密度。底層15a之第一交聯密度小於中間居次的光阻層15c之第三交聯密度、中間偏下的光阻層15b之第四交聯密度及頂層15e之第五交聯密度。在一些實施例中,底層15a之第一厚度小於中間偏上的光阻層15d之第二厚度、中間居次的光阻層15c之第三厚度及中間偏下的光阻層15b之第四厚度。在一些實施例中,頂層15e之第五厚度小於中間偏上的光阻層15d之第二厚度、中間居次的光阻層15c之第三厚度及中間偏下的光阻層15b之第四厚度。
在一些實施例中,底部的光阻層15a之第一厚度及頂層15e之第五厚度之範圍獨立地為約0.5nm至約45nm。在一些實施例中,中間偏上的光阻層15d之第二厚度、中間居次的光阻層15c之第三厚度及中間偏下的層15b之第四厚度之範圍獨立地為約5nm至約50nm。
在本揭露之一些實施例中,並非形成單獨的離散光阻層,而是將光阻結構15形成為一個連續層,其中在光阻層沉積期間改變光阻劑沉積參數,以產生具有跨光阻層之 厚度的梯度交聯密度之光阻層。在一些實施例中,光阻劑之交聯密度從光阻層15與基板10界面處之最大交聯密度連續變化至光阻層15之上表面處之最小交聯密度。在其他實施例中,光阻劑之交聯密度從光阻層15與基板10界面處之最小交聯密度連續變化至光阻層15之上表面處之最大交聯密度。
如圖13B所示,多層光阻結構15經圖案式曝光於EUV光(相當於輻射45)。頂部的光阻層15e之高交聯密度減少或避免光阻劑多層結構15的釋氣及對半導體裝置處理腔室及工具之後續污染。如圖13C所顯示,底部的光阻層15a之低交聯密度減少或避免浮渣缺陷。另外,如圖13C所示,從中間偏下的光阻層向上按順序排列成交聯密度遞減之三個中間的光阻層15b、光阻層15c及光阻層15d,以提供與曝光於光化輻射後幾乎相同的交聯度,從而獲得類似於圖10A至圖10C之實施例的改善之LWR。
如圖14所示,在一些實施例中,在形成多層光阻結構15之前,在基板10之上設置要圖案化之層60。在一些實施例中,要圖案化之層60係金屬化層或設置於金屬化層之上的介電層(諸如:鈍化層)。在要圖案化之層60為金屬化層之實施例中,要圖案化之層60以導電材料所形成,此藉由使用金屬化製程及金屬沉積技術,其包括化學氣相沉積、原子層沉積及物理氣相沉積(濺鍍)。同樣地,若要圖案化之層60為介電層,則要圖案化之層60藉由介電層形成技術(包括熱氧化、化學氣相沉積、原子層沉積及 物理氣相沉積(濺鍍))來形成。
如圖15A及圖15B所示,且如圖3A及圖3B所述,多層光阻結構15後續經選擇性曝光於光化輻射45,以在多層光阻結構中形成曝光區域50及未曝光區域52。如本文所解釋的,在一些實施例中,光阻層15a及光阻層15b為負型光阻。
如圖16A所示,藉由從分配器62分配顯影劑57,或者如圖16B所示,藉由乾式顯影操作以形成光阻圖案55來對未曝光光阻區域52進行顯影,如圖17所示。顯影操作類似於本文中參照圖4A、圖4B及圖5所解釋之顯影操作。
如圖18所示,然後,如圖6所解釋,使用蝕刻操作將多層光阻結構15中之圖案55轉移至要圖案化之層60,且去除多層光阻結構15,以在要圖案化之層60中形成圖案55’。
其他實施例包括在以上所描述之操作之前、期間或之後的其他操作。在一些實施例中,所揭示之製造方法包括形成鰭式場效電晶體(fin field effect transistor,FinFET)結構。在一些實施例中,複數個主動鰭片形成於半導體基板上。此類實施例進一步包括透過圖案化硬光罩之開口來蝕刻基板,以在基板中形成溝槽;用介電材料填充溝槽;執行化學機械研磨(CMP)製程,以形成淺溝槽絕緣(shallow trench isolation,STI)特徵;以及磊晶生長STI特徵或使此些STI特徵凹陷,以形成鰭狀主動區 域。在一些實施例中,一或多個閘極電極形成於基板上。一些實施例包括形成閘極間隔物、摻雜源極/汲極區域、用於閘極/源極/汲極特徵之接觸等。在其他實施例中,標靶圖案形成為多層互連結構中之金屬線。舉例而言,金屬線可形成於基板(其已經蝕刻而形成複數個溝槽)之層間介電(inter-layer dielectric,ILD)層中。可用諸如金屬之導電材料填充溝槽,且可使用諸如化學機械平坦化(CMP)之製程來研磨導電材料,以曝露出經圖案化ILD層,從而在ILD層中形成金屬線。以上係可使用本文所描述之製造方法製造及/或改善的裝置/結構之非限制性示例。
在一些實施例中,根據本揭露之實施例,形成主動組件,諸如:二極體、場效電晶體(FET)、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極電晶體、高電壓電晶體、高頻電晶體、鰭式FET(FinFET)、其他三維(3D)FET、其他記憶單元及其組合。
藉由調整第二化合物或第二前驅物(諸如:水)之含量、改變含金屬光阻劑厚度、含金屬光阻劑前驅物及光阻劑烘烤條件之時間及溫度來形成具有不同交聯度之結合的(merged)多層光阻結構,以克服不均勻曝光問題。本揭露之光阻層結構及圖案化方法避免T形頂部的光阻圖案輪廓,減少浮渣缺陷,且避免釋氣及半導體製造處理工具之後續污染。本揭露之實施例改善線寬粗糙度,並改善光阻層之曝光區域與未曝光區域之間的區別。本揭露之實施例 提高半導體裝置的產率。
本揭露之實施例係一種半導體裝置之製造方法,此製造方法包括在基板上形成包括含金屬光阻劑的多層光阻結構。多層光阻結構包括具有不同物理參數的二或多個含金屬光阻層。含金屬光阻劑係第一前驅物與第二前驅物之反應產物,且多層光阻結構中之每一個層使用不同光阻層形成參數來形成。此些不同光阻層形成參數係選自由第一前驅物、第一前驅物之含量、第二前驅物、第二前驅物之含量、每一個光阻層形成操作的時間長度及此些光阻層之加熱條件所組成之群組的一或多者。選擇性地將多層光阻結構曝光於光化輻射,以形成潛在圖案,且藉由向經選擇性曝光之多層光阻結構施加顯影劑,來對潛在圖案進行顯影以形成圖案。在一實施例中,光化輻射係極紫外線輻射。在一實施例中,此製造方法包括以下步驟:在選擇性曝光多層光阻結構於光化輻射以形成潛在圖案之後,且在對潛在圖案進行顯影之前,在範圍為50℃至250℃的溫度下,對多層光阻結構進行曝光後加熱。在一實施例中,此製造方法包括以下步驟:在形成每一個層之後在範圍為50℃至200℃的溫度下,對每一個光阻層進行加熱。在一實施例中,光阻層之此些不同物理參數包括不同光阻層交聯密度或不同光阻層厚度。在一實施例中,藉由化學氣相沉積(CVD)或原子層沉積(ALD)來形成多層光阻結構。在一實施例中,多層光阻結構包括覆蓋基板的第一光阻層及覆蓋第一光阻層的第二光阻層,此些光阻層係第一 前驅物與第二前驅物之反應產物,藉由結合第一前驅物及具有第一濃度的第二前驅物來形成第一光阻層,且藉由結合第一前驅物及具有第二濃度的第二前驅物來形成第二光阻層,其中第二前驅物之第一濃度及第二濃度不相同。在一實施例中,此製造方法包括以下步驟:在第二光阻層之上形成第三光阻層,其中藉由結合第一前驅物及具有第三濃度的第二前驅物來形成第三光阻層,且第三濃度不同於第一濃度及第二濃度。在一實施例中,在形成多層光阻結構於基板上之期間所存在的H2O之含量為改變的,以提供具有不同物理參數的二或多個光阻層。在一實施例中,此二或多個光阻層具有不同厚度。在一實施例中,此製造方法包括在此二或多個光阻層中之每一個光阻層形成之後執行加熱操作。
本揭露之另一個實施例係一種形成圖案之方法,此方法包括:在基板上形成具有第一物理參數的第一光阻層;及在第一光阻層上形成具有第二物理參數的第二光阻層。此第一物理參數及第二物理參數不同。使第一光阻層及第二光阻層進行圖案式交聯,且去除第一光阻層及第二光阻層中未交聯之部分,以在第一光阻層及第二光阻層中形成圖案。第一光阻層及第二光阻層係第一含金屬前驅物與第二前驅物之反應產物。第一光阻層及第二光阻層使用不同光阻層形成參數來形成。此些不同光阻層形成參數係選自於由第一含金屬前驅物、第一含金屬前驅物之含量、第二前驅物、第二前驅物之含量、每一個光阻層形成操作 之時間長度及此些光阻層之加熱條件所組成之群組的一或多者。在一實施例中,此方法包括以下步驟:在第二光阻層之上形成具有第三物理參數的第三光阻層,其中第三物理參數不同於第一物理參數及第二物理參數。在一實施例中,第一物理參數及第二物理參數係第一光阻層及第二光阻層之交聯密度。在一實施例中,第一物理參數及第二物理參數係第一光阻層及第二光阻層之厚度。在一實施例中,此方法包括:在形成此些光阻層中之每一個光阻層之後對第一光阻層及第二光阻層進行加熱。在一實施例中,第一光阻層及第二光阻層未交聯之部分藉由乾式蝕刻操作來去除。在一實施例中,藉由原子層沉積(ALD)或化學氣相沉積(CVD)來形成第一光阻層及第二光阻層。在一實施例中,將第一光阻層及第二光阻層進行圖案式交聯,其包括:將第一光阻層及第二光阻層圖案式地曝光於光化輻射,以及在此圖案式曝光之後對第一光阻層及第二光阻層進行加熱。在一實施例中,光化輻射包括極紫外線輻射或電子束。在一實施例中,對第一光阻層及第二光阻層進行加熱係在範圍為50℃至250℃的溫度。
本揭露之另一個實施例係一種形成圖案之方法,此方法包括:藉由在第一氣相沉積操作中以第一沉積參數沉積第一光阻組成物來在基板上形成第一光阻層;及藉由在第二氣相沉積操作中以第二沉積參數沉積第二光阻組成物來在第一光阻層之上形成第二光阻層。第一沉積參數不同於第二沉積參數。第一沉積參數及第二沉積參數係選自於 由第一光阻組成物之含量、第二組成物之含量、每一個光阻層形成操作之時間長度、壓力、蒸汽流動速率及此些光阻層之加熱條件所組成之群組的一或多者。選擇性地將第一光阻層及第二光阻層曝光於光化輻射,以形成潛在圖案。藉由向經選擇性曝光之第一光阻層及第二光阻層施加顯影劑,來對潛在圖案進行顯影以形成圖案。在一實施例中,第一氣相沉積操作及第二氣相沉積操作為原子層沉積(ALD)或化學氣相沉積(CVD)。在一實施例中,此方法包括以下步驟:藉由第三氣相沉積操作以第三沉積參數在第二光阻層上形成第三光阻層,其中第三沉積參數不同於第一沉積參數及第二沉積參數。在一實施例中,第三沉積參數之含量介於第一沉積參數之含量與第二沉積參數之含量之間。在一實施例中,光化輻射為極紫外線輻射或電子束。在一實施例中,此方法包括以下步驟:在選擇性地將第一光阻層及第二光阻層曝光於光化輻射以形成潛在圖案之後,且在對潛在圖案進行顯影之前,在範圍為50℃至250℃的溫度下,對第一光阻層及第二光阻層進行曝光後加熱。在一實施例中,第一光阻層及第二光阻層包括含金屬光阻組成物。在一實施例中,第一光阻層及第二光阻層具有不同厚度。在一實施例中,第一光阻層及第二光阻層具有不同交聯密度。
本揭露之另一個實施例係一種半導體裝置之製造方法,此製造方法包括:藉由氣相沉積操作在沉積腔室中,以第一水蒸汽濃度在基板上形成第一光阻層。藉由第二氣 相沉積操作在沉積腔室中,以第二水蒸汽濃度在第一光阻層上形成第二光阻層。第一水蒸汽濃度及第二水蒸汽濃度不相同。選擇性地將第一光阻層及第二光阻層曝光於光化輻射,以形成潛在圖案。藉由向經選擇性曝光之第一光阻層及第二光阻層施加顯影劑,來對潛在圖案進行顯影以形成圖案。在一實施例中,第二水蒸汽濃度大於第一水蒸汽濃度。在一實施例中,第一水蒸汽濃度大於第二水蒸汽濃度。在一實施例中,此製造方法包括:藉由第三氣相沉積操作,以第三水蒸汽濃度在第二光阻層上形成第三光阻層,其中第三水蒸汽濃度不同於第一水蒸汽濃度及第二水蒸汽濃度。在一實施例中,第二水蒸汽濃度大於第一水蒸汽濃度,且第三水蒸汽濃度大於第二水蒸汽濃度。在一實施例中,第一水蒸汽濃度大於第二水蒸汽濃度,且第二水蒸汽濃度大於第三水蒸汽濃度。在一實施例中,沉積腔室為原子層沉積腔室或化學氣相沉積腔室。在一實施例中,顯影劑為電漿蝕刻劑。在一實施例中,此製造方法包括:在對潛在圖案進行顯影之後,蝕刻基板之曝光區域。
本揭露之另一個實施例係一種半導體裝置之製造方法,此製造方法包括以下步驟:在基板上沉積有機金屬前驅物與第二前驅物之反應產物,以形成具有第一濃度的第二前驅物之第一光阻層於基板上。在第一光阻層上沉積有機金屬前驅物與第二前驅物之反應產物,以形成具有第二濃度的第二前驅物之第二光阻層。第二濃度不同於第一濃度。此些有機金屬前驅物各自獨立地具有式MaRbXc,其 中M係選自於由Sn、Bi、Sb、In及Te所組成之群組的一或多者;R係經取代或未經取代的烷基、烯基或羧酸酯基;X係鹵素或磺酸酯基;且1≦a≦2,b≧1,c≧1且b+c≦5。此些第二前驅物各自獨立地選自於由水、胺、硼烷及膦所組成之群組。將第一光阻層及第二光阻層進行圖案式交聯,以在第一光阻層及第二光阻層中形成潛在圖案。藉由向經圖案式交聯之第一光阻層及第二光阻層施加顯影劑以形成曝露基板之一部分的圖案來對潛在圖案進行顯影。在一實施例中,第二濃度大於第一濃度。在一實施例中,第一濃度大於第二濃度。在一實施例中,此製造方法包括以下步驟:在圖案式交聯之前,在第二光阻層上沉積有機金屬前驅物與第二前驅物之反應產物,以形成具有第三濃度的第二前驅物之第三光阻層於第二光阻層上,其中第三濃度不同於第一濃度及第二濃度。在一實施例中,第二濃度大於第一濃度,且第三濃度大於第一濃度。在一實施例中,第一濃度大於第二濃度,且第二濃度大於第三濃度。在一實施例中,第一光阻層、第二光阻層及第三光阻層由相同的有機金屬前驅物所形成。在一實施例中,第一光阻層、第二光阻層及第三光阻層由相同的第二前驅物所形成。在一實施例中,將此些光阻層進行圖案式交聯包括:將第一光阻層及第二光阻層圖案式地曝光於極紫外線輻射,以及對經圖案式曝光之第一光阻層及第二光阻層進行加熱。在一實施例中,在範圍為50℃至250℃的溫度下執行加熱。在一實施例中,胺為氨或肼。在一實施例中, 胺、硼烷或膦包括鹵素取代基。
另一個實施例係一種半導體裝置之製造方法,此製造方法包括以下步驟:藉由氣相沉積操作沉積有機金屬前驅物與第二前驅物之反應產物於基板上,以形成具有第一濃度的第二前驅物之第一光阻層於基板上。藉由氣相沉積操作沉積有機金屬前驅物與第二前驅物之反應產物於第一光阻層上,以形成具有第二濃度的第二前驅物之第二光阻層於第一光阻層上。第二濃度不同於第一濃度。此些有機金屬前驅物各自獨立地具有式MaRbXc,其中M係選自於由Sn、Bi、Sb、In及Te所組成之群組的一或多者;R係經取代或未經取代的烷基、烯基或羧酸酯基;X係鹵素或磺酸酯基;且1≦a≦2,b≧1,c≧1且b+c≦5。此些第二前驅物各自獨立地選自於由水、胺、硼烷及膦所組成之群組。選擇性地將第一光阻層及第二光阻層曝光於光化輻射,以在第一光阻層及第二光阻層中形成潛在圖案。藉由向經選擇性曝光之第一光阻層及第二光阻層施加顯影劑,來對潛在圖案進行顯影以形成曝露基板之一部分的圖案。在一實施例中,此製造方法包括以下步驟:在選擇性地曝光第一光阻層及第二光阻層前,藉由氣相沉積操作來沉積有機金屬前驅物與第二前驅物之反應產物於第二光阻層上,以形成具有第三濃度的第二前驅物之第三光阻層,其中第三濃度不同於第一濃度及第二濃度。在一實施例中,此製造方法包括以下步驟:在選擇性地曝光之後,在對潛在圖案進行顯影之前,在範圍為50℃至250℃的溫 度下對第一光阻層、第二光阻層及第三光阻層進行加熱。在一實施例中,此些氣相沉積操作係選自於由化學氣相沉積及原子層沉積所組成之群組。在一實施例中,此製造方法包括以下步驟:在形成第一光阻層、第二光阻層及第三光阻層中之每一者之後且在選擇性曝光之前,在範圍為50℃至200℃的溫度下對第一光阻層、第二光阻層及第三光阻層進行加熱。在一實施例中,第一光阻層、第二光阻層及第三光阻層具有不同厚度。在一實施例中,X為經一或多個胺基取代的磺酸酯基。在一實施例中,烷基、烯基或羧酸酯基經一或多個氟基所取代。在一實施例中,胺、硼烷或膦包括鹵素取代基。在一實施例中,光化輻射為極紫外線輻射或電子束。
本揭露之另一個實施例係一種半導體裝置之製造方法,此製造方法包括以下步驟:經由原子層沉積(ALD)或化學氣相沉積(CVD)在基板上沉積第一光阻層,及經由ALD或CVD在第一光阻層上沉積第二光阻層。第一光阻層包括第一光阻組成物,第一光阻組成物包括第一化合物與第二化合物之第一反應產物,且第二光阻層包括第二光阻組成物,第二光阻組成物包括第一化合物與第二化合物之第二反應產物。此些第一化合物獨立地選自於由三級丁基參(二甲基胺基)錫、異丁基參(二甲基胺基)錫、正丁基參(二甲基胺基)錫、二級丁基參(二甲基胺基)錫、異丙基(參)二甲基胺基錫、正丙基參(二乙基胺基)錫、三級丁基參(三級丁氧基)錫、三級丁基參(丁氧基)錫、正丁基參(丁 氧基)錫、二級丁基參(丁氧基)錫、異丙基(參)二甲基胺基錫及正丙基參(丁氧基)錫所組成之群組。此些第二化合物獨立地選自於由水、胺、硼烷及膦所組成之群組。第一光阻組成物中之第二化合物之濃度不同於第二光阻組成物中之第二化合物之濃度。選擇性地將第一光阻層及第二光阻層曝光於光化輻射,以形成潛在圖案。藉由向經選擇性曝光之第一光阻層及第二光阻層施加顯影劑,來對潛在圖案進行顯影以形成曝露基板之一部分的圖案。在一實施例中,此製造方法包括以下步驟:去除被顯影所曝露之基板的一部分。在一實施例中,去除被顯影所曝露之基板的一部分包括蝕刻基板。在一實施例中,光化輻射為極紫外線輻射或電子束。在一實施例中,此製造方法包括以下步驟:在選擇性地將第一光阻層及第二光阻層曝光於光化輻射以形成潛在圖案之後,且在對潛在圖案進行顯影之前,在範圍為50℃至250℃的溫度下對第一光阻層及第二光阻層進行加熱。在一實施例中,第一化合物經一或多個氟基所取代。在一實施例中,第一光阻組成物中之第一化合物與第二光阻組成物中之第一化合物相同。在一實施例中,第一光阻組成物中之第二化合物與第二光阻組成物中之第二化合物相同。在一實施例中,此製造方法包括以下步驟:經由ALD或CVD沉積第三光阻層於第二光阻層上,其中第三光阻層包含第三光阻組成物,第三光阻組成物包含第一化合物與第二化合物之第三反應產物,且第三光阻組成物中之第二化合物之濃度大於第二光阻組成物中之第二化 合物之濃度,且第二光阻組成物中之第二化合物之濃度大於第一光阻組成物中之第二化合物之濃度。在一實施例中,此製造方法包括以下步驟:經由ALD或CVD沉積第三光阻層於第二光阻層上,其中第三光阻層包含第三光阻組成物,第三光阻組成物包含第一化合物與第二化合物之第三反應產物,且第三光阻組成物中之第二化合物之濃度小於第二光阻組成物中之第二化合物之濃度,且第二光阻組成物中之第二化合物之濃度小於第一光阻組成物中之第二化合物之濃度。在一實施例中,胺為氨或肼。在一實施例中,胺、硼烷或膦包括鹵素取代基。
本揭露之另一個實施例係一種製造半導體裝置之製造方法,此製造方法包括以下步驟:形成多層光阻結構於基板上,其中多層光阻結構包括二或多個光阻層。此二或多個光阻層中之每一個光阻層包括有機金屬化合物與選自於由水、胺、硼烷及膦所組成之群組的一化合物之反應產物。此二或多個光阻層中之每一個光阻層具有不同物理參數。將多層光阻結構進行圖案式交聯,以在多層光阻結構中形成潛在圖案。藉由向經圖案式交聯之多層光阻結構施加顯影劑,來對潛在圖案進行顯影以在多層光阻結構中形成圖案。在一實施例中,將多層光阻結構進行圖案式交聯包括:將此二或多個光阻層圖案式地曝光於光化輻射,以及在圖案式曝光之後對此二或多個光阻層進行加熱。在一實施例中,光化輻射包括極紫外線輻射或電子束。在一實施例中,對此二或多個光阻層進行加熱包括在範圍為50℃ 至250℃的溫度下對此二或多個光阻層進行加熱。在一實施例中,此些物理參數為此二或多個光阻層之交聯密度。在一實施例中,此些物理參數為此些二或多個光阻層之厚度。在一實施例中,有機金屬化合物具有式MaRbXc,其中M係選自於由Sn、Bi、Sb、In及Te所組成之群組的一或多者;R係經取代或未經取代的烷基、烯基或羧酸酯基;X係鹵素或磺酸酯基;且1≦a≦2,b≧1,c≧1且b+c≦5。在一實施例中,烷基、烯基或羧酸酯基經一或多個氟基所取代。在一實施例中,磺酸酯基經一或多個胺基所取代。在一實施例中,此二或多個光阻層包括3至6個光阻層。在一實施例中,胺為氨或肼。在一實施例中,胺、硼烷或膦包括鹵素取代基。
本揭露之另一個實施例係一種形成圖案之方法,此方法包括以下步驟:形成第一光阻層在基板上,以及形成第二光阻層在第一光阻層上。形成第三光阻層在第二光阻層上。形成第四光阻層在第三光阻層上。形成第五光阻層在第四光阻層上。第一光阻層、第二光阻層、第三光阻層、第四光阻層及第五光阻層具有不同物理參數,且第一光阻層、第二光阻層、第三光阻層、第四光阻層及第五光阻層形成多層光阻結構。選擇性地將多層光阻結構曝光於光化輻射,以形成潛在圖案。藉由向經選擇性曝光之多層光阻結構施加顯影劑,來對潛在圖案進行顯影以形成圖案。在一實施例中,光化輻射為極紫外線輻射。在一實施例中,此方法包括以下步驟:在選擇性地將多層光阻結構曝光於 光化輻射以形成潛在圖案之後,且在對潛在圖案進行顯影之前,在範圍為50℃至250℃的溫度下對多層光阻結構進行曝光後加熱。在一實施例中,多層光阻結構包括含金屬光阻組成物。在一實施例中,光阻層之此些不同物理參數包括不同交聯密度或不同光阻層厚度。在一實施例中,第一光阻層具有第一交聯密度,第二光阻層具有第二交聯密度,第三光阻層具有第三交聯密度,第四光阻層具有第四交聯密度,第五光阻層具有第五交聯密度,第二交聯密度大於第一交聯密度、第三交聯密度及第四交聯密度,且第三交聯密度大於第一交聯密度及第四交聯密度。在一實施例中,此方法包括以下步驟:在第一光阻層、第二光阻層、第三光阻層、第四光阻層及第五光阻層中之每一者形成之後執行加熱操作。
前述內容概述數個實施例或實例之特徵,使得本揭露所屬技術領域中具有通常知識者可更好地理解本揭露之態樣。本揭露所屬技術領域中具有通常知識者應瞭解,他們可容易地將本揭露做為設計或修改而用於實施本文所介紹之實施例或實例之相同目的及/或達成相同優點的其他製程及結構之基礎。本揭露所屬技術領域中具有通常知識者亦應認知的是,此類等效構造不脫離本揭露之精神及範疇,且他們可在不脫離本揭露之精神及範疇的情況下對本文作出各種改變、替換及變更。
100:製程流程
S110,S120,S130,S140,S150:操作

Claims (10)

  1. 一種半導體裝置之製造方法,包含:形成包含一含金屬光阻劑的一多層光阻結構在一基板之上,其中該多層光阻結構包括具有複數個不同物理參數的二或多個含金屬光阻層,其中該含金屬光阻劑係一第一前驅物與一第二前驅物之一反應產物,且其中使用複數個不同光阻層形成參數形成該多層光阻結構中之每一層,其中該些不同光阻層形成參數係選自於由該第一前驅物之一組成成分、該第一前驅物之一含量、該第二前驅物之一組成成分、該第二前驅物之一含量、每一該光阻層形成操作之一時間長度及該些光阻層之一加熱條件所組成之群組的一或多者;選擇性地將該多層光阻結構曝光於光化輻射,以形成一潛在圖案;以及藉由向經選擇性曝光之該多層光阻結構施加一顯影劑來顯影該潛在圖案,以形成一圖案。
  2. 如請求項1所述之製造方法,更包含:在選擇性地將該多層光阻結構曝光於該光化輻射,以形成一潛在圖案之後,並且在對該潛在圖案進行顯影之前,在50℃至250℃的一 溫度下曝光後加熱該多層光阻結構。
  3. 如請求項1所述之製造方法,其中每一該光阻層之該些不同物理參數包括不同交聯密度或不同光阻層厚度。
  4. 如請求項1所述之製造方法,其中:該多層光阻結構包括覆蓋該基板的一第一光阻層及覆蓋該第一光阻層的一第二光阻層,該些光阻層係該第一前驅物與該第二前驅物之該反應產物,該第一光阻層藉由結合該第一前驅物及具有一第一濃度的該第二前驅物來形成,該第二光阻層藉由結合該第一前驅物及具有一第二濃度的該第二前驅物來形成,且該第二前驅物之該第一濃度及該第二濃度彼此不同。
  5. 如請求項1所述之製造方法,其中在該基板之上形成該多層光阻結構包含:以一第一水濃度,形成該些含金屬光阻層的一第一光阻層;以及以一第二水濃度,形成該些含金屬光阻層的一第二光阻層,其中該第一水濃度大於該第二水濃度。
  6. 一種形成圖案之方法,包含:形成具有一第一物理參數的一第一光阻層在一基板之上;形成具有一第二物理參數的一第二光阻層在該第一光阻層之上,其中該第一物理參數及該第二物理參數不相同;使該第一光阻層及該第二光阻層進行圖案式交聯;以及去除該第一光阻層之未交聯的一部分及該第二光阻層之未交聯的一部分,以形成一圖案在該第一光阻層及該第二光阻層中,其中該第一光阻層及該第二光阻層係一第一含金屬前驅物與一第二前驅物之一反應產物,其中使用複數個不同光阻層形成參數來形成該第一光阻層及該第二光阻層,其中該些不同光阻層形成參數係選自於由該第一含金屬前驅物之一組成成分、該第一含金屬前驅物之一含量、該第二前驅物之一組成成分、該第二前驅物之一含量、每一該光阻層形成操作之一時間長度及該些光阻層之一加熱條件所組成之群組的一或多者。
  7. 如請求項6所述之方法,更包含形成具有一第三物理參數的一第三光阻層在該第二光阻層之上,其中該第三物理參數不同於該第一物理參數及該第二物理參數。
  8. 如請求項6所述之方法,其中該第一物理參數及該第二物理參數係該第一光阻層及該第二光阻層之交聯密度。
  9. 一種形成圖案之方法,包含:藉由在一第一氣相沉積操作中以一第一沉積參數沉積一第一光阻劑組成物來形成一第一光阻層在一基板之上;藉由在一第二氣相沉積操作中以一第二沉積參數沉積一第二光阻劑組成物來形成一第二光阻層在該第一光阻層之上;藉由一第三氣相沉積操作以一第三沉積參數形成一第三光阻層在該第二光阻層之上,其中該第一沉積參數、該第二沉積參數以及該第三沉積參數彼此不同,且其中該第一沉積參數、該第二沉積參數以及該第三沉積參數係選自於由該第一光阻劑組成物之一含量、該第二組成物之一含量、每一該光阻層形成操作之一時間長度、壓力、蒸汽流動速率及該些光阻層之加熱條件所組成之群組的一或多者;選擇性地將該第一光阻層、該第二光阻層以及該第三光阻層曝光於光化輻射,以形成一潛在圖案;以及藉由向經選擇性曝光之該第一光阻層、該第二光阻層以及該第三光阻層施加一顯影劑來顯影該潛在圖案,以形成一圖案。
  10. 如請求項9所述之方法,其中顯影該潛在圖案後,該第一光阻層、該第二光阻層以及該第三光阻層的交聯密度彼此不同。
TW110111413A 2020-03-30 2021-03-29 半導體裝置之製造方法及形成圖案之方法 TWI801831B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063002351P 2020-03-30 2020-03-30
US63/002,351 2020-03-30
US202063026688P 2020-05-18 2020-05-18
US63/026,688 2020-05-18
US17/150,356 2021-01-15
US17/150,356 US11784046B2 (en) 2020-03-30 2021-01-15 Method of manufacturing a semiconductor device

Publications (2)

Publication Number Publication Date
TW202136912A TW202136912A (zh) 2021-10-01
TWI801831B true TWI801831B (zh) 2023-05-11

Family

ID=76712491

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110111413A TWI801831B (zh) 2020-03-30 2021-03-29 半導體裝置之製造方法及形成圖案之方法

Country Status (4)

Country Link
US (1) US20230369048A1 (zh)
CN (1) CN113109995A (zh)
DE (1) DE102021101198A1 (zh)
TW (1) TWI801831B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023076222A1 (en) * 2021-10-26 2023-05-04 Geminatio Inc. Local shadow masking for multi-color exposures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05107770A (ja) * 1991-10-16 1993-04-30 Dainippon Printing Co Ltd レジストパターンの形成方法
KR20190132940A (ko) * 2018-05-21 2019-11-29 신에쓰 가가꾸 고교 가부시끼가이샤 패턴 형성 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05107770A (ja) * 1991-10-16 1993-04-30 Dainippon Printing Co Ltd レジストパターンの形成方法
KR20190132940A (ko) * 2018-05-21 2019-11-29 신에쓰 가가꾸 고교 가부시끼가이샤 패턴 형성 방법

Also Published As

Publication number Publication date
CN113109995A (zh) 2021-07-13
US20230369048A1 (en) 2023-11-16
DE102021101198A1 (de) 2021-09-30
TW202136912A (zh) 2021-10-01

Similar Documents

Publication Publication Date Title
US11705332B2 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11822237B2 (en) Method of manufacturing a semiconductor device
EP3933506A1 (en) Method of manufacturing a semiconductor device and pattern formation method
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
TWI796661B (zh) 半導體裝置之製造方法
KR102630481B1 (ko) 반도체 디바이스 제조 방법
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI804806B (zh) 製造半導體元件的方法
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
US20230418156A1 (en) Method of manufacturing a semiconductor device and semiconductor device manufacturing tool
TWI831108B (zh) 製造半導體裝置的方法
TW202416053A (zh) 半導體裝置的製造方法及半導體裝置製造工具
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具
CN113341662A (zh) 光致抗蚀剂显影剂和制造半导体器件的方法