TW202416053A - 半導體裝置的製造方法及半導體裝置製造工具 - Google Patents

半導體裝置的製造方法及半導體裝置製造工具 Download PDF

Info

Publication number
TW202416053A
TW202416053A TW112123095A TW112123095A TW202416053A TW 202416053 A TW202416053 A TW 202416053A TW 112123095 A TW112123095 A TW 112123095A TW 112123095 A TW112123095 A TW 112123095A TW 202416053 A TW202416053 A TW 202416053A
Authority
TW
Taiwan
Prior art keywords
gas
photoresist layer
flow rate
time period
manifold
Prior art date
Application number
TW112123095A
Other languages
English (en)
Inventor
李蕙君
馮東鴻
李邦鼎
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202416053A publication Critical patent/TW202416053A/zh

Links

Images

Abstract

一種製造半導體裝置的方法包括在基板上方形成一層包含光阻劑組合物的光阻層。光阻層有選擇性地曝光於光化輻射。在有選擇性地將光阻層曝光於光化輻射後,加熱光阻層。在加熱光阻層期間,氣體在光阻層上方流動。在加熱光阻層期間,改變氣體的流量,並在加熱光阻層後顯影光阻層以在光阻層中形成圖案。

Description

半導體裝置的製造方法及半導體裝置製造工具
隨著消費者裝置響應於消費者需求而變得越來越小,這些裝置的多種個別的組件也必須減小尺寸。構成諸如行動電話、計算機平板、或類似者的裝置的主要組件的半導體裝置,受到變為愈來愈小的壓力,在這些半導體裝置之內的多種個別裝置(例如,電晶體、電阻器、電容器等)也有減小尺寸方面的相應的壓力。
在半導體裝置的製造製程中所使用的一種賦能技術是光微影材料的使用。這樣的材料施加到待圖案化的層的表面,然後曝光於使此材料本身被圖案化的能量。這樣的曝光改變了光敏感材料的曝光的區域的化學和物理性質。這樣的變化,加上在未曝光的光敏感材料的多個區域中缺乏變化,可以加以利用,以移除其中一個區域而不移除另外的區域。
然而,隨著多種個別的裝置的尺寸已減小,用於光 微影製程的製程窗口變得越來越窄。這樣,在光微影製程的領域中的進步對於維持縮小化這些裝置的能力是必須的,並且為了滿足期望的設計標準還需要進一步的改進,使得可以保持向越來越小的組件前進。
隨著半導體產業為了追求更高的裝置密度、更高的性能、和更低的成本而向奈米技術製程節點發展,在減小半導體特徵尺寸上面臨多種挑戰。已開發了極紫外線微影(EUVL),以形成更小的半導體裝置特徵尺寸,和增加在半導體晶圓上的裝置密度。為了改善EUVL 製程的效率,需要減少EUV的曝光劑量並提高光阻的性能。
可以理解的是,之後的揭示內容提供了用於實現本揭示內容的不同的特徵的許多不同的實施方式或實施例。以下描述組件和配置的具體實施方式或實施例,以簡化本揭示內容。當然,這些僅是實施例,並不意圖為限制性的。例 如,元件的尺寸不限於所揭示的範圍或數值,而是可能取決於裝置的製程條件和/或所需的特性。此外,在隨後的描述中,形成第一特徵在第二特徵上方或之上,可包括第一和第二特徵以直接接觸而形成的實施方式,且也可包括附加的特徵可形成介在第一和第二特徵之間,因此第一和第二特徵可不是直接接觸的實施方式。為了簡化和清楚起見,可用不同的比例任意繪製各個特徵。
此外,為了便於描述一個元件或特徵與另一個元件或特徵之間,如圖式中所繪示的關係,在此可能使用空間上的相對用語,諸如「之下」、「下方」、「低於」、「之上」、「高於」、和類似用語。除了在圖式中所繪示的方向以外,空間上的相對用語旨在涵蓋裝置在使用中或操作中的不同方向。裝置可能有其他方向(旋轉90度或其他方向),並且此處所使用的空間上相對描述語也可相應地解釋。此外,用語「由…製成」可意指「包含」或者「由…組成」任一種。再者,在以下的製造過程中,在所描述的操作中/之間可以存在一個或多個額外操作,且操作順序亦可以改變。可在其他實施例中採用如關於一個實施例所解釋之材料、配置、尺寸、製程及/或操作,且可省略其詳細描述。源極/汲極區域可以指源極或汲極,單獨或共同取決於上下文。
含金屬的光阻劑作為高敏感性和高蝕刻選擇性光阻劑是理想的。在含金屬的光阻劑中的金屬顆粒吸收高能光子,例如極紫外線(EUV)光子。含金屬的光阻劑包括金屬顆粒、以及與金屬顆粒錯合的配體。在一些實施方式中,金屬顆粒是奈米顆粒,並且在一些實施方式中,金屬顆粒是金屬氧化物顆粒。在一些實施方式中,包括一或多個金屬奈米顆粒的金屬芯,經由複數個配體單元錯合,形成配體錯合的金屬芯。
使用金屬光阻劑,例如氧化錫光阻劑,可以將光阻層的數量和操作次數從三道微影製程和三道蝕刻操作減少到一次微影製程和蝕刻操作。然而,由於EUV曝光所需的高劑量,EUV曝光和金屬光阻劑可能不具有成本效益。在本揭示的一些實施例中,優化腔室排氣以增強曝光後烘烤(post exposure bake,PEB)製程期間的光阻劑交聯反應。因此,根據本公開的實施例的方法的EUV劑量和操作成本(cost of operation,CoO)可以顯著降低。
第1圖為根據本揭示案實施例之半導體裝置的製造流程100。在操作S110中,製備阻劑組合物。在一些實施例中,所述阻劑為光阻劑。
在一些實施例中,在操作S110中,在待構圖層或基板10的表面塗佈光阻劑組合物,形成抗蝕劑層15,如第2圖所示。在一些實施例中,抗蝕劑層15為光阻層。然後,在一些實施例中,抗蝕劑層15經歷第一次烘烤操作(或曝光前烘烤)S130以蒸發光阻劑組合物中的溶劑。在足以固化和乾燥抗蝕劑層15的溫度和時間下烘烤抗蝕劑層15(預烘烤)。在一些實施例中,將抗蝕劑層加熱至約40°C至約120°C的溫度約10秒至約10分鐘。
在第一次(或預)烘烤操作S120 之後,在操作S130中,光阻層15選擇性地曝光於光化輻射45/97(見第3A和3B圖)。在一些實施例中,光阻層15選擇性地曝光於紫外線輻射。在一些實施例中,紫外線輻射是深紫外線輻射(deep ultraviolet radiation,DUV)。在一些實施例中,紫外線輻射是極紫外線(extreme ultraviolet,EUV)輻射。在一些實施例中,光化輻射是電子束。
如第3A圖所示,在一些實施例中,曝光輻射45在照射光阻層15之前穿過光罩30。在一些實施例中,光罩具有要在光阻層15中複製的圖案。所述圖案由光罩基板40上的不透明圖案35形成。不透明圖案35可以由不透紫外線的材料形成,例如鉻,而光罩基板40由對紫外線輻射透明的材料形成,例如熔融石英(fused quartz)。
在一些實施例中,使用極紫外光微影對光阻層15進行選擇性曝光以形成曝光區50和未曝光區52。在一些實施例中,在極紫外光微影操作中,反射式光罩65用於形成圖案化曝光光線,如第3B圖所示。反射式光罩65包括低熱膨脹玻璃基板70,其上形成有Si和Mo的反射多層75。覆蓋層80和吸收層85形成在反射多層75上。後導電層90形成在低熱膨脹玻璃基板70的背面。在極紫外光微影中,極紫外線輻射95以大約6°的入射角被導向反射式光罩65。極紫外光輻射的一部分97被Si/Mo多層75反射向塗有光阻劑的基板10,而入射到吸收層85上的極紫外光輻射的一部分被光罩吸收。在一些實施例中,包括反射鏡在內的附加光學元件位於反射式光罩65和光阻劑塗層基板之間。
相對於未曝光於輻射的光阻層區域52,曝光於輻射的光阻層區域50會發生化學反應,從而改變其在隨後施加的顯影劑中的溶解度。在一些實施例中,曝光於輻射的光阻層的部分50經歷交聯反應。
接下來,光阻層15在操作S140中經歷第二次烘烤操作(或曝光後烘烤)。在一些實施例中,光阻層15被加熱到約70°C至約220°C的溫度約20秒至約200秒。可以使用曝光後烘烤以幫助在曝光期間由輻射45/97撞擊光阻層15而產生的酸/鹼/自由基的產生、分散和反應或者增強光阻層之輻射曝光區域50的交聯。這種幫助有助於產生或增強化學反應,化學反應在光阻層內的曝光區域50和未曝光區域52之間產生化學差異。這些化學差異也導致曝光區域50和未曝光區域52之間溶解度的差異。
第4A圖、第4B圖和第4C圖為根據本揭示案實施例之曝光後烘烤的操作。第4A圖、第4B圖和第4C圖繪示出了經歷曝光後烘烤(或加熱)操作之塗覆有光阻劑的基板250。塗覆有光阻劑的基板250被放置在加熱元件200(例如,電加熱器)上。在一些實施例中,加熱元件200為電熱板。在一些實施例中,塗覆有光阻劑的基板250由支撐銷210(見第7圖)支撐在加熱元件200上方。具有吹掃氣體230的歧管220設置於塗覆有光阻劑的基板250上方。吹掃氣體隨後在歧管的中心部分或歧管的邊緣部分從歧管排出275,如第4A圖所示。吹掃氣體在曝光後烘烤期間從光阻層吹掃除氣。在一些實施例中,吹掃氣體為清潔乾燥空氣(clean dry air,CDA);二氧化碳;包括氮氣、氦氣、氖氣和氬氣的惰性氣體;或其組合。
在一些實施例中,歧管220相對於塗覆有光阻劑的基板250更低,使得吹掃氣體230流過塗覆有光阻劑的基板250。在一些實施例中,支撐銷210使塗覆有光阻劑的基板250朝歧管上升。
在一些實施例中,在曝光後烘烤(加熱)過程中,通過控制加熱元件200的溫度,將光阻層15加熱到約70°C至約220°C範圍內的溫度。在一些實施例中,在曝光後烘烤(加熱)過程中,光阻層15在約100°C至約200°C範圍內的溫度下被加熱。在一些實施例中,在曝光後烘烤期間,光阻層15在約150°C至約190°C範圍內的溫度下被加熱。在低於所述範圍的溫度下加熱可能導致光阻層的曝光部分的交聯不充分和反應副產物的去除不充分。在上述範圍以上的溫度下加熱光阻層可能會損壞光阻層或半導體裝置的其他層,或者不必要地增加半導體裝置製造流程的成本。
在一些實施例中,在曝光後烘烤期間,光阻層15被加熱約40秒至約200秒。在一些實施例中,光阻層15被加熱約65秒至約175秒。將光阻層加熱小於所述範圍的時間可能導致光阻層的曝光部分的交聯不充分和反應副產物的去除不充分。將光阻層加熱超過所述範圍的時間可能會損壞光阻層或半導體裝置的其他層,或降低半導體裝置的產量。
在一些實施例中,控制吹掃氣體的排氣流量以提供光阻層的增強交聯並增強光阻劑脫氣的去除。如第4B圖所示,在較高的排氣流量下,從光阻層和處理腔室中去除了更大量的脫氣16、反應副產物和污染物。然而,在曝光後烘烤操作期間,交聯反應物也可隨排氣一起移除,導致具有減少的交聯量的較薄光阻層15,如第4B圖所示。另一方面,在較低的排氣流量下,更多的交聯反應物保留在光阻層15中,並且在光阻層的輻射曝光區域中發生更大量的交聯,如第4C圖所示。然而,在較低的排氣流量下,發生較少的反應副產物脫氣16,這可能導致處理腔室和半導體裝置的污染。因此,希望控制排氣流量以優化交聯和污染物的去除。在本揭示的實施例中,控制排氣流量和曝光後烘烤參數以優化光阻層的交聯和污染物的減少。
在一些實施例中,光阻層15上方的吹掃氣體230的流量在曝光後烘烤操作期間是多變化的。在一些實施例中,如第5A圖所示,在氣體230流過光阻層15的第一時間週期內,吹掃氣體僅從位於歧管220邊緣部分的排氣口290a排出。然後,如第5B圖所示,在氣體230於光阻層15上方流動的第二時間週期內,氣體275a、275b從位於歧管220之邊緣部分的排氣口290a和位於中心部分的排氣口290b排出。在一些實施例中,第一時間週期比第二時間週期更長。在一些實施例中,第一時間週期的範圍為約40秒至約200秒,第二時間週期的範圍為約2秒至約85秒。在其他實施例中,第一時間週期的範圍為約60秒至約115秒,第二時間週期的範圍為約5秒至約60秒。
在一些實施例中,供應到光阻層15上方的空間的氣體230的流量在約1L/min至約20L/min的範圍內。在第一時間週期和第二時間週期內,氣體275a通過位於歧管220的邊緣部分的排氣口290a的排氣流量在約1L/min至約20L/min的範圍內,如第5A圖和第5B圖所示。而在歧管220的中心部分沒有氣體通過排氣口290b排出(流量=0),如第5A圖所示。在第5B圖中,接著,在第二時間週期內,氣體275b通過位於歧管220中央部分的排氣口290b的排氣流量範圍為約10L/min至約80L/min,而通過歧管220的邊緣部分處的排氣口290a的進入氣體230和排氣275a的流量保持在約1L/min至約20L/min。在一些實施例中,供應到光阻層15上方的空間的進入氣體230的流量在約2L/min至約10L/min的範圍內。在第一時間週期和第二時間週期內,氣體275a通過位於歧管220邊緣部分的排氣口290a的流量範圍為約2L/min至約10L/min。在第二時間週期內,氣體275b通過位於歧管220中心部分的排氣口290b的流量範圍為約20L/min至約60L/min。在一些實施例中,氣體275b在第二時間週期內通過位於歧管220中心部分的排氣口290b的流量大於氣體275a通過位於歧管220邊緣部分的排氣口的流量。在一些實施例中,在第二時間週期內通過位於歧管220的中心部分的排氣口290b的氣體275b的流量大於通過歧管220供應的進入吹掃氣體230的流量。
在一些實施例中,在第二時間週期內,流過歧管220之中心部分的排氣口290b的排氣275b的流量F2C與流過歧管220之邊緣部分的排氣口290a的排氣275a的流量F2E之比為約 1 至約 80。在其他實施例中,F2C/F2E之比在約2至約30的範圍內。在一些實施例中,在第二時間週期內,流經歧管220中心部分的排氣口290b的排氣275b的流量F2C與進入氣體230的流量F1的比的範圍為約1至約80。在其他實施例中,F2C/F1之比在約2至約30的範圍內。在一些實施例中,在第一或第二時間週期內,流入氣體的流量F1與流過歧管的邊緣部分290a的排氣275a的流量FE之比在約0.2至約5的範圍內。在一些實施例中, F1/FE之比為約1。
在一些實施例中,第二時間週期P2與第一時間週期P1的比的範圍為約0.01至約1。在其他實施例中,第二時間週期與第一時間週期的比的範圍為約0.04至約0.5。
在所公開的範圍或比率之外的進入氣體230流量和排出氣體流量275a、275b可能會導致光阻層的曝光部分的交聯不充分和反應副產物的去除不充分。同樣地,第一和第二時間週期的長度超出所公開的範圍或比例也可能導致光阻層的曝光部分的交聯不充分和反應副產物的去除不充分。
在一些實施例中,氣體噴頭270用以作為歧管,如第6A圖至第6C圖的平面圖所示。在一些實施例中,氣體噴頭270的使用最大限度地減少了進入吹掃氣體230的湍流(turbulence),並提供了對塗覆有光阻劑的基板250的溫度控制改善。
在一實施例中,面向塗覆有光阻劑的基板250上的光阻層15之噴頭270的表面與光阻層的主表面間隔約1mm至約25mm的距離。在一些實施例中,面向光阻層15之噴頭270的表面與光阻層15的主表面間隔約3mm至約15mm的距離。
在一些實施例中,噴頭270包括氣體流經的多個開口280,如第6A圖至第6C圖所示。如第6A圖所示,在一些實施例中,多個開口呈行列排列。在一些實施例中,多個開口280中的每一個都具有範圍從大約0.1mm到大約10mm的直徑D1。在一些實施例中,多個開口280中的每一個都具有範圍從大約1mm到大約5mm的直徑D1。在一些實施例中,多個開口280的直徑D1約為2毫米。在一些實施例中,多個開口180沿X方向和Y方向的間距W1、W2的範圍為約0.5mm至約24mm。在一些實施例中,多個開口280具有範圍從大約3mm到大約10mm的間距。在一些實施例中,多個開口280具有約6mm的間距。在一些實施例中,X方向的間距和Y方向的間距大致相同。在其他實施例中,X方向和Y方向的間距不同。具有在本揭示的範圍之外的間距的開口可能導致光阻劑圖案產生臨界尺寸均勻性的降低和線寬粗糙度的增加。
在一些實施例中,包括具有其他圖案的開口280的氣體噴頭270。如第6B圖所示,在一些實施例中,多個開口280成行(line)佈置,其中交替行中的開口280相對於直接相鄰的行交錯。在其他實施例中,開口280排列成圍繞中心開口的同心圓,如第6C圖所示。在一些實施例中,靠近氣體噴頭270中心的開口280的直徑D1大於靠近氣體噴頭270周邊的開口280的直徑D1。開口280的圖案不限於本文所示的實施例。在其他實施例中,其他圖案,例如螺旋圖案、隨機圖案等都在本揭示的範圍內。
第7圖顯示了根據本揭示案實施例之半導體裝置製造工具300。在一些實施例中,半導體裝置製造工具300包括處理腔室310,例如曝光後烘烤腔室。加熱元件200設置在腔室內。在一些實施例中,多個支撐銷210設置在腔室310內。多個支撐銷210設置在加熱元件200上方,並配置用以支撐塗覆有光阻劑的基板250。多個支撐銷210配置用以升高和降低半導體基板250。在一些實施例中,氣體噴頭270設置在加熱元件上方。在一些實施例中,歧管升高/降低機構355用以升高和降低歧管220。在其他實施例中,使用其他類型的氣體歧管,例如第4A圖、第5A圖、第5B圖和第9A-10B圖中所示的氣體歧管220。
在一些實施例中,半導體裝置製造工具300包括吹掃氣體源320。氣體源320通過氣體分配管路325連接到歧管220。氣體源320向歧管供應吹掃氣體230。在一些實施例中,第一真空泵340a通過第一真空管路345a連接到位於歧管220外圍(邊緣)部分的排氣口290a,且第二真空泵340b通過第二真空管路345b連接到位於歧管220中心部分的排氣口290b。真空泵340a、340b用於在吹掃氣體流過塗覆有光阻劑的基板250後排出吹掃氣體、光阻劑脫氣和污染物。
在一些實施例中,控制器400配置用以控制通過氣體歧管220供應的吹掃氣體230的任何或所有流量、流經位在氣體岐管220的外圍(邊緣)部分的排氣口290a之排氣275a的流量、流過位在氣體岐管220中心部分的排氣口290b之排氣275的流量、加熱元件200的溫度、多個支撐銷210沿豎直方向的運動、以及氣管220沿豎直方向的運動,如第7圖所示。在一些實施例中,控制器400控制用於升高和降低氣體歧管220的機構355。在一些實施例中,可調閥335、360a和360b分別位於氣體分配管路325和真空管路345a、345b中,它們由控制器400所控制。因此,在一些實施例中,控制器可以切斷第一真空管路345a或第二真空管路345b中任一個的真空,從而在曝光後烘烤操作S140期間,切斷通過岐管200邊緣部分290a或中心部分290b的排氣口中的任一個的排氣流。在一些實施例中,控制器400與溫度感測器200a連通,並確定是否升高或降低加熱元件200的溫度。
上述實施例的全部或部分方法或操作是利用電腦硬體和在其上執行的專用電腦程式來實現的。在第8A圖中,繪示了控制器400的實施例。在一些實施例中,控制器400是電腦系統400,其具有包括光碟唯讀存儲器(optical disk read only memory)(例如,CD-ROM或DVD-ROM)驅動器405和磁碟驅動器(magnetic disk drive)406的電腦401、鍵盤402、滑鼠403和顯示器404。
第8B圖顯示本揭示一些實施例的電腦系統400的內部結構。在第8B圖中,電腦401除了具有光碟驅動器405和磁碟驅動器406之外,還具有一個或多個處理器411,例如微處理器單元(micro-processor unit,MPU);其中存儲有諸如啟動程式(boot up program)的程式的ROM 412;與處理器411連接的隨機存取記憶體(random access memory,RAM)413,其用於臨時存儲應用程式的命令,並提供臨時電子存儲區;存儲有應用程式、操作系統程式和數據的硬碟414;以及連接處理器411、ROM 412等的資料匯流排(data communication bus)415。應注意,電腦401可以包括網卡(未示出),用於提供連接電腦網絡,例如局域網(local area network,LAN)、廣域網(wide area network,WAN)或任何其他用於傳送數據所使用的數據計算系統400的實用電腦網絡。
用於使電腦系統400執行用於控製第7圖的設備的過程和/或執行用於存儲在光碟421或磁片422之根據本揭示實施例之製造半導體裝置方法的過程的操作,其中光碟421或磁片422插入光碟驅動器405或磁碟驅動器406中,並傳送到硬碟414。或者,程式可以通過網路(未示出)傳輸到電腦系統400並存儲在硬碟414中。在執行時,程式被加載到RAM 413中。此程序可以從光碟421或磁片422加載,或者直接從網路加載。存儲的程式不一定必須包括例如操作系統(operating system,OS)或第三方程式以使電腦401執行此處揭示的方法。在一些實施例中,此程式包括命令部分以在受控模式下調用適當的功能(模組)並獲得期望的結果。
在第9A圖和第9B圖中繪示了曝光後烘烤操作S140的另一個實施例。在此實施例中,在第一時間週期內,供應至光阻層15上方空間的氣體230的流量範圍為約1L/min至約20L/min,並且在第一時間週期內,氣體275a通過位於歧管220邊緣部分的排氣口290a的排氣流量範圍為約1L/min至約20L/min,而在歧管220的中心部分沒有氣體通過排氣口290b排出(流量=0),如第9A圖所示。然後,在第9B圖中,在第二時間週期內,氣體275a通過位於歧管220的邊緣部分的排氣口290a的排氣流量在大約10L/min到大約80L/min的範圍內,而進入氣體230的流量維持在約1L/min至約20L/min,並且沒有排氣流經歧管220中心部分的排氣口290b。在一些實施例中,在第一時間週期內,供應到光阻層15上方空間的進入氣體230的流量範圍為約2L/min至約10L/min,氣體275a通過位於歧管220邊緣部分的排氣口290a的流量範圍為2L/min至10L/min,且在第二時間週期內,氣體275a通過位於歧管220邊緣部分的排氣口290a的流量範圍為20L/min至60L/min。在一些實施例中,氣體275a在第二時間週期內通過位於歧管220邊緣部分的排氣口290a的流量大於在第二時間週期內通過歧管220供應的進入氣體230的流量。
在一些實施例中,在第二時間週期內流經歧管220邊緣部分排氣口290a的排氣275a流量F2E與在第一時間週期內流經邊緣部分排氣口290a的排氣275a流量F1E之比的範圍從大約1到大約80。在其他實施例中,F2E/F1E的比在約2至約30的範圍內。在一些實施例中,在第二時間週期內,流經歧管220的邊緣部分的排氣口290a的排氣275a的流量F2E與進入氣體230的流量F1的比的範圍為約1至約80。在其他實施例中,F2E/F1的比在約2至約30的範圍內。在一些實施例中,在第一時間週期內,流入氣體230的流量F1與流過歧管邊緣部分290a的排氣275a的流量F1E之比在約0.2至約5的範圍內。在一些實施例中,F1/F1E的比約為1。
在一些實施例中,第二時間週期P2與第一時間週期P1的比介於約0.01至約1之間。在其他實施例中,第二時間週期與第一時間週期的比在約0.04至約0.5的範圍內。
在所公開的範圍或比之外的進入氣體流量230和排出氣體流量275a可能導致光阻層的曝光部分的交聯不充分和反應副產物的去除不充分。同樣地,第一時間週期和第二時間週期的長度超出所公開的範圍或比也可能導致光阻層的曝光部分的交聯不充分和反應副產物的去除不充分。
在第10A圖和第10B圖中繪示了曝光後烘烤操作S140的另一個實施例。在此實施例中,在第一時間週期內,供應至光阻層15上方空間的氣體230的流量範圍為約1L/min至約20L/min,並且在第一時間週期內,通過位於排氣管220的中心部分的排氣口290b的氣體275b的排氣流量在大約1L/min到大約20L/min的範圍內,而在歧管220邊緣部分沒有氣體通過排氣口290a排出(流量=0),如第10A圖所示。然後,在第10B圖中,在第二時間週期內,氣體275b通過位於排氣管220的中心部分的排氣口290b的排氣流量在約10L/min至約80L/min的範圍內,而進入氣體230的流量保持在約1L/min至約20L/min,並且沒有排氣流過岐管220邊緣部分的排氣口290。在一些實施例中,在第二時間週期內,供應到光阻層15上方空間的進入氣體230的流速在約2L/min至約10L/min的範圍內,在第一時間週期內,氣體275b通過位於歧管220中心部分的排氣口290b的流量範圍為2L/min至10L/min,並且在第二時間週期內,氣體275b通過位於歧管220中心部分的排氣口290b的流量範圍為20L/min至60L/min。在一些實施例中,在第二時間週期內通過位於歧管220的中心部分的排氣口290b的排氣275b流量大於在第二時間週期內通過歧管220供應的進入氣體230的流量。
在一些實施例中,在第二時間週期內流經歧管220中心部分排氣口290b的排氣275b流量F2C與在第一時間週期內流經中心部分排氣口290b的排氣275b流量F1C之比的範圍從大約1到大約80。在其他實施例中,F2E/F1E的比在約2至約30的範圍內。在一些實施例中,在第二時間週期內,流經歧管220的中心部分排氣口290b的排氣275b的流量F2C與進入氣體230的流量F1的比的範圍為約1至約80。在其他實施例中,F2E/F1的比在約2至約30的範圍內。在一些實施例中,在第一時間週期內,在一些實施例中,在第一時間週期內,流入氣體的流量F1與流過歧管的中心部分290b的排氣275b流量F1C之比在約0.2至約5的範圍內。在一些實施例中,F1/F1C的比約為1。
在一些實施例中,第二時間週期P2與第一時間週期P1的比介於約0.01至約1之間。在其他實施例中,第二時間週期與第一時間週期的比在約0.04至約0.5的範圍內。
在所公開的範圍或比之外的進入氣體流量230和排出氣體流量275b可能導致光阻層的曝光部分的交聯不充分和反應副產物的去除不充分。同樣地,第一時間週期和第二時間週期的長度超出所公開的範圍或比也可能導致光阻層的曝光部分的交聯不充分和反應副產物的去除不充分。
隨後在操作S150中通過將顯影劑施加到選擇性曝光的光阻層來顯影選擇性曝光的光阻層。如第11圖所示,顯影劑57從分配器62供應到光阻層15。在一些實施例中,當光阻劑為負型光阻劑時,通過顯影液57去除光阻層未曝光部分52,在光阻層15中形成開口55的圖案,暴露出基板10,如第12圖所示。
在一些實施例中,將光阻層15中的開口圖案55延伸到待圖案化層或基板10中,以在基板10中形成開口圖案55',從而將光阻層15中的圖案轉移到基板10中,如第13圖所示。使用一種或多種合適的蝕刻劑通過蝕刻將圖案延伸到基板中。在一些實施例中,在顯影操作之後剩餘的光阻層15部分在蝕刻操作期間至少部分地被去除。在其他實施例中,剩餘的光阻層15在蝕刻基板10之後藉由使用合適的光阻劑剝離劑溶劑或通過光阻劑灰化操作而去除。
在一些實施例中,基板10至少在其表面部分上包括單晶半導體層。基板10可以包括單晶半導體材料,例如但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb和InP。在一些實施例中,基板10為絕緣層上矽(Silicon-on Insulator,SOI)基板的矽層。在某些實施例中,基板10由結晶Si製成。
基板10可以在其表面區域包括一個或多個緩衝層(未示出)。緩衝層可用於將晶格常數從基板的晶格常數逐漸改變為隨後形成的源極/汲極區的晶格常數。緩衝層可以由外延生長的單晶半導體材料形成,例如但不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP和InP。在一實施例中,在矽基板10上外延生長矽鍺(SiGe)緩衝層。SiGe緩衝層的鍺濃度可以從最底層緩衝層的30 atomic%增加到最頂層緩衝層的70 atomic%。
在一些實施例中,基板10包括一層或多層至少一種具有式MXa的金屬、金屬合金和金屬氮化物/硫化物/氧化物/矽化物,其中M是金屬,X是N、S、Se、O、Si,a為約0.4至約2.5。在一些實施例中,基板10包括鈦、鋁、鈷、釕、氮化鈦、氮化鎢、氮化鉭或它們的組合。
在一些實施例中,基板10包括至少具有式MXb的矽或金屬氧化物或氮化物的電介質,其中M是金屬或Si,X是N或O,b的範圍為約0.4至約2.5。在一些實施例中,基板10包括二氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭或其組合。
在一些實施例中,基板10指在其上形成有光阻劑層的任何下層。隨後使用光刻和蝕刻操作對基板10進行圖案化。
光阻層15是通過曝光於光化輻射而圖案化的感光層。通常,受入射輻射照射的光阻劑區域的化學性質會發生變化,具體取決於所用光阻劑的類型。光阻層15是正型光阻劑或負型光阻劑。正型光阻劑是指當曝光於輻射(例如紫外光)時變得可溶於顯影劑的光阻劑材料,而未曝光(或曝光較少)的光阻劑區域不另一方面,負型光阻劑是指當曝光於輻射時變得不溶於顯影劑的光阻劑材料,而未曝光(或曝光較少)的光阻劑區域可溶於顯影劑。由於曝光在輻射下引起的交聯反應,負型光阻劑在輻射下變得不溶的區域可能變得不溶。
光阻劑是正型色調還是負型色調可能取決於用於顯影光阻劑的顯影劑類型。例如,當顯影劑是水基(aqueous-based)顯影劑,例如四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)溶液時,一些正型光阻劑提供正型圖案(即,曝光區域被顯影劑去除)。另一方面,當顯影劑是有機溶劑時,相同的光阻劑提供負型圖案(即,未曝光區域被顯影劑去除)。此外,在一些用TMAH溶液顯影的負型光阻劑中,光阻劑未曝光的區域被TMAH去除,光阻劑的曝光區域在光化輻射曝光後發生交聯,顯影后保留在基板上。
根據本揭示的光阻劑組合物是含金屬的光阻劑。在一些實施例中,光阻層15是一種負型金屬光阻劑,在輻射下發生交聯反應。
在一些實施例中,光阻層15由金屬光阻劑組合物製成,包括以氣態結合的第一化合物或第一前驅體和第二化合物或第二前驅體。第一前驅體或第一化合物是具有下式的有機金屬:MaRbXc,如第14A圖所示,其中 M 是 Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Ru中的至少一種,且R是取代或未取代的烷基、烯基或羧酸酯基團。在一些實施例中,M選自Sn、Bi、Sb、In、Te及其組合。在一些實施例中,R是C3-C6烷基、烯基或羧酸酯。在一些實施例中,R選自丙基(propyl)、異丙基(isopropyl)、丁基(butyl)、異丁基(iso-butyl)、仲丁基(sec-butyl)、叔丁基(tert-butyl)、戊基(pentyl)、異戊基(isopentyl)、仲戊基(sec-pentyl)、叔戊基(tert-pentyl)、己基(hexyl)、異己基(iso-hexyl)、仲己基(sec-hexyl)、叔-己基(tert-hexyl)及其組合。X是與第二化合物或第二前驅體反應的配位基(ligand)、離子(ion)或其他部分;並且在一些實施例中,1≤a≤ 2,b≥1,c≥1,且b+c≤5。在一些實施例中,烷基、烯基或羧酸酯基被一個或多個氟基取代。在一些實施例中,有機金屬前驅體是二聚體,其中每個單體單元都通過胺基連接,如第14A圖所示。每個單體都有一個分子式:M aR bX c,定義如上所述。
在一些實施例中,R為烷基,如C nH 2n+1,其中n≥3。在一些實施例中,R是氟化的,例如具有式C nF xH ((2n+1 )-x 。在一些實施例中,具有至少一個β-氫或β-氟。在一些實施例中,R選自異丙基(i-propyl)、正丙基(n-propyl)、叔丁基(t-butyl)、異丁基(i-butyl)、正丁基(n-butyl)、仲丁基(sec-butyl)、正戊基(n-pentyl)、異戊基(i-pentyl)、叔戊基(t-pentyl)和仲戊基(sec-pentyl),及其組合。
在一些實施例中,X是容易被第二化合物或第二前驅體取代以產生M-OH部分的任何部分,例如選自由胺組成的組的部分,例如選自胺的部分,包括二烷基氨基(dialkylamino)和單烷基氨基(monalkylamino);烷氧基(alkoxy);羧酸鹽(carboxylates)、鹵素(halogens)和磺酸鹽(sulfonates)。在一些實施例中,磺酸鹽基被一個或多個胺基取代。在一些實施例中,鹵化物選自F、Cl、Br和I中的一種或多種。在一些實施例中,磺酸基包括取代或未取代的C1-C3基團。
在一些實施例中,第一有機金屬化合物或第一有機金屬前驅體包括金屬核M +以及連接到金屬核M +的配位基L,如第14B圖所示。在一些實施例中,金屬核M +是金屬氧化物。在一些實施例中,配位基L包括C3-C12脂肪族(aliphatic)或芳香族(aromatic)基團。脂肪族或芳香族基團可以是未支化的或支化的,具有環狀或非環狀飽和側基,包含1-9個碳,包括烷基、烯基和苯基。支化基團可以進一步被氧或鹵素取代。C3-C12脂肪族或芳香族基團包括雜環基團(heterocyclic group)。在一些實施例中,C3-C12脂肪族或芳香族基團通過醚鍵或酯鍵連接到金屬上。在一些實施例中,C3-C12脂肪族或芳香族基團包括亞硝酸鹽(nitrite)和磺酸鹽(sulfonate)取代基。
在一些實施例中,有機金屬前驅體或有機金屬化合物包括仲己基三(二甲基氨基)錫(sec-hexyl tris(dimethylamino) tin)、叔己基三(二甲基氨基)錫(t-hexyl tris(dimethylamino) tin)、異己基三(二甲基氨基)錫(i-hexyl tris(dimethylamino) tin)、正己基三(二甲基氨基)錫(n-hexyl tris(dimethylamino) tin)、仲戊基三(二甲基氨基)錫(sec-pentyl tris(dimethylamino) tin)、叔戊基三(二甲基氨基)錫(t-pentyl tris(dimethylamino) tin)、異戊基三(二甲基氨基)錫(i-pentyl tris(dimethylamino) tin)、正戊基三(二甲基氨基)錫(n-pentyl tris(dimethylamino) tin)、仲丁基三(二甲基氨基)錫(sec-butyl tris(dimethylamino) tin)、叔丁基三(二甲基氨基)錫(t-butyl tris(dimethylamino) tin)、異丁基三(二甲基氨基)錫(i-butyl tris(dimethylamino) tin)、正丁基三(二甲基氨基)錫(n-butyl tris(dimethylamino) tin)、仲丁基三(二甲基氨基)錫(sec-butyl tris(dimethylamino) tin)、異丙基(三)二甲基氨基錫(i-propyl(tris)dimethylamino tin)、正丙基三(二乙基氨基)錫(n-propyl tris(diethylamino) tin)和類似的烷基(三)( 叔丁氧基)錫(alkyl(tris)(t-butoxy) tin)化合物,包括仲己基三(叔丁氧基)錫(sec-hexyl tris(t-butoxy) tin)、叔己基三(叔丁氧基)錫(t-hexyl tris(t-butoxy) tin)、異己基三(叔丁氧基)錫(i-hexyl tris(t-butoxy) tin)、正己基三(叔丁氧基)錫(n-hexyl tris(t-butoxy) tin)、仲戊基三(叔丁氧基)錫(sec-pentyl tris(t-butoxy) tin)、叔戊基三(叔丁氧基)錫(t-pentyl tris(t-butoxy) tin)、異戊基三(叔丁氧基)錫(i-pentyl tris(t-butoxy) tin)、正戊基三(叔丁氧基)錫(n-pentyl tris(t-butoxy) tin)、叔丁基三(叔丁氧基)錫(t-butyl tris(t-butoxy) tin)、異丁基三(丁氧基)錫(i-butyl tris(butoxy) tin)、正丁基三(丁氧基)錫(n-butyl tris(butoxy) tin)、仲丁基三(丁氧基)錫(sec-butyl tris(butoxy) tin)、異丙基(三)二甲基氨基錫(i-propyl(tris)dimethylamino tin)或正丙基三(丁氧基)錫(n-propyl tris(butoxy) tin)。在一些實施例中,有機金屬前驅體或有機金屬化合物被氟化。在一些實施例中,有機金屬前驅體或化合物的沸點低於約200°C。
在一些實施例中,第一化合物或第一前驅體包含一個或多個不飽和鍵,其可與基板表面或中間底層上的官能團例如羥基配位,以提高光阻層對基板或底層的黏附力。
在一些實施例中,第二前驅體或第二化合物是胺(amine)、硼烷(borane)、磷化氫(phosphine)或水中的至少一種。在一些實施例中,胺的分子式為N pH nX m,其中0≤n≤3,0≤m≤3,n+m=3,當p為1時,n+m=4;當p為2時,每個X獨立地為選自由F、Cl、Br和I所組成之群組的鹵素。在一些實施例中,硼烷的分子式為B pH nX m,其中0≤n≤3,0≤m≤3,n+m=3,當p為1時,n+m=4;當p為2時,每個X獨立地為選自由F、Cl、Br和I所組成之群組的鹵素。在一些實施例中,磷化氫的分子式為P pH nX m,其中0≤n≤3,0≤m≤3,n+m=3,當p為1時,n+m=4;當p為2時,每個X獨立地為選自由F、Cl、Br和I所組成之群組的鹵素。
第14B圖顯示在一些實施例中由於曝光於光化輻射而經歷反應的金屬前驅體。由於曝光於光化輻射,配位基團L從金屬前驅體的金屬核M +分裂出來,兩個或多個金屬前驅體核相互結合。
第14C圖顯示根據本揭示實施例的有機金屬前驅體的實施例。在第14C圖中,Bz是苯基。
第15圖顯示根據本揭示實施例的光阻劑組合物組分因曝光於光化輻射和加熱而經歷的反應。第15圖繪示出了根據本揭示實施例的光阻劑圖案化方法的各個階段的光阻層的示例性化學結構。如第15圖所示,光阻劑組合物包括有機金屬化合物,例如SnX 2R 2,和第二化合物,例如氨(NH 3)。當有機金屬化合物和氨結合時,有機金屬化合物與氣相中的一些氨反應形成反應產物,胺基連接到有機金屬化合物的金屬(Sn)上。沉積的光阻層中的胺基團具有氫鍵,可以顯著提高沉積的光阻層的沸點並有助於防止含金屬的光阻劑材料的脫氣。此外,胺基的氫鍵可以幫助控制水分對光阻層質量的影響。
當隨後曝光於極紫外輻射時,有機金屬化合物吸收極紫外輻射並且一個或多個有機R基團從有機金屬化合物裂解以在輻射曝光區域形成氨基金屬化合物。在一些實施例中,接著,當進行曝光後烘烤(post exposure bake,PEB)時,氨基金屬化合物通過胺基交聯,如第15圖所示。在一些實施例中,由於曝光在極紫外輻射下,氨基金屬化合物會發生部分交聯。
在一些實施例中,通過氣相沉積操作執行在基板上形成塗覆有光阻劑組合物的操作S110。在一些實施例中,氣相沉積操作包括原子層沉積(atomic layer deposition,ALD)和化學氣相沉積(chemical vapor deposition,CVD)。在一些實施例中,ALD包含等離子體增強原子層沉積(plasma-enhanced atomic layer deposition,PE-ALD);CVD包括等離子體增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PE-CVD)、金屬有機化學氣相沉積(metal-organic chemical vapor deposition,MO-CVD)、常壓化學氣相沉積(atmospheric pressure chemical vapor deposition,AP-CVD)和低壓化學氣相沉積(low pressure chemical vapor deposition,LP-CVD)。
根據本揭示一些實施例的光阻層沉積設備500繪示在第16圖中。在一些實施例中,沉積設備500是ALD或CVD設備。沉積設備500包括真空腔室505。真空腔室505中的晶圓支撐架570支撐基板510,例如矽晶圓。在一些實施例中,晶圓支撐架570包括加熱器。在一些實施例中,第一前驅體或化合物氣體供應520和載氣/吹掃氣體供應525通過氣體管路535連接到腔室中的入口530,並且第二前驅體或化合物氣體供應540和載氣/吹掃氣體供應525經由另一氣體管路535'連接到腔室中的另一入口530'。腔室被抽空,過量的反應物和反應副產物由真空泵545經由出口550和排氣管路555去除。在一些實施例中,前驅體氣體和載氣/吹掃氣體的流量或脈衝(pulses)、過量反應物和反應副產物的排出、真空室505內的壓力以及真空腔室505或晶圓支撐架570的溫度由控制器560所控制,控制器560配置用以控制這些參數中的每一個。
在一些實施例中,沉積光阻層包括將第一化合物或第一前驅體與第二化合物或第二前驅體以氣相組合以形成光阻劑組合物。在一些實施例中,光阻劑組合物的第一化合物或第一前驅體和第二化合物或第二前驅體大致同時藉由入口530、530'被引入沉積腔室505(CVD腔室)。在一些實施例中,第一化合物或第一前驅物和第二化合物或第二前驅物以交替方式經由入口530、530'引入沉積腔室505(ALD腔室),例如,首先是第一種化合物或前驅體,然後是第二種化合物或前驅體,然後既而交替地重複引入一種化合物或前驅體,接著引入第二種化合物或前驅體。
在一些實施例中,沉積腔室溫度在沉積操作期間在約30°C至約400°C的範圍內,並且在其他實施例中在約50°C至約250°C之間。在一些實施例中,沉積腔室中的壓力在沉積操作期間在約5mTorr至約100Torr的範圍內,並且在其他實施例中在約100mTorr至約10Torr之間。在一些實施例中,電漿功率小於約1000W。在一些實施例中,電漿功率範圍從約100W到約900W。在一些實施例中,第一化合物或前驅體和第二化合物或前驅體的流量範圍為約100sccm至約1000sccm。在一些實施例中,有機金屬化合物前驅體與第二化合物或前驅體的流量之比為約1:1至約1:5。在一些實施例中,在上述範圍之外的操作參數下會產生不令人滿意的光阻層。在一些實施例中,光阻層的形成發生在單一個腔室(一鍋合成)(one-pot layer formation)。
在根據本揭示一些實施例的CVD製程中,有機金屬前驅體和第二前驅體的兩個或多個氣流在分開的入口路徑530、535和530'、535'中被引入CVD設備的沉積腔室505,其中它們在氣相中混合並反應,形成反應產物。在一些實施例中,使用單獨的注入入口(separate injection inlet)230、230'或雙增壓噴頭(dual-plenum showerhead)引入流動。沉積設備被配置用以使得有機金屬前驅體的流動和第二前驅體的流動在腔室中混合,從而允許有機金屬前驅體和第二前驅體反應以形成反應產物。在不限制本揭露的機構、功能、或用途的情況下,相信來自氣相反應的產物在分子量上變得更重、然後冷凝或沉積至基板510上。
在一些實施例中,ALD製程用於沉積光阻劑層。在ALD期間,通過將基板表面曝光於交替氣體化合物(或前驅物)在基板510上生長層。與CVD不同,前驅體是作為一系列連續的、不重疊的脈衝引入的。在這些脈衝中的各者中,前驅物分子以自限方式與表面反應,因此一旦表面上的所有反應位點經消耗,則反應終止。因此,單次曝光於所有前驅物(所謂ALD迴圈)之後沉積於表面上的最大材料量由前驅物-表面相互作用的性質判定。
在ALD製程的一實施例中,有機金屬前驅物經脈衝化,以在第一半反應中將含金屬前驅物輸送至基板510表面。在一些實施例中,有機金屬前驅物與適合的下伏物種(例如基板表面上的OH或NH官能基)反應以形成新的自飽和表面。在一些實施例中,通過使用真空泵545進行抽真空及/或通過流動惰性吹掃氣體移除多餘未使用的反應物及反應副產物。接著,在一些實施例中,將諸如氨(NH 3)的第二前驅物脈衝化至沉積腔室。NH 3與基板上的有機金屬前驅物反應,以在基板表面上獲得反應產物光阻劑。第二前驅物亦與下伏反應物種形成自飽和鍵,以提供另一自限性及飽和的第二半反應。在一些實施例中,執行第二吹掃以移除未使用的反應物及反應副產物。第一前驅物及第二前驅物的脈衝與中間的吹掃操作交替進行,直到達到所需的光阻層厚度。
在一些實施例中,光阻層15形成為約5nm至約50nm的厚度,並且在其他實施例中形成為約10nm至約30nm的厚度。本領域的普通技術人員將意識到,以上明確範圍內的額外厚度範圍是預期的並且在本公開的範圍內。可以基於光阻層的光學性質使用x射線反射率(x-ray reflectivity)和/或橢圓光度法(ellipsometry)的非接觸方法來評估厚度。在一些實施例中,各光阻層厚度比較均勻,便於加工。在一些實施例中,沉積的光阻層的厚度變化與平均厚度的變化不超過±25%,在其他實施例中,每個光阻層厚度的變化與平均光刻膠層厚度的變化不超過±10%。在一些實施例中,例如在較大基板上的高均勻性沉積,光阻層均勻性的評估可以用1厘米的邊緣排除(1 centimeter edge exclusion)來評估,即,不評估邊緣1厘米內的塗層部分的層的均勻性。本領域的普通技術人員將意識到,以上明確範圍內的額外範圍是預期的並且在本公開的範圍內。
在一些實施例中,第一和第二化合物或前驅體與載氣一起輸送到沉積腔室505中。載氣、吹掃氣體、沉積氣體或其他製程氣體可包含氮氣、氫氣、氬氣、氖氣、氦氣或它們的組合。
在一些實施例中,有機金屬化合物包括錫(Sn)、銻(Sb)、鉍(Bi)、銦(In)和/或碲(Te)作為金屬成分,然而,本揭露不限於這些金屬。在其他實施例中,其他適合金屬包括鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈷(Co)、鉬(Mo)、鎢(W)、鋁(Al)、鎵(Ga)、矽(Si)、鍺(Ge)、磷(P)、砷(As)、釔(Y)、鑭(La)、鈰(Ce)、鑥(Lu)或其組合。額外金屬可作為Sn、Sb、Bi、In和/或Te的替代物或補充物。
使用的特定金屬可顯著影響輻射吸收。因此,可根據所需的輻射及吸收截面來選擇金屬成分。錫、銻、鉍、碲、及銦對13.5nm的極紫外光有很強的吸收作用。鉿對電子束及極UV輻射有良好的吸收作用。包括鈦、釩、鉬、或鎢在內的金屬成分在較長的波長處有很強的吸收,以提供例如對248nm波長紫外光的靈敏度。
在一些實施例中,抗蝕劑層15是通過將有機金屬化合物混合在溶劑中形成光阻劑組合物並將光阻劑組合物分配到基板10上而形成的。為了有助於光阻劑的混合和分配,至少部分地基於為金屬光阻劑選擇的材料來選擇溶劑。在一些實施例中,選擇溶劑使得有機金屬均勻地溶解在溶劑中並分配到欲圖案化的層上。
在一些實施例中,光阻劑曝光輻射是由CO 2雷射激發Sn電漿(波長13.5nm)產生的極紫外線輻射。在其他實施例中,包括g線(g-line,波長約436nm)、i線(i-line,波長約365nm)、遠紫外線(far ultraviolet radiation)或電子束(electron beam)的紫外線用於選擇性地曝光光阻層。在一些實施例中,輻射源係選自由汞燈(mercury vapor lamp)、氙燈(xenon lamp)、碳弧燈(carbon arc lamp)、KrF準分子鐳射(KrF excimer laser light,波長248nm)、rF準分子鐳射(ArF excimer laser light,波長193nm)、F 2準分子雷射光(F 2excimer laser light,波長為 157 nm),以及CO 2雷射激發Sn電漿所組成之群組。
在一些實施例中,光阻層15的曝光採用了浸沒式微影(immersion lithography技術)。在這種技術中,浸沒介質(未顯示)放置在最終光學器件和光阻層之間,且曝光輻射45穿透浸沒介質。
在一些實施例中,光阻劑顯影劑57包括溶劑以及酸或鹼。在一些實施例中,顯影劑包括一種或多種選自由乙酸正丁酯(n-butyl acetate)、甲基正戊基酮(methyl n-amyl ketone)、己烷(hexane)、庚烷(heptane)、乙酸戊酯(amyl acetate)、乙二醇(ethylene glycol)、丙二醇甲醚(propylene glycol methyl ether)、丙二醇乙醚(propylene glycol ethyl ether)、γ-丁內酯(γ-butyrolactone)、環己酮(cyclohexanone)、乳酸乙酯(ethyl lactate)、甲醇(methanol)、乙醇(ethanol)、丙醇(propanol)、正丁醇(n-butanol)、丙酮(acetone)、二甲基甲醯胺(dimethyl formamide)、乙腈(acetonitrile)、異丙醇(isopropanol)和四氫呋喃(tetrahydrofuran)所組成之群組。在一些實施例中,所述酸為乙酸(acetic acid)、乙二酸(ethanedioic acid)、甲酸(methanoic acid)、2-羥基丙酸(2-hydroxypropanoic acid)、2-羥基丁二酸(2-hydroxybutanedioic acid)、檸檬酸(citric acid)、尿酸(uric acid)、三氟甲磺酸(trifluoromethanesulfonic acid)、苯磺酸(benzenesulfonic acid)、乙磺酸(ethanesulfonic acid)、甲磺酸(methanesulfonic acid)、馬來酸(maleic acid)中的一種或多種。在一些實施例中,適用於光阻劑顯影劑組合物57的鹼包括鏈烷醇胺(alkanolamine)、三唑(triazole)或銨(ammonium)化合物。在一些實施例中,合適的鹼包括選自由單乙醇胺(monoethanolamine)、單異丙醇胺(monoisopropanolamine)、2-氨基-2-甲基-1-丙醇(2-amino-2-methyl-1-propanol)、1H-苯並三唑(1H-benzotriazole)、1,2,4-三唑(1,2,4-triazole)、1,8-二氮雜雙環十一烷-7-烯(1,8-diazabicycloundec-7-ene)、四甲基氫氧化銨(tetramethylammonium hydroxide)、四乙基氫氧化銨(tetraethylammonium hydroxide)、四丙基氫氧化銨(tetrapropylammonium hydroxide)和四丁基氫氧化銨(tetrabutylammonium hydroxide)及其組合所組成之群組的有機鹼;或選自由氫氧化銨(ammonium hydroxide)、氨基磺酸銨(ammonium sulfamate)、氨基甲酸銨(ammonium carbamate)及其組合所組成之群組的無機鹼或選自由氫氧化銨(ammonium hydroxide)、氨基磺酸銨(ammonium sulfamate)、氨基甲酸銨(ammonium carbamate)及其組合所組成之群組的無機鹼。在一些實施例中,鹼選自由單異丙醇胺(monoisopropanolamine)、2-氨基-2-甲基-1-丙醇(2-amino-2-methyl-1-propanol)、1H-苯並三唑(1H-benzotriazole)、1,2,4-三唑(1,2,4-triazole)、1,8-二氮雜雙環十一烷-7-烯(1,8-diazabicycloundec-7-ene)及其組合所組成之群組。在一些實施例中,顯影劑還包括水。
在一些實施例中,使用旋塗(spin-on)製程將顯影劑57施加於光阻劑層。在旋塗製程中,顯影劑57自光阻層15之上施加至光阻層15,同時旋轉光阻劑塗佈的基板,如第11圖中所示。在一些實施例中,顯影劑57以約5ml/min與約800ml/min之間的速率供應,而光阻劑塗佈基板10以約100rpm至約2000rpm的速度旋轉。在一些實施例中,顯影劑在顯影操作期間處於約10°C與約80°C之間的溫度。在一些實施例中,顯影操作持續約30秒至約10分鐘。在一些實施例中,進行電漿顯影操作。
儘管旋塗操作是曝光之後顯影光阻劑層15a的一適合方法,但其旨在說明,而非限制實施例。相反,亦可使用任何適合的顯影操作,包括浸漬製程(dip processe)、混拌製程(puddle processe)、及噴射(spray-on)法。所有此類顯影操作包括於實施例的範疇內。
在顯影過程中,顯影液57將負型光阻劑之未曝光區域52溶解形成圖案55,露出基板10的表面,如第12所示圖,留下輪廓分明的曝光光阻劑區域50, 比傳統的負型光阻劑微影技術具有更高的清晰度。
在顯影操作S150之後,將剩餘的顯影劑從圖案化的塗覆有光阻劑的基板上去除。在一些實施例中,儘管可以使用任何合適的去除技術,但是使用旋轉乾燥(spin-dry)製程去除剩餘的顯影劑。在光阻層15顯影並移除剩餘的顯影劑之後,在圖案化光阻劑層50、52就位的情況下進行附加處理。在一些實施例中,舉例來說,進行蝕刻操作,使用乾蝕刻或濕蝕刻,將光阻層的圖案55轉移到下面的基板10,形成凹槽55',如第13圖所示。基板10具有與光阻層15不同的抗蝕刻性。在一些實施例中,與光阻層15相比,蝕刻對基板10更具選擇性。
在一些實施例中,基板10和光阻層15含有至少一種抗蝕刻分子。在一些實施例中,抗蝕刻分子包括具有低大西數結構(Onishi number structure)、雙鍵、三鍵、矽、氮化矽、鈦、氮化鈦、鋁、氧化鋁、氮氧化矽及其組合等的分子。
在一些實施例中,在形成光阻劑層之前,在基板上設置待圖案化層60,如第17圖所示。在一些實施例中,待圖案化層60是金屬化層或設置在金屬化層上的介電層,例如鈍化層。在待圖案化層60為金屬化層的實施例中,待圖案化層60由導電材料通過金屬化製程和金屬沉積技術形成,包括化學氣相沉積、原子層沉積和物理氣相沉積(濺射)。同樣地,若待圖案化層60為介電層,則待圖案化層60的形成方式為介電層形成技術,包括熱氧化(thermal oxidation)、化學氣相沉積(chemical vapor deposition)、原子層沉積(atomic layer deposition)和物理氣相沉積(physical vapor deposition)。
光阻層15隨後選擇性地曝光於光化輻射45/97以在光阻劑層中形成曝光區50和未曝光區52,如第18A圖和第18B圖所示,並且在本文中結合第3A圖和第3B圖進行描述。
經曝光的塗覆有光阻劑的基板580隨後如關於第4A圖至第10B圖所描述的那樣被加熱。
如第19圖所述,光阻層15通過分配器62分配顯影劑57顯影以形成光阻劑開口55的圖案,如第20圖所示。顯影操作與這裡參考第11圖所解釋的類似。在一些實施例中,當光阻劑為負型光阻劑時,通過顯影液57去除光阻劑層52未曝光部分,在光阻層15形成開口55的圖案,露出待圖案化層60,如第20圖所示。
接著如第21圖所示,使用蝕刻操作將光阻層15中的圖案55轉移到待圖案化層60上並去除光阻層,如參考第13圖所解釋的,以在待圖案化層60中形成圖案55a”。
製造半導體裝置的方法600顯示在第22圖中。在操作S610中,在基板10上形成光阻層15。在操作S620中,光阻層15選擇性地曝光於光化輻射。然後,在操作S630中,在將光阻劑層選擇性地曝光於光化輻射後,加熱光阻層15。在加熱期間,在操作S640中,氣體流過光阻層。當氣體流過光阻劑層時,在操作S640中改變流量。在操作S650中,在加熱之後顯影光阻層15以在光阻層中形成圖案55。在一些實施例中,氣體通過氣體歧管220供應至光阻層15上方的空間,氣體歧管具有位於歧管220邊緣部分或歧管220中心部分的一個或多個排氣口。在操作S660中,在第一時間週期內,氣體以第一流量排出,並且氣體通過在歧管220中心部分或邊緣部分排出。然後在操作S670中,在第二時間週期內,氣體以與操作S660中不同的第二流量或通過不同的氣體排氣口排出。
在第23圖的流程圖中說明了另一種製造半導體裝置的方法700。在操作S710中,在基板10上形成光阻層15。在操作S720中,光阻層15以圖案化(patternwise)方式(或選擇性地)曝光於光化輻射。然後,在操作S730中,在光阻劑層以圖案化方式曝光於光化輻射之後烘烤光阻層15。在烘烤光阻層15期間,在操作S740中,氣體以第一流量流過光阻劑層。在操作S750中,氣體在第一時間週期內通過噴頭周邊的一個或多個排氣口290a以第二流量排出。然後,在操作S760中,在第二時間週期內以第三流量通過噴頭周圍的一個或多個排氣口290a排出氣體,其中第三流量大於第二流量。在操作S770中,在加熱之後,繼而顯影光阻層15以在光阻劑層中形成圖案55。
第24圖的流程圖中說明了另一種製造半導體裝置的方法800。在操作S810中,在基板10上形成光阻層15。在操作S820中,光阻層15以圖案方式(或選擇性地)曝光於光化輻射。然後,在操作S830中,在光阻劑層以圖案化方式曝光於光化輻射之後,烘烤光阻層15。在烘烤光阻層15期間,在操作S840中,氣體以第一流量流過光阻劑層。在操作S850中,氣體在第一時間週期內通過歧管的中心部分的排氣口並以第二流量排出。然後,在操作S860中,氣體以第三流量在第二時間週期內從歧管220的中心部分排氣口排出,其中第三流量大於第二流量。在操作S870中,在加熱之後繼而顯影光阻層15以在光阻劑層中形成圖案55。
其他實施例包括上述操作之前、期間、或之後的其他操作。在一些實施例中,所揭示的方法包括形成鰭式場效電晶體(fin field effect transistor,FinFET)結構。在一些實施例中,在半導體基板上形成多個主動鰭片。此類實施例進一步包括穿過經圖案化硬遮罩的開口蝕刻基板以在基板中形成溝槽;用介電材料填充溝槽;執行化學機械研磨(chemical mechanical polishing,CMP)製程以形成淺溝槽隔離(shallow trenchisolation,STI)特徵;及磊晶生長或使STI特徵凹陷以形成鰭式主動區。在一些實施例中,在基板上形成一或多個閘電極。一些實施例包括形成閘極間隔物、摻雜源極/汲極區、用於閘極/源極/汲極特徵的觸點等。在其他實施例中,靶圖案形成為多層互連結構中的金屬線。舉例而言,金屬線可形成於基板的層間介電(inter-layer dielectric,ILD)層中,所述層已經蝕刻以形成多個溝槽。溝槽可填充有導電材料,諸如金屬;且可使用諸如化學機械平坦化(chemical mechanical planarization,CMP)的製程來研磨導電材料以曝光經圖案化ILD層,從而在ILD層中形成金屬線。以上是可使用本文所述方法製造及/或改善的裝置/結構的非限制性實例。
在一些實施例中,形成諸如二極體、場效電晶體(field-effect transistor,FET)、FinFETs、環繞式閘極場效應晶體管(gate all around field effect transistor,GAA FET)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effecttransistor,MOSFET)、互補金屬氧化物半導體(complementary metal-oxidesemiconductor,CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體、其他三維(three-dimensional,3D)FET、其他記憶體單元、及其組合的主動元件。
在本揭示實施例中,通過使用順序曝光後烘烤(post exposure bake,PEB)製程可以實現減少光化輻射曝光的劑量。通過在PEB期間使用初始較長時間、較低流量排氣,然後是較短時間、較高流量排氣,可以實現減少曝光劑量。在一些實施例中,曝光劑量的減少是通過較低的排氣流量來實現的,並且通過較短的時間、較高的排氣流量來減輕腔室污染。較低流量的排氣用於在大部分曝光後烘烤過程中保持更多反應物保留在光阻劑膜內以增強交聯反應。因此,根據本公開實施例的方法可以顯著降低曝光劑量和操作成本(cost of operation,CoO)。
在本揭示的一些實施例中,當形成具有30奈米間距的圖案時,在與使用沒有本揭示之順序PEB排氣製程類似的微影方法形成的圖案大致相同的線寬粗糙度(line width roughness,LWR)和臨界尺寸(critical dimension,CD)範圍的情況下,實現了減少高達10%的曝光劑量。在本揭示的一些實施例中,與通過不使用本揭示之順序PEB排氣技術的類似微影製程形成的圖案相比,如顯影后檢查所示,實現了光阻劑圖案中臨界尺寸高達約7%的改善。此外,晶圓斜面和晶圓背面污染水平與不使用本揭示之順序PEB排氣技術的類似微影大致相同,如腔室Sn離子色譜法(Ion Chromatography,ICP)所確定。
本揭示一實施例是一種製造半導體裝置的方法,包括在基板上方形成包含光阻劑組合物的光阻層。將光阻層選擇性地曝光於光化輻射。在將光阻層選擇性地曝光於光化輻射之後,加熱光阻層。在加熱光阻層的過程中使氣體流過光阻層上方。在加熱光阻層的過程中改變氣體的流量。在加熱光阻層後,顯影光阻層以在光阻層中形成圖案。在一實施例中,在加熱過程中,光阻層在70°C至220°C的溫度範圍內被加熱。在一實施例中,在加熱過程中,光阻層在150°C至190°C的溫度範圍內被加熱。在一實施例中,在加熱過程中,光阻層被加熱40秒至200秒。在一實施例中,在加熱過程中,光阻層被加熱65秒至175秒。在一實施例中,在使氣體流過光阻層上方的過程中,氣體被供應到光阻層上方的空間並通過歧管從空間排出。在一實施例中,歧管包括多個供氣口和一個或多個排氣口。在一實施例中,多個供氣口配置以橫跨在噴頭構造中歧管的一個面。在一實施例中,一個或多個排氣口位於歧管的中心部分或歧管的邊緣部分。在一實施例中,氣體在光阻層上方流動的第一時間週期內,氣體僅從位於歧管邊緣部分的排氣口排出,然後氣體在光阻層上方流動的第二時間週期內,氣體從位於岐管邊緣部分的排氣口和位於岐管中心部分的排氣口排出。在一實施例中,第一時間週期長於第二時間週期。在一實施例中,第一時間週期為40秒至200秒,且第二時間週期為2秒至85秒。在一實施例中,第一時間週期為60秒至115秒,且第二時間週期為5秒至60秒。在一實施例中,供應至空間的氣體的流量為1 L/min至20 L/min,在第一時間週期和第二時間週期內,通過位於岐管邊緣部分的排氣口的氣體的流量為1 L/min至20 L/min,以及在第二時間週期內,通過位於岐管中心部分的排氣口的氣體的流量為10 L/min至80 L/min。在一實施例中,供應至空間的氣體的流量為2 L/min至10 L/min,在第一時間週期和第二時間週期內,通過位於岐管邊緣部分的排氣口的氣體的流量為2 L/min至10 L/min,以及在第二時間週期內,通過位於岐管中心部分的排氣口的氣體的流量為20 L/min至60 L/min。在一實施例中,在第二時間週期內,通過位於歧管中心部分的排氣口的氣體流量大於通過位於歧管邊緣部分的排氣口的氣體流量。在一實施例中,在第二時間週期內,通過位於歧管中心部分的排氣口的氣體流量大於通過歧管供給的氣體流量。在一實施例中,一個或多個排氣口位於歧管的邊緣部分。在一實施例中,氣體在光阻層上方流動的第一時間週期內,氣體以第一流量從一個或多個排氣口排出,然後氣體在光阻層上方流動的第二時間週期內,氣體以第二流量從一個或多個排氣口排出,其中第二流量大於第一流量。在一實施例中,第一時間週期長於第二時間週期。在一實施例中,第一時間週期的範圍為40秒至200秒,且第二時間週期的範圍為2秒至85秒。在一實施例中,第一時間週期的範圍為60秒至115秒,且第二時間週期的範圍為5秒至60秒。在一實施例中,供應至空間的氣體的流量為1 L/min至20 L/min,以及通過位於歧管邊緣部分的排氣口的氣體在第一時間週期內的流量範圍為1L/min至20L/min且在第二時間週期內的流量範圍從10L/min到80L/min。在一實施例中,供應至空間的氣體的流量為2 L/min至10 L/min,以及通過位於歧管邊緣部分的排氣口的氣體在第一時間週期內的流量範圍為2L/min至10L/min且在第二時間週期內的流量範圍從20L/min到60L/min。在一實施例中,在第二時間週期內通過位於歧管邊緣部分的排氣口的氣體的排氣流量大於供應到空間的氣體的流量。在一實施例中,排氣口設置於岐管的中心部分。在一實施例中,氣體在光阻層上方流動的第一時間週期內,氣體以第一流量從排氣口排出,然後氣體在光阻層上方流動的第二時間週期內,氣體以第二流量從排氣口排出,其中第二流量大於第一流量。在一實施例中,第一時間週期長於第二時間週期。在一實施例中,第一時間週期的範圍為40秒至200秒,且第二時間週期的範圍為2秒至85秒。在一實施例中,第一時間週期的範圍為60秒至115秒,且第二時間週期的範圍為5秒至60秒。在一實施例中,供應至空間的氣體的流量為1 L/min至20 L/min,以及通過位於歧管邊緣部分的排氣口的氣體在第一時間週期內的流量範圍為1L/min至20L/min且在第二時間週期內的流量範圍從10L/min到80L/min。在一實施例中,供應至空間的氣體的流量為2 L/min至10 L/min,以及通過位於歧管邊緣部分的排氣口的氣體在第一時間週期內的流量範圍為2L/min至10L/min且在第二時間週期內的流量範圍從20L/min到60L/min。在一實施例中,在第二時間週期內通過位於歧管邊緣部分的排氣口的氣體的流量大於通過氣體入口供應的氣體的流量。在一實施例中,光阻劑組合物包括有機金屬化合物。
本揭示的另一個實施例是一種製造半導體裝置的方法,包括在基板上方形成包含光阻劑組合物的光阻層。將光阻層圖案化曝光於光化輻射。在將光阻層圖案化曝光於光化輻射後,烘烤光阻層。在烘烤光阻層的過程中,使氣體流過光阻層上方。在使氣體流過光阻層上方的過程中,從位於光阻層之主表面上方的氣體噴頭以第一流量將氣體供應至光阻層上方的空間,且氣體通過位於氣體噴頭的外圍附近的一個或多個排氣口從光阻層上方上方的空間排出。氣體以第二流量從光阻層上方的空間排出第一時間週期,然後以第三流量排出第二時間週期。第三流量大於第二流量。在烘烤光阻層後,顯影光阻層以在光阻層中形成圖案。在一實施例中,第三流量與第二流量的比值為大於1至80。在一實施例中,第三流量與第二流量的比值範圍為2至30。在一實施例中,第一流量與第二流量的比值為0.2至5。在一實施例中,第一流量與第二流量相等。在一實施例中,第二時間週期與第一時間週期的比值為0.01至小於1。在一實施例中,第二時間週期與第一時間週期的比值範圍為0.04至0.5。在一實施例中,在烘烤過程中,光阻層在70°C至220°C的溫度範圍內被加熱。
本揭示的另一個實施例是一種製造半導體裝置的方法,包括在基板上方形成包含光阻劑組合物的光阻層。將光阻層圖案化曝光於光化輻射。在將光阻層圖案化曝光於光化輻射後,烘烤光阻層。在烘烤光阻層的過程中,使氣體流過光阻層上方。在使氣體流過光阻層上方的過程中,氣體從位於光阻層之主表面上方的歧管中的多個第一開口以第一流量供應至光阻層上方的空間,且氣體通過位於歧管中心部分的第二開口從光阻層上方的空間排出。氣體以第二流量從光阻層上方的空間排出第一時間週期,然後以第三流量排出第二時間週期。第三流量大於第二流量。在烘烤光阻層後,顯影光阻層以在光阻層中形成圖案。在一實施例中,第三流量與第二流量的比值範圍為大於1至80。在一實施例中,第三流量與第二流量的比值範圍為2至30。在一實施例中,第一流量與第二流量的比值為0.2至5。在一實施例中,第一流量與第二流量相等。在一實施例中,第二時間週期與第一時間週期的比值為0.01至小於1。在一實施例中,第二時間週期與第一時間週期的比值範圍為0.04至0.5。在一實施例中,在烘烤過程中,光阻層在70°C至220°C的溫度範圍內被加熱。
本揭示的另一實施例是一種半導體裝置製造工具,包括處理腔室和設置在處理腔室內的晶圓支撐架。加熱元件設置在晶圓支撐架內並且氣體岐管設置在晶圓支撐架上方。氣體岐管包含多個第一開口,這些第一開口位於面對晶圓支撐架之氣體岐管表面中,並配置以將流過這些第一開口的氣體引向晶圓支撐架以及一或多個第二開口配置以將氣體從晶圓支撐架排出。一或多個第二開口位於氣體岐管的周邊部分或氣體岐管的中心部分。控制器配置以控制流過這些第一開口的氣體的流量;流過一或多個第二開口的氣體的流量;以及加熱元件的溫度。在一實施例中,半導體裝置製造工具包括氣體源。在一實施例中,半導體裝置製造工具包括與處理腔室連通的第一真空泵。在一實施例中,半導體裝置製造工具包括與一個或多個第二開口連通的第二真空泵。在一實施例中,控制器配置以控制流過第二開口的氣體的流量,使得氣體在從晶圓支撐架排出氣體的第一時間周期內僅流經位於氣體歧管周邊部分中的第二開口,然後在第二時間周期內,氣體流經位於氣體歧管周邊部分的排氣口和位於氣體歧管中心部分的排氣口。在一實施例中,第一時間週期被控制以長於第二時間週期。在一實施例中,流經這些第一開口的氣體的流量為1 L/min至20 L/min,在第一時間周期和第二時間周期內流過位於氣體歧管周邊部分的第二開口的氣體的流量為1L/min至20L/min,以及在第二時間周期內流過位於氣體歧管中心部分的第二開口的氣體的流量為10 L/min至80 L/min。在一實施例中,第二時間周期內流過位於歧管中心部分的第二開口的氣體流量大於流過位於歧管周邊部分的第二開口的氣體流量。在一實施例中,第二時間周期內流過位於歧管中心部分的第二開口的氣體流量大於流過第一開口的氣體流量。
本揭示的另一實施例為一種半導體裝置製造工具,包括一腔室及一設置於腔室內的加熱板。氣體噴頭設置在加熱板上。一個或多個排氣口設置於鄰近氣體噴頭的邊緣。控制器配置用以控制流過噴頭流向加熱板的氣體的第一流量、在氣體流過噴頭之後,流過一個或多個排氣口的氣體的第二和第三流量、以及加熱板的溫度。氣體以第二流量流過一個或多個排氣口第一時間週期,然後以第三流量流過第二時間段。第三流量大於第二流量。在一實施例中,半導體裝置製造工具包括氣體源。在一實施例中,半導體裝置製造工具包括與一個或多個排氣口連通的真空泵。在一實施例中,第三流量與第二流量的比值範圍為大於1至80。在一實施例中,第三流量與第二流量的比值範圍為0.2至5。在一實施例中,第二時間週期與第一時間週期的比值為0.01至小於1。
本揭示的另一實施例是一種裝置,包括腔室和設置在腔室內的加熱板。氣體歧管設置在加熱板上。氣體岐管包含面向加熱板之在歧管表面中的多個第一開口,這些多個第一開口配置以將流過這些第一開口的氣體引向加熱板,以及位於中心部分的第二開口用於將氣體排出加熱板。控制器配置用以控制流過第一開口流向加熱板的氣體的第一流量、在氣體流向加熱板後,排出加熱板之氣體的第二和第三流量、以及加熱板的溫度。氣體在第一時間週期以第二流量從加熱板排出,然後在第二時間週期以第三流量從加熱板排出。第三流量大於第二流量。在一實施例中,此裝置包括與第二開口連通的真空泵。在一實施例中,第三流量與第二流量的比率範圍從大於1到80。在一實施例中,第一流量與第二流量的比值範圍為0.2至5。在一實施例中,第二時間週期與第一時間週期的比值範圍為0.01至小於1。
前述概述多個實施例或實例的特徵以使得熟習此項技術者可更好理解本揭露之態樣。熟習此項技術者應認識到其可容易使用本揭示案作為基礎來設計或修改執行相同目的及/或達成本文介紹的實施例或實例的相同優勢的其他過程及結構。熟習此項技術者亦應認識到此類均等構建不脫離本揭示案之精神及範圍,並且其可在本文中產生各種變化、取代、及變更而不脫離本揭示案之精神及範圍。
10:基板 15:光阻層 16:脫氣 30:光罩 35:不透明圖案 40:光罩基板 45:曝光輻射 50:曝光區 52:未曝光區 55:開口 55':圖案 55a”:圖案 57:顯影劑 60 :待圖案化層 62:分配器 65:反射式光罩 70:玻璃基板 75:反射多層 80:覆蓋層 85:吸收層 90:後導電層 95:極紫外線輻射 97:部分 100:流程 200:加熱元件 200a:感測器 210:支撐銷 220:岐管 230:氣體 250:塗覆有光阻劑的基板 270:氣體噴頭 275:排出 275a:氣體 275b:氣體 280:開口 290a:排氣口 290b:排氣口 300:製造工具 310:腔室 320:氣體源 325:氣體分配管路 335:可調閥 340a:真空泵 340b:真空泵 345a:真空管路 345b:真空管路 355:機構 360a:可調閥 360b:可調閥 400:控制器 401:電腦 402:鍵盤 403:滑鼠 404:顯示器 405:光碟驅動器 406:磁碟驅動器 411:處理器 412:ROM 413:RAM 414:硬碟 415:資料匯流排 421:光碟 422:磁片 500:沉積設備 505:真空腔室 510:支撐基板 520:氣體供應 525:氣體供應 530:入口 530':入口 535:管路 535':管路 540:氣體供應 545:真空泵 550:出口 555:排氣管路 560:控制器 570:晶圓支撐架 580:經曝光的塗覆有光阻劑的基板 600:方法 700:方法 800:方法 D1:直徑 S110:操作 S120:操作 S130:操作 S140:操作 S150:操作 S610:操作 S620:操作 S630:操作 S640:操作 S650:操作 S660:操作 S670:操作 S710:操作 S720:操作 S730:操作 S740:操作 S750:操作 S760:操作 S770:操作 S810:操作 S820:操作 S830:操作 S840:操作 S850:操作 S860:操作 S870:操作 W1:間距 W2:間距 X:方向 Y:方向
當以下詳細描述與附圖一起閱讀時,可以根據以下詳細描述來最好地理解本揭示案的態樣。應強調,根據行業中的標準實務,各種特徵未按比例繪製。實際上,為了論述清楚起見,各種特徵的尺寸可以任意增加或減小。 第1圖為根據本揭示案實施例之半導體裝置的製造流程。 第2圖為根據本揭示案實施例之序列操作的過程階段。 第3A圖和第3B圖為根據本揭示案一實施例之序列操作的過程階段。 第4A圖、第4B圖和第4C圖為根據本揭示案實施例之序列操作的過程階段。 第5A圖和第5B圖為根據本揭示案一實施例之序列操作的過程階段。 第6A圖、第6B圖和第6C圖顯示了根據本揭示案實施例之氣體噴頭的實施例。 第7圖顯示了根據本揭示案實施例之半導體裝置製造工具。 第8A圖和第8B圖顯示了根據本揭示案實施例之控制器的實施例。 第9A圖和第9B圖顯示了根據本揭示案實施例之序列操作的過程階段。 第10A圖和第10B圖顯示了根據本揭示案實施例之序列操作的過程階段。 第11圖顯示了根據本揭示案實施例之序列操作的過程階段。 第12圖顯示了根據本揭示案實施例之序列操作的過程階段。 第13圖顯示了根據本揭示案實施例之序列操作的過程階段。 第14A圖顯示了根據本揭示案實施例之有機金屬前體。第14B圖顯示了有機金屬前體在曝光於光化輻射時經歷的反應。第14C圖顯示了根據本揭示案實施例之有機金屬前體的實施例。 第15圖顯示了根據本揭示案一實施例之光阻劑組合物組分暴露於光化輻射和加熱而經歷的反應。 第16圖顯示了根據本揭示案實施例之沉積裝置。 第17圖顯示了根據本揭示案實施例之序列操作的過程階段。 第18A圖和第18B圖顯示了根據本揭示案實施例之序列操作的過程階段。 第19圖顯示了根據本揭示案實施例之序列操作的過程階段。 第20圖顯示了根據本揭示案實施例之序列操作的過程階段。 第21圖顯示了根據本揭示案實施例之序列操作的過程階段。 第22圖顯示了根據本揭示案實施例之方法的流程圖。 第23圖顯示了根據本揭示案實施例之方法的流程圖。 第24圖顯示了根據本揭示案實施例之方法的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:流程
S110:操作
S120:操作
S130:操作
S140:操作
S150:操作

Claims (20)

  1. 一種半導體裝置的製造方法,包含: 在一基板上方形成包含一光阻劑組合物的一光阻層; 將該光阻層選擇性地曝光於光化輻射; 在將該光阻層選擇性地曝光於光化輻射之後,加熱該光阻層; 在加熱該光阻層的過程中使一氣體流過該光阻層上方,其中在加熱該光阻層的過程中改變該氣體的一流量;以及 在加熱該光阻層後,顯影該光阻層以在該光阻層中形成一圖案。
  2. 如請求項1所述之方法,其中在加熱過程中,該光阻層於一溫度範圍70°C至220°C下加熱。
  3. 如請求項1所述之方法,其中在加熱過程中,該光阻層加熱40秒至200秒。
  4. 如請求項1所述之方法,其中在使該氣體流過該光阻層上方的過程中,該氣體被供應至該光阻層上方的一空間並通過一歧管從該空間排出,該岐管包含多個供氣口和一或多個排氣口。
  5. 如請求項4所述之方法,其中該一或多個排氣口設置於該岐管的一中心部分或該岐管的一邊緣部分。
  6. 如請求項5所述之方法,其中該氣體在該光阻層上方流動的一第一時間週期內,該氣體僅從位於該岐管的該邊緣部分的該排氣口排出,然後該氣體在該光阻層上方流動的一第二時間週期內,該氣體從位於該岐管的該邊緣部分的該排氣口和位於該岐管的該中心部分的該排氣口排出。
  7. 如請求項6所述之方法,其中該第一時間週期長於該第二時間週期。
  8. 如請求項6所述之方法,其中該第一時間週期為40秒至200秒,且該第二時間週期為2秒至85秒。
  9. 如請求項6所述之方法,其中: 供應至該空間的該氣體的一流量為1升/分鐘至20升/分鐘, 在該第一時間週期和該第二時間週期內,通過位於該岐管的該邊緣部分的該排氣口的該氣體的一流量為1升/分鐘至20升/分鐘,以及 在該第二時間週期內,通過位於該岐管的該中心部分的該排氣口的該氣體的一流量為10升/分鐘至80升/分鐘。
  10. 如請求項4所述之方法,其中該一個或多個排氣口設置於該岐管的一邊緣部分。
  11. 如請求項10所述之方法,其中該氣體在該光阻層上方流動的一第一時間週期內,該氣體以一第一流量從該一個或多個排氣口排出,然後該氣體在該光阻層上方流動的一第二時間週期內,該氣體以一第二流量從該一個或多個排氣口排出,其中該第二流量大於該第一流量。
  12. 如請求項4所述之方法,其中該排氣口設置於該岐管的一中心部分。
  13. 如請求項12所述之方法,其中該氣體在該光阻層上方流動的一第一時間週期內,該氣體以一第一流量從該排氣口排出,然後該氣體在該光阻層上方流動的一第二時間週期內,該氣體以一第二流量從該排氣口排出,其中該第二流量大於該第一流量。
  14. 一種半導體裝置的製造方法,包含: 在一基板上方形成包含一光阻劑組合物的一光阻層; 將該光阻層圖案化曝光於光化輻射; 在將該光阻層圖案化曝光於光化輻射後,烘烤該光阻層; 在烘烤該光阻層的過程中,使一氣體流過該光阻層上方, 其中在使該氣體流過該光阻層上方的過程中,從位於該光阻層之一主表面上方的一氣體噴頭以一第一流量將該氣體供應至該光阻層上方的一空間,且該氣體通過位於該氣體噴頭的一外圍附近的一個或多個排氣口從該光阻層上方的該空間排出, 其中該氣體以一第二流量從該光阻層上方的該空間排出一第一時間週期,然後以一第三流量排出一第二時間週期, 其中該第三流量大於該第二流量;以及 在烘烤該光阻層後,顯影該光阻層以在該光阻層中形成一圖案。
  15. 如請求項14所述之方法,其中該第三流量與該第二流量的一比值為大於1至80。
  16. 如請求項14所述之方法,其中該第一流量與該第二流量的一比值為0.2至5。
  17. 如請求項14所述之方法,其中該第二時間週期與該第一時間週期的一比值為0.01至小於1。
  18. 一種半導體裝置製造工具,包含: 一處理腔室; 一晶圓支撐架,設置於該處理腔室內; 一加熱元件,設置在該晶圓支撐架內; 一氣體岐管,設置在該晶圓支撐架上方,其中該氣體岐管包含: 多個第一開口,位於面對該晶圓支撐架的該氣體岐管的一表面中,並配置以將流過該些第一開口的一氣體引向該晶圓支撐架,以及 一或多個第二開口,配置以將該氣體從該晶圓支撐架排出,其中該一或多個第二開口位於該氣體岐管的一周邊部分或該氣體岐管的一中心部分;以及 一控制器,配置以控制: 流過該些第一開口的該氣體的一流量; 流過該一或多個第二開口的該氣體的一流量;以及 該加熱元件的一溫度。
  19. 如請求項18所述之半導體裝置製造工具,其中該控制器配置以控制流過該第二開口的該氣體的一流量,使得在從該晶圓支撐架排出該氣體的一第一時間周期內,該氣體僅流經位於該氣體歧管的該周邊部分中的該第二開口,然後在一第二時間周期內,該氣體流經位於該氣體歧管的該周邊部分的該排氣口和位於該氣體歧管的該中心部分的該排氣口。
  20. 如請求項19所述之半導體裝置製造工具,其中: 流經該些第一開口的該氣體的該流量為1升/分鐘至20升/分鐘, 在該第一時間周期和該第二時間周期內流過位於該氣體歧管的該周邊部分的該些第二開口的該氣體的該流量為1升/分鐘至20升/分鐘,以及 在該第二時間周期內流過位於該氣體歧管的該中心部分的該第二開口的該氣體的該流量為10升/分鐘至80升/分鐘。
TW112123095A 2022-06-28 2023-06-20 半導體裝置的製造方法及半導體裝置製造工具 TW202416053A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US63/356,423 2022-06-28
US18/107,427 2023-02-08

Publications (1)

Publication Number Publication Date
TW202416053A true TW202416053A (zh) 2024-04-16

Family

ID=

Similar Documents

Publication Publication Date Title
US11822237B2 (en) Method of manufacturing a semiconductor device
KR102647995B1 (ko) 반도체 장치 제조 방법 및 패턴 형성 방법
US20230386840A1 (en) Method of manufacturing semiconductor devices and pattern formation method
US20210364924A1 (en) Photoresist developer and method of manufacturing a semiconductor device
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
KR102630481B1 (ko) 반도체 디바이스 제조 방법
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI796661B (zh) 半導體裝置之製造方法
TW202416053A (zh) 半導體裝置的製造方法及半導體裝置製造工具
US20230418156A1 (en) Method of manufacturing a semiconductor device and semiconductor device manufacturing tool
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具
US20230375920A1 (en) Method of manufacturing a semiconductor device
US11942322B2 (en) Method of manufacturing semiconductor devices and pattern formation method
US20220351964A1 (en) Method of manufacturing a semiconductor device
TWI831108B (zh) 製造半導體裝置的方法
TWI780715B (zh) 製造半導體裝置的方法及顯影劑組成物
US20230333477A1 (en) Method of manufacturing a semiconductor device
US20230004087A1 (en) Method of manufacturing a semiconductor device
CN116643459A (zh) 制造半导体器件的方法