TWI831108B - 製造半導體裝置的方法 - Google Patents

製造半導體裝置的方法 Download PDF

Info

Publication number
TWI831108B
TWI831108B TW111100563A TW111100563A TWI831108B TW I831108 B TWI831108 B TW I831108B TW 111100563 A TW111100563 A TW 111100563A TW 111100563 A TW111100563 A TW 111100563A TW I831108 B TWI831108 B TW I831108B
Authority
TW
Taiwan
Prior art keywords
layer
photoresist
photoresist layer
additive
forming
Prior art date
Application number
TW111100563A
Other languages
English (en)
Other versions
TW202301028A (zh
Inventor
訾安仁
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202301028A publication Critical patent/TW202301028A/zh
Application granted granted Critical
Publication of TWI831108B publication Critical patent/TWI831108B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/105Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having substances, e.g. indicators, for forming visible images
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

製造半導體裝置的方法包括形成光阻劑結構,包括在基板上形成包括光阻劑組成物的光阻劑層。形成光阻劑層之後,以添加劑處理光阻劑層。添加劑選自由自由基抑制劑、熱自由基抑制劑和光自由基抑制劑所組成的群組中的一個或多個。

Description

製造半導體裝置的方法
本揭示內容是關於一種製造半導體裝置的方法。
隨著消費裝置因應消費者需求變得越來越小,裝置的各個元件也需要縮小尺寸。半導體裝置是手機和電腦平板等裝置的主要組成元件,受到需要越來越小的壓力,而半導體裝置內的單個裝置(例如電晶體、電阻器和電容器等)也面臨相應的壓力需要縮小尺寸。
半導體裝置製造過程中使用的一種致能技術是微影材料的使用。此種材料應用於要進行圖案化的層的表面,將其曝露於能量以進行圖案化。此種曝露改變光敏材料在曝露區域的化學性質和物理性質。此種改變加上光敏材料在非曝露區域的未改變可用於移除一個區域而不移除另一個區域。
然而隨著單個裝置的尺寸縮小,微影能處理的範圍也變得越來越窄。因此在微影處理的領域取得進展以保有縮小裝置尺寸的能力是必要的,而且進一步的改進以達到 需要的設計標準從而持續向越來越小的元件邁進也是必要的。
本揭示內容是關於一種製造半導體裝置的方法,在一些實施方式中,此方法包括形成光阻劑結構,包括在基板上形成包括光阻劑組成物的光阻劑層;以及在形成光阻劑層之後,以添加劑處理光阻劑層,其中添加劑是選自由自由基抑制劑、熱自由基抑制劑和光自由基抑制劑所組成的群組中的一個或多個。
在一些實施方式中,此方法包括在基板上形成含金屬光阻劑層;在形成含金屬光阻劑層之前形成第一層在基板上,或是在形成含金屬光阻劑層之後形成第一層在含金屬光阻劑層上;以及從第一層擴散添加劑到含金屬光阻劑層,其中添加劑是選自由自由基抑制劑、熱自由基抑制劑和光自由基抑制劑所組成的群組中的一個或多個。
在一些實施方式中,此方法包括在基板上形成光阻劑層,其中光阻劑層包括金屬光阻劑組成物;在光阻劑層上形成頂層,其中頂層包括聚合物和自由基抑制化合物;圖案狀曝露光阻劑層於光化輻射;以及顯影圖案狀曝露的光阻劑層,以在光阻劑層形成圖案。
10:基板
15:光阻劑層
19:添加劑
20:底層
20':底層
20a:底部層
20b:中間層
21:加熱器
22:光阻劑結構
25':頂層
25:頂層
30:光罩
35:不透明圖案
40:光罩基板
45:光化輻射
50:曝露部分
52:非曝露部分
55:開口圖案
55':凹陷圖案
55":圖案
55''':圖案
57:顯影劑
58:顯影劑
60:目標層
62:分注器
65:反射式光罩
70:低熱膨脹玻璃基板
75:反射多層
80:覆蓋層
85:吸收層
90:背面導電層
95:極紫外光輻射
97:極紫外光輻射
100:方法
105:乾顯影劑
200:沉積裝置
205:真空腔體
210:基板支撐檯
220:化合物氣體供應
225:載流/沖洗氣體供應
230:進口
230':進口
235:氣體管線
235':氣體管線
240:化合物氣體供應
245:真空幫浦
250:出口
255:排氣管線
260:控制器
300:方法
400:方法
500:方法
S110:操作
S120:操作
S130:操作
S140:操作
S150:操作
S160:操作
S170:操作
S210:操作
S220:操作
S230:操作
S240:操作
S250:操作
S260:操作
S270:操作
S280:操作
S290:操作
S310:操作
S320:操作
S330:操作
S340:操作
S350:操作
S360:操作
S370:操作
S380:操作
S410:操作
S420:操作
S430:操作
S440:操作
S450:操作
S460:操作
S470:操作
S480:操作
S510:操作
S520:操作
S530:操作
S540:操作
S550:操作
S560:操作
在閱讀附圖時,最好從以下詳細敘述瞭解本揭露。 需注意的是,按照工業的標準做法,各種特徵沒有依比例繪製,而且僅用以達到解說的目的。事實上,為了使討論清晰,可能任意增加或減少各種特徵的尺寸。
第1圖根據揭露的實施例說明半導體裝置的製造過程流程。
第2圖根據揭露的實施例說明半導體裝置的製造過程流程。
第3圖根據揭露的實施例說明半導體裝置的製造過程流程。
第4圖根據揭露的實施例說明半導體裝置的製造過程流程。
第5圖根據揭露的實施例說明半導體裝置的製造過程流程。
第6A圖、第6B圖、第6C圖和第6D圖根據揭露的實施例說明依序操作的過程階段。
第7A圖、第7B圖和第7C圖根據揭露的實施例說明一種操作的依序過程階段。
第8A圖、第8B圖、第8C圖、第8D圖和第8E圖根據揭露的實施例說明一種操作的依序過程階段。
第9A圖、第9B圖和第9C圖根據揭露的實施例說明一種操作的依序過程階段。
第10A圖、第10B圖、第10C圖和第10D圖根據揭露的實施例說明一種操作的依序過程階段。
第11A圖、第11B圖和第11C圖根據揭露的實施例說明 一種操作的依序過程階段。
第12A圖、第12B圖、第12C圖、第12D圖、第12E圖和第12F圖根據揭露的實施例說明依序操作的過程階段。
第13A圖和第13B圖根據揭露的實施例說明一種操作的依序過程階段。
第14A圖、第14B圖、第14C圖和第14D圖根據揭露的實施例說明一種操作的依序過程階段。
第15A圖和第15B圖根據揭露的實施例說明一種操作的依序過程階段。
第16A圖、第16B圖和第16C圖根據揭露的實施例說明依序操作的過程階段。
第17A圖、第17B圖和第17C圖根據揭露的實施例說明依序操作的過程階段。
第18A圖和第18B圖根據揭露的實施例說明依序操作的過程階段。
第19圖根據揭露的實施例說明一種操作的依序過程階段。
第20圖根據揭露的實施例說明自由基抑制劑的示例。
第21圖根據揭露的實施例說明熱自由基抑制劑的示例。
第22A圖和第22B圖根據揭露的實施例說明光自由基抑制劑的示例。
第23A圖、第23B圖和第23C圖根據揭露的實施例說明有機金屬前驅物。
第24圖根據揭露的實施例說明光阻劑沉積裝置。
第25圖根據揭露的實施例說明光阻劑層因曝露於光化輻射和加熱而進行的反應。
第26A圖、第26B圖、第26C圖、第26D圖、第26E圖和第26F圖根據揭露的實施例說明一種操作的依序過程階段。
第27圖根據揭露的實施例說明依序操作的過程階段。
第28圖根據揭露的實施例說明依序操作的過程階段。
第29A圖和第29B圖根據揭露的實施例說明依序操作的過程階段。
第30A圖和第30B圖根據揭露的實施例說明依序操作的過程階段。
第31圖根據揭露的實施例說明依序操作的過程階段。
第32圖根據揭露的實施例說明依序操作的過程階段。
需瞭解到以下揭露提供許多不同的實施例或示例以實現本揭露的不同特徵。具體的實施例或示例將在下面描述元件與配置以簡化當前揭露。當然這些僅是示例,並不意欲限制。例如元件的尺寸不限制於已揭露的範圍或值,而可能取決於裝置的製程條件和/或所需性質。此外在下面的第一特徵在第二特徵上方形成的描述中,可能包括第一特徵和第二特徵是直接接觸以形成的實施例,也可能包括有其他特徵可能形成在第一特徵和第二特徵之間,使第一 特徵和第二特徵可能不直接接觸的實施例。為了簡單和清晰,各種特徵可任意以不同尺度繪製。
此外空間相對用語,例如下方和上方等,可方便於此處描述一個元件或特徵與圖中所示的另一個元件或特徵的關係。除了圖中描述的方向,空間相對用語旨在涵蓋使用或操作時裝置的不同方向。裝置可能以其他方式定位(旋轉90度或其他方向),此處使用空間相對的描述可同樣地相對應解釋。除此之外,「由…製成」的用語可能意味著「包括」或「組成」。
隨著追求更高的裝置密度、更高的性能和更低的成本,半導體工業已朝向奈米製程技術的節點邁進,使縮小半導體的特徵尺寸面臨挑戰。極紫外光微影(extreme ultraviolet lithography,EUVL)已發展至可形成較小的半導體裝置特徵尺寸,並增加半導體晶圓上的裝置密度。為了改進極紫外光微影,提高晶圓的曝光量是必需的。晶圓的曝光量可以透過增加曝露強度或光阻劑感光速度(光敏性)來改進。
高帶電的錫離子是奈米微影中窄頻的極紫外光(extreme ultraviolet,EUV)在13.5奈米波長的原子源極。氫氣引入至極紫外光微影製程中,以減少錫(Sn)液滴造成的鏡面損傷。氫氣與錫反應形成氣體錫烷(SnH4)。然而極紫外光輻射可與氫氣作用形成氫自由基。此氫自由基可在微影製程中與在有光阻劑塗層的晶片上與光阻劑發生反應。因此可能造成光阻劑圖案化操作時的降解,從而 導致缺陷和增加線寬粗糙度(line width roughness,LWR)。
在一些實施例中,以添加劑處理光阻劑層以抑制或防止如氫自由基的自由基對光阻劑造成降解。在一些實施例中,添加劑注入、處理、摻雜或共聚到光阻劑層中。在一些實施例中,添加劑注入、處理、摻雜或共聚到光阻劑層下方的底層或上方的頂層。在一些實施例中,添加劑添加到光阻劑顯影組成物,並在顯影操作時引入到光阻劑中。在一些實施例中,添加劑混合到溶劑中;混合物應用到光阻劑底層、光阻劑層或光阻劑頂層;以及添加劑擴散到各光阻劑底層、光阻劑層或光阻劑頂層。在一些實施例中,底層包括三層光阻劑的底部層和中間層。在一些實施例中,添加劑引入到三層光阻劑的中間層,此三層光阻劑包括底部層和具光敏性的上方層。
在一些實施例中,添加劑是選自由(A)自由基抑制劑、(B)熱自由基抑制劑和(C)光自由基抑制劑所組成的群組中的一個或多個。添加劑可終止氫自由基或其他種類的自由基的反應。
第1圖至第5圖根據揭露的實施例說明半導體裝置的製造過程流程。
如第1圖所示,製造半導體裝置的方法100包括藉由在基板10上塗層底層組成物以形成在基板10上的底層20的操作S110(參見第6A圖、第6B圖、第7A圖和第8A圖)。在一些實施例中,底層20是具底部防反射 塗層(bottom anti-reflective coating,BARC)的層。在一些實施例中,底層組成物包括添加劑以抑制或防止如氫自由基的自由基造成光阻劑降解。在一些實施例中,添加劑接著在操作S120添加到底層20(參見第8B圖)。接著光阻劑組成物在操作S130塗層到底層20上以形成包括底層20和光阻劑層15的光阻劑結構(參見第6A圖、第6B圖、第7B圖和第8D圖)。在一些實施例中,光阻劑是藉由化學氣相沉積(chemical vapor deposition,CVD)或原子層沉積(atomic layer deposition,ALD)形成的含金屬光阻劑。在其他實施例中,含金屬光阻劑層藉由旋轉塗佈方法形成。
在一些實施例中,光阻劑層15在光阻劑層15形成之後進行操作S140的曝光前烘烤(或第一次加熱的操作)。在一些實施例中,第一次加熱的操作S140包括在大約攝氏40度至大約攝氏150度之間的溫度加熱光阻劑層15大約10秒至大約10分鐘。在一些實施例中,第一次加熱的操作S140使添加劑從底層20擴散到光阻劑層15。在一些實施例中,添加劑擴散到遍布光阻劑層15。在一些實施例中,添加劑均勻地擴散到遍布光阻劑層15。在其他實施例中,添加劑擴散到光阻劑層使添加劑形成在光阻劑層15/底層20介面具最高濃度的濃度梯度。
接著光阻劑層15在操作S150中選擇性地曝露於光化輻射45/極紫外光輻射97(參見第12A圖和第12D圖)。在一些實施例中,光阻劑層15是光阻劑層。在一些 實施例中,光阻劑層15選擇性地或圖案狀地曝露於紫外光輻射。在一些實施例中,紫外光輻射是深紫外光輻射(deep ultraviolet radiation,DUV)。在一些實施例中,紫外光輻射是極紫外光(EUV)輻射。在一些實施例中,光阻劑層15選擇性地或圖案狀地曝露於電子束。
根據目前的揭露,光阻劑層是吸收光化輻射後發生化學反應的層,使曝露於光化輻射的光阻劑層的部分改變在顯影劑中的溶解度,並與非曝露於光化輻射的光阻劑層的部分形成對比,對光化輻射不具光敏性的層在曝露於光化輻射時實質上不會發生化學反應而改變此層在顯影劑中的溶解度。
如第12A圖至第12C圖所示,在一些實施例中,光化輻射45經過光罩30照射曝露到光阻劑層15。在一些實施例中,光罩30具有要在光阻劑層15複製的圖案。在一些實施例中,此圖案由光罩基板40上的不透明圖案35形成。不透明圖案35可能由對紫外光輻射不透明的材料形成,例如鉻;而光罩基板40是由對紫外光輻射透明的材料形成,例如熔融石英。
在一些實施例中,使用極紫外光微影對光阻劑層15進行選擇性地或圖案狀地曝露,以形成曝露部分50和非曝露部分52。在極紫外光微影操作中,反射式光罩65用於在一些實施例中形成圖案化的曝露光線,例如第12D圖至第12F圖所示。反射式光罩65包括上方具有由矽和鉬形成的反射多層75的低熱膨脹玻璃基板70。覆蓋層80 和吸收層85在反射多層75上形成。背面導電層90在低熱膨脹玻璃基板70背面形成。極紫外光輻射95以大約6度的入射角度朝向反射式光罩65。部分極紫外光輻射97由反射多層75反射到具光阻劑塗層的基板10,而極紫外光輻射入射吸收層85的部分則被光罩吸收。在一些實施例中,額外的包括鏡子的光學元件設置於反射式光罩65和具光阻劑塗層的基板10之間。
在一些實施例中,藉由將具光阻劑塗層的基板置於微影製程中以進行輻射的曝露。微影製程包括光罩30、反射式光罩65、光學元件、用於提供光化輻射45/極紫外光輻射97的曝露的輻射源,以及於曝露輻射下支撐並移動基板的可動式檯子。
在一些實施例中,微影製程在光化輻射45/極紫外光輻射97以光罩30/反射式光罩65進行圖案化之前或之後使用光學元件(未圖示)以擴束、反射或其他方式控制輻射。在一些實施例中,光學元件包括一個或多個透鏡、鏡子、濾光片及其組合以控制沿著光化輻射45/極紫外光輻射97路徑的光化輻射45/極紫外光輻射97。
在一些實施例中,輻射是電磁輻射,例如g線(波長大約436奈米)、i線(波長大約365奈米)、紫外光輻射、遠紫外光輻射、極紫外光、電子束等。在一些實施例中,輻射源極選自由水銀燈、氙燈、碳弧燈、氟化氪準分子雷射光(波長248奈米)、氟化氬準分子雷射光(波長193奈米)、氟氣準分子雷射光(波長157奈米)或二 氧化碳雷射激發錫電漿(極紫外光波長為13.5奈米)所組成的群組。
電磁輻射的量可以透過積分曝露時間內的輻射通量以得到流量或劑量。在一些實施例中,適合的輻射流量範圍從大約1mJ/cm2到大約150mJ/cm2,在其他實施例中則從大約2mJ/cm2到大約100mJ/cm2,以及在其他實施例中從大約3mJ/cm2到大約50mJ/cm2。此領域中的通常知識者應認知到,上述明確範圍內的其他輻射流量範圍是可預期的,並且涵蓋於本揭露中。
在一些實施例中,藉由掃描電子束進行選擇性地或圖案狀地曝露。藉由電子束微影,電子束誘發二次電子以修飾輻照的材料。使用電子束微影及此處揭露的含金屬光阻劑可達到高的解析度。在一些實施例中,電子束可藉由光束的能量定性,而適合的能量範圍從大約5伏特到大約200千伏特(kilovolt,kV),在其他實施例中則從大約7.5伏特到大約100千伏特。在一些實施例中,30千伏特的接近校正光束的劑量範圍從大約0.1μC/cm2到大約5μC/cm2在一些實施例中,在其他實施例中則從大約0.5μC/cm2到大約1μC/cm2,以及在其他實施例中從大約1μC/cm2到大約100μC/cm2。此領域中的通常知識者可以根據本文的教示計算其他光束能量的相應劑量,並且應認知到上述明確範圍內的其他電子束性質範圍是可預期的,並且涵蓋於本揭露中。
在一些實施例中,光阻劑層15的曝露使用浸潤式 微影技術。在此技術中,浸潤的介質(未圖示)置於最後的光學元件和光阻劑層之間,使光化輻射45經過浸潤的介質曝露光阻劑層15。
曝露於輻射的光阻劑層的曝露部分50發生化學反應,從而改變其敏感性,使其在隨後顯影的操作S170中被移除。在一些實施例中,曝露於輻射的光阻劑層的曝露部分50發生反應使曝露部分在顯影的操作S150中更容易被移除。在其他實施例中,曝露於輻射的光阻劑層的曝露部分50發生反應使曝露部分在顯影的操作S150中對移除具抗性。
接下來,光阻劑層15在操作S160中進行第二次加熱或曝光後烘烤(post-exposure bake,PEB)。在一些實施例中,光阻劑層15在大約攝氏50度至大約攝氏250度的溫度下加熱大約20秒至大約300秒。在一些實施例中,曝光後烘烤的溫度從大約攝氏100度到大約攝氏230度,而在其他實施例中溫度從大約攝氏150度到大約攝氏200度。在一些實施例中,曝光後烘烤的操作S160造成光阻劑層中的第一化合物或第一前驅物與第二化合物或第二前驅物的反應產物交聯。
選擇性地曝露的光阻劑層15接著在操作S170中顯影。在一些實施例中,光阻劑層15藉由應用基於溶劑的顯影劑57於選擇性地曝露的光阻劑層來顯影。如第16A圖所示,液態的顯影劑57從分注器62供應到光阻劑層15。在一些實施例中,光阻劑層的曝露部分50由於曝露於光化 輻射或曝光後烘烤而發生交聯反應,而光阻劑層的非曝露部分52則由顯影劑57移除,以形成光阻劑層15開口圖案55進而曝露基板10,如第18A圖所示。在一些實施例中,置於光阻劑層的非曝露部分52下方的光阻劑層15在顯影操作中被移除。
在一些實施例中,光阻劑的顯影劑57包括溶劑以及酸或鹼。在一些實施例中,根據光阻劑的顯影劑的總重量,溶劑的濃度從大約60wt%到大約99wt%。根據光阻劑的顯影劑的總重量,酸或鹼的濃度從大約0.001wt%到大約20wt%。在一些實施例中,根據顯影劑的總重量,顯影劑中的酸或鹼的濃度從大約0.01wt%到大約15wt%。
在一些實施例中,顯影劑57使用旋塗製程應用於光阻劑層15。在旋塗製程中,當具光阻劑塗層的基板旋轉時,顯影劑57從光阻劑層15的上方應用於光阻劑層15,如第16A圖至第16C圖所示。在一些實施例中,顯影劑57供應的速度在每分鐘大約5毫升到每分鐘大約800毫升之間,而具光阻劑塗層的基板10的旋轉速度在大約每分鐘100轉到大約每分鐘2000轉之間。在一些實施例中,顯影劑的溫度在大約攝氏10度到大約攝氏80度之間。在一些實施中,顯影的操作持續大約30秒至大約10分鐘。
在一些實施例中,顯影劑57包括有機溶劑。有機溶劑可以是任何合適的溶劑。在一些實施例中,溶劑選自由丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether,PGME)、1-乙氧基-2丙醇(1-ethoxy-2-propanol,PGEE)、γ-丁內酯(γ-butyrolactone,GBL)、環己酮(cyclohexanone,CHN)、乳酸乙酯(ethyl lactate,EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、丁酮、二甲基甲醯胺(dimethylformamide,DMF)、異丙醇(isopropanol,IPA)、四氫呋喃(tetrahydrofuran,THF)、甲基異丁基甲醇(methyl isobutyl carbinol,MIBC)、乙酸正丁酯(n-butyl acetate,nBA)、2-庚酮(2-heptanone,MAK)和二噁烷所組成的群組中的一個或多個。
雖然旋塗操作是曝露後顯影光阻劑層15的合適方法之一,但它意在說明而不是意在限制實施方式。相反地,任何合適的顯影操作,包括浸式製程、水坑式製程和噴霧法都可替代地使用。所有的這些顯影操作都包含在實施例的範圍內。
在一些實施例中,乾顯影劑105應用於選擇性地曝露的光阻劑層15,如第17A圖、第17B圖和第17C圖所示。在一些實施例中,乾顯影劑105是電漿或化學氣體,而乾顯影的操作S170是電漿蝕刻或化學蝕刻操作。乾顯影使用與組成物、交聯程度和薄膜密度相關的差異來選擇性地移除想移除的光阻劑層部分。在一些實施例中,乾顯影製程使用溫和的電漿(高壓、低功率)或加熱的真 空腔體中的熱製程,當流動氣態的乾顯影化學品時,例如流動三氯化硼、三氟化硼或其他路易斯酸。在一些實施例中,三氯化硼移除非曝露的材料,留下曝露的膜的圖案並藉由基於電漿的蝕刻製程轉移到底層。
在一些實施例中,乾顯影包括電漿製程,包括變壓耦合電漿(transformer coupled plasma,TCP)、感應耦合電漿(inductively coupled plasma,ICP)或電容耦合電漿(capacitively coupled plasma,CCP)。在一些實施例中,電漿製程在大約5mTorr到大約20mTorr的壓力範圍、在大約250W到大約1000W的功率水平、在大約攝氏0度到大約攝氏300度的溫度範圍,以及在大約100sccm到大約1000sccm的流速進行大約1秒到3000秒。
在一些實施例中,光阻劑是負性光阻劑,光阻劑層15的非曝露部分52在顯影的操作S170移除,以在基板10的光阻劑層曝露部分提供開口圖案55,如第18A圖所示。在其他實施例中,光阻劑是正性光阻劑,光阻劑層15的曝露部分50在顯影的操作S170移除。在顯影的操作之後,當圖案化的光阻劑層15/曝露部分50就位時進行其他製程。例如在一些實施例中使用乾蝕刻或濕蝕刻進行蝕刻操作,以將光阻劑層15/曝露部分50的開口圖案55轉移到下方的基板10從而形成凹陷圖案55',如第19圖所示。基板10具有與光阻劑層15不同的蝕刻抗性。在一些實施例中,蝕刻劑對基板10比對光阻劑層15更具選擇性。在 一些實施例中,圖案化的光阻劑層15/曝露部分50在某些實施例中至少部分在蝕刻操作中移除。在其他實施例中,在蝕刻基板10之後藉由選擇性地蝕刻、使用合適的光阻劑剝離溶劑或藉由光阻劑電漿灰化操作以移除圖案化的光阻劑層15/曝露部分50。
在其他實施例中,如第2圖所示,製造半導體裝置的沉積裝置200選擇性地包括在基板10上形成包括底部層20a和中間層20b的底層20的操作S210(參見第6B圖),此形成藉由塗層底部層組成物在基板10上,然後藉由塗層中間層組成物在底部層20a上。在一些實施例中,光阻劑組成物接著在操作S220塗層在底層20上,以形成光阻劑層15(參見第6A圖、第6B圖、第7B圖和第8D圖)。在一些實施例中,在塗層底部層組成物和/或在塗層中間層組成物之後進行加熱(或烘烤)操作。在一些實施例中,光阻劑是藉由化學氣相沉積(chemical vapor deposition,CVD)或原子層沉積(atomic layer deposition,ALD)形成的含金屬光阻劑。在其他實施例中,含金屬光阻劑層藉由旋塗方法形成。
在一些實施例中,光阻劑層15在光阻劑層15形成之後進行曝光前烘烤的操作S230(或第一次加熱操作)。在一些實施例中,第一次加熱的操作S230包括在大約攝氏40度到大約攝氏150度之間的溫度加熱光阻劑層15大約10秒到大約10分鐘。
在一些實施例中,頂層25可選擇性地在操作 S240中形成在光阻劑層15上(參見第6C圖至第6D圖),藉由在光阻劑層15上塗層頂層組成物以形成包括頂層25和光阻劑層的光阻劑結構。在一些實施例中,頂層組成物包括添加劑以抑制或防止如氫自由基的自由基造成光阻劑降解。在其他實施例中,添加劑隨後在操作S250中添加到頂層25(參見第10C圖)。
第二次烘烤或加熱的操作S260接著在一些實施例中進行,第二次加熱的操作S260使添加劑從頂層25擴散到光阻劑層15。在一些實施例中,添加劑擴散到遍布光阻劑層15。在一些實施例中,添加劑均勻地擴散到遍布光阻劑層15。在其他實施例中,添加劑擴散到光阻劑層形成濃度梯度,並在光阻劑層15/頂層25的介面有最高濃度。
光阻劑層15和頂層25接著在操作S270中選擇性地曝露於光化輻射45/極紫外光輻射97(參見第12B圖和第12E圖)。在一些實施例中,光阻劑層15和頂層25選擇性地或圖案狀地曝露於紫外光輻射。在一些實施例中,紫外光輻射是深紫外光輻射(deep ultraviolet radiation,DUV)。在一些實施例中,紫外光輻射是極紫外光(extreme ultraviolet,EUV)輻射。在一些實施例中,光阻劑層15選擇性地或圖案狀地曝露於電子束。在一些實施例中,光阻劑層15是光阻劑層,對光化輻射45/極紫外光輻射97具光敏性。在一些實施例中,光化輻射45/極紫外光輻射97實質上不會被頂層25吸收。
如第12B圖所示,在一些實施例中,光化輻射45 在照射曝露光阻劑層15和頂層25之前經過光罩30。在一些實施例中,使用極紫外光微影選擇性地或圖案狀地曝露光阻劑層15,以形成曝露部分50和非曝露部分52。在極紫外光微影操作中,反射式光罩65在一些實施例中用於形成圖案化的曝光光線,如第12E圖所示。此處的光罩、曝露輻射和曝露條件與方法100和操作S150描述的相同。
接下來,光阻劑層15在操作S280中進行曝光後烘烤(post-exposure bake,PEB)。在一些實施例中,光阻劑層15在大約攝氏50度至大約攝氏250度的溫度加熱大約20秒至大約300秒。在一些實施例中,曝光後烘烤的溫度從大約攝氏100度到大約攝氏230度,在其他實施例的溫度則從大約攝氏150度到大約攝氏200度。在一些實施例中,曝光後烘烤的操作S280使光阻劑層中的第一化合物或第一前驅物與第二化合物或第二前驅物的反應產物交聯。
選擇性地曝露的光阻劑層15和頂層25隨後在操作S290中進行顯影。在一些實施例中,光阻劑層15藉由將基於溶劑的顯影劑57應用於選擇性曝露的光阻劑層來顯影。如第16B圖所示,液態的顯影劑57從分注器62供應到頂層25和光阻劑層15。在一些實施例中,光阻劑是負性光阻劑,光阻劑層的曝露部分50由於曝露於光化輻射或曝光後烘烤而發生交聯反應,而光阻劑層的非曝露部分52則由顯影劑57移除,從而形成光阻劑層15的開口 圖案55,進而曝露基板10,如第18B圖所示。在一些實施例中,頂層25在顯影的操作過程中移除。在一些實施例中,乾顯影劑105應用於選擇性曝露的光阻劑層15和頂層25,如第17B圖所示。此處操作S290的顯影條件和參數與操作S170在方法100的一些實施例中揭露的相同。
顯影的操作S290在光阻劑層曝露基板10的部分提供開口圖案55,如第18B圖所示。在顯影的操作之後,當圖案化的光阻劑層15/曝露部分50就位時進行其他製程。例如在一些實施例中使用乾蝕刻或濕蝕刻進行蝕刻操作,以將光阻劑層15/曝露部分50的開口圖案55轉移到下方的基板10從而形成凹陷圖案55',如第19圖所示。基板10具有與光阻劑層15不同的蝕刻抗性。在一些實施例中,蝕刻劑對基板10比對光阻劑層15更具選擇性。在一些實施例中,圖案化的光阻劑層15/曝露部分50在某些實施例中至少部分在蝕刻操作中移除。在其他實施例中,在蝕刻基板10之後藉由選擇性地蝕刻、使用合適的光阻劑剝離溶劑或藉由光阻劑電漿灰化操作以移除圖案化的光阻劑層15/曝露部分50。
揭露的另一個實施例如第3圖所示。製造半導體裝置的方法300選擇性地包括在基板10上形成包括底部層20a和中間層20b的底層20的操作S310(參見第6B圖),此形成藉由塗層底部層組成物在基板10上,然後藉由塗層中間層組成物在底部層20a上。在一些實施例中, 光阻劑組成物接著在操作S320塗層在底層20上,以形成光阻劑層15(參見第6A圖、第6B圖、第7B圖和第8D圖)。在一些實施例中,在塗層底部層組成物和/或在塗層中間層組成物之後進行加熱(或烘烤)操作。在一些實施例中,光阻劑是藉由化學氣相沉積(chemical vapor deposition,CVD)或原子層沉積(atomic layer deposition,ALD)形成的含金屬光阻劑。在其他實施例中,含金屬光阻劑層藉由旋塗方法形成。
在一些實施例中,光阻劑層15在光阻劑層15形成之後進行曝光前烘烤的操作S330(或第一次加熱操作)。在一些實施例中,第一次加熱的操作S330包括在大約攝氏40度和大約攝氏150度的溫度加熱光阻劑層15大約10秒至大約10分鐘。
光阻劑層15接著在操作S340中選擇性地曝露於光化輻射45/極紫外光輻射97(參見第12C圖和第12F圖)。在一些實施例中,光阻劑層15選擇性地或圖案狀地曝露於紫外光輻射。在一些實施例中,紫外光輻射是深紫外光輻射(deep ultraviolet radiation,DUV)。在一些實施例中,紫外光輻射是極紫外光(extreme ultraviolet,EUV)輻射。在一些實施例中,光阻劑層15選擇性地或圖案狀地曝露於電子束。在一些實施例中,光阻劑層15是對光化輻射45/極紫外光輻射97具光敏性的光阻劑層。
如第12C圖所示,在一些實施例中,光化輻射45 在照射曝露光阻劑層15之前經過光罩30。在一些實施例中,使用極紫外光微影對光阻劑層15進行選擇性地或圖案狀地曝露,以形成曝露部分50和非曝露部分52。在極紫外光微影操作中,反射式光罩65用於在一些實施例中形成圖案化的曝露光線,如第12F圖所示。此處的光罩、曝露輻射和曝露條件與方法100描述的操作S150相同。
頂層25接著在操作S350(參見第13A圖和第13B圖)中藉由在光阻劑層15上塗層頂層組成物而形成在選擇性地或圖案狀地曝露的光阻劑層15上。在一些實施例中,頂層組成物包括添加劑,以抑制或防止如氫自由基的自由基造成光阻劑降解。在其他實施例中,添加劑接著在操作S360中添加到頂層25'(參見第14C圖)。
在一些實施例中,曝光後烘烤(post exposure baking,PEB)接著在操作S370中進行。在一些實施例中,光阻劑層15在大約攝氏50度至大約攝氏250度的溫度加熱大約20秒至大約300秒。在一些實施例中,曝光後烘烤在大約攝氏100度到大約攝氏230度的溫度範圍進行,在其他實施例中則以大約攝氏150度到大約攝氏200度的溫度範圍進行。在一些實施例中,曝光後烘烤的操作S370使光阻劑層中的第一化合物或第一前驅物與第二化合物或第二前驅物的反應產物交聯。除了進一步促使曝露於光化輻射的光阻劑層15進行反應之外,曝光後烘烤的操作S370也使添加劑從頂層25擴散到光阻劑層15。在一些實施例中,添加劑擴散到遍布光阻劑層15。在一些實施 例中,添加劑均勻地擴散到遍布光阻劑層15。在其他實施例中,添加劑擴散到光阻劑層以形成濃度梯度,並在光阻劑層15/頂層25的介面具最高的濃度。
選擇性地曝露的光阻劑層15和頂層25接著在操作S380中顯影。在一些實施例中,光阻劑層15藉由應用基於溶劑的顯影劑57於選擇性地曝露的光阻劑層來顯影。如第16B圖所示,液態的顯影劑57從分注器62供應到頂層25和光阻劑層15。在一些實施例中,光阻劑是負性光阻劑,光阻劑層的曝露部分50由於曝露於光化輻射或曝光後烘烤而發生交聯反應,而光阻劑層的非曝露部分52則藉由顯影劑57移除,從而形成光阻劑層15的開口圖案55,進而曝露基板10,如第18B圖所示。在一些實施例中,頂層25在顯影操作過程中移除。在一些實施例中,乾顯影劑105應用於選擇性地曝露的光阻劑層15和頂層25,如第17B圖所示。此處的操作S380的顯影條件和參數與揭露的一些實施例中的方法100的操作S170相同。
顯影的操作S380在曝露基板10的光阻劑層部分提供開口圖案55,如第18B圖所示。在顯影的操作之後,當圖案化的光阻劑層15/曝露部分50就位時進行其他製程。例如在一些實施例中使用乾蝕刻或濕蝕刻進行蝕刻操作,以將光阻劑層15/曝露部分50的圖案轉移到下方的基板10從而形成凹陷圖案55',如第19圖所示。基板10具有與光阻劑層15不同的蝕刻抗性。在一些實施例中,蝕刻劑對基板10比對光阻劑層15更具選擇性。在一些實施例中, 圖案化的光阻劑層15/曝露部分50在某些實施例中至少部分在蝕刻操作中移除。在其他實施例中,在蝕刻基板10之後藉由選擇性地蝕刻、使用合適的光阻劑剝離溶劑或藉由光阻劑電漿灰化操作以移除圖案化的光阻劑層15/曝露部分50。
揭露的另一個實施例如第4圖所示。製造半導體裝置的方法400選擇性地包括在基板10上形成包括底部層20a和中間層20b的底層20的操作S410(參見第6B圖),此形成藉由塗層底部層組成物在基板10上,然後藉由塗層中間層組成物在底部層20a上。在一些實施例中,光阻劑組成物接著在操作S420塗層在底層20上,以形成光阻劑層15(參見第6A圖、第6B圖、第7B圖和第8D圖)。在一些實施例中,在塗層底部層組成物和/或在塗層中間層組成物之後進行加熱(或烘烤)操作。在一些實施例中,光阻劑是藉由化學氣相沉積(chemical vapor deposition,CVD)或原子層沉積(atomic layer deposition,ALD)形成的含金屬光阻劑。在其他實施例中,含金屬光阻劑層藉由旋塗方法形成。
在一些實施例中,光阻劑層15在光阻劑層15形成之後進行操作S430的曝光前烘烤(或第一次加熱的操作)。在一些實施例中,第一次加熱的操作S430包括在大約攝氏40度至大約攝氏150度之間的溫度加熱光阻劑層15大約10秒至大約10分鐘。
光阻劑層15接著在操作S440中選擇性地曝露於 光化輻射45/極紫外光輻射97(參見第12C圖和第12F圖)。在一些實施例中,光阻劑層15選擇性地或圖案狀地曝露於紫外光輻射。在一些實施例中,紫外光輻射是深紫外光輻射(deep ultraviolet radiation,DUV)。在一些實施例中,紫外光輻射是極紫外光(extreme ultraviolet,EUV)輻射。在一些實施例中,光阻劑層15選擇性地或圖案狀地曝露於電子束。在一些實施例中,光阻劑層15是對光化輻射45/極紫外光輻射97具光敏性的光阻劑層。
如第12C圖所示,在一些實施例中,光化輻射45在照射曝露光阻劑層15之前經過光罩30。在一些實施例中,使用極紫外光微影對光阻劑層15進行選擇性地或圖案狀地曝露,以形成曝露部分50和非曝露部分52。在極紫外光微影操作中,使用反射式光罩65在一些實施例中形成圖案化的曝露光線,如第12F圖所示。此處的光罩、曝露輻射和曝露條件與描述的方法100和操作S150相同。
曝光後烘烤(post exposure baking,PEB)的操作S450接著在一些實施例中進行。在一些實施例中,光阻劑層15在大約攝氏50度至大約攝氏250度的溫度加熱大約20秒至大約300秒。在一些實施例中,曝光後烘烤在大約攝氏100度到大約攝氏230度的溫度範圍進行,其他實施例中則在大約攝氏150度到大約攝氏200度的溫度範圍。在一些實施例中,曝光後烘烤的操作S450使光阻劑層中的第一化合物或第一前驅物與第二化合物或第二 前驅物的反應產物交聯。
頂層25接著在操作S460(參見第12A圖和第12B圖)中形成在曝光後烘烤過具選擇性或圖案狀曝露的光阻劑層15上,藉由塗層頂部組成物在光阻劑層15上。在一些實施例中,頂層組成物包括添加劑,以抑制或防止如氫自由基的自由基造成光阻劑降解。在其他實施例中,添加劑接著在操作中添加到頂層25。
頂層25和光阻劑層15接著進行第三次烘烤的操作S470,使添加劑從頂層25擴散到光阻劑層15。在一些實施例中,添加劑擴散到遍布光阻劑層15。在一些實施例中,添加劑均勻地擴散到遍布光阻劑層15。在其他實施例中,添加劑擴散到光阻劑層形成濃度梯度,並在光阻劑層15/頂層25的介面具最高濃度。在一些實施例中,第三次烘烤的操作S470包括在溫度大約攝氏40度至大約攝氏150度之間加熱頂層25和光阻劑層15大約10秒至大約10分鐘。
選擇性地曝露的光阻劑層15和頂層25接著在操作S480中顯影。在一些實施例中,光阻劑層15藉由應用基於溶劑的顯影劑57於選擇性曝露的光阻劑層來顯影。如第16B圖所示,液態的顯影劑57從分注器62供應到頂層25和光阻劑層15。在一些實施例中,光阻劑層的曝露部分50由於曝露於光化輻射或曝光後烘烤而發生交聯反應,而光阻劑層的非曝露部分52則由顯影劑57移除,以形成光阻劑層15的開口圖案55,進而曝露基板10,例如 第18B圖所示。在一些實施例中,在顯影的操作過程移除頂層25。在一些實施例中,乾顯影劑105應用於選擇性曝露的光阻劑層15和頂層25,如第17B圖所示。此處的操作S480的顯影條件和參數與揭露的一些實施例中的方法100的操作S170相同。
顯影的操作S480在曝露基板10的光阻劑層部分提供開口圖案55,如第18B圖所示。在顯影的操作之後,當圖案化的光阻劑層15/曝露部分50就位時進行其他製程。例如在一些實施例中使用乾蝕刻或濕蝕刻進行蝕刻操作,以將光阻劑層15/曝露部分50的開口圖案55轉移到下方的基板10從而形成凹陷圖案55',如第19圖所示。基板10具有與光阻劑層15不同的蝕刻抗性。在一些實施例中,蝕刻劑對基板10比對光阻劑層15更具選擇性。在一些實施例中,圖案化的光阻劑層15/曝露部分50在某些實施例中至少部分在蝕刻操作中移除。在其他實施例中,在蝕刻基板10之後藉由選擇性地蝕刻、使用合適的光阻劑剝離溶劑或藉由光阻劑電漿灰化操作以移除圖案化的光阻劑層15/曝露部分50。
揭露的另一個實施例如第5圖所示。製造半導體裝置的方法500選擇性地包括在基板10上形成包括底部層20a和中間層20b的底層20的操作S510(參見第6B圖),此形成藉由塗層底部層組成物在基板10上,然後藉由塗層中間層組成物在底部層20a上。在一些實施例中,光阻劑組成物接著在操作S520塗層在底層20上,以形成 光阻劑層15(參見第6A圖、第6B圖、第7B圖和第8D圖)。在一些實施例中,在塗層底部層組成物和/或在塗層中間層組成物之後進行加熱(或烘烤)操作。在一些實施例中,光阻劑是藉由化學氣相沉積(chemical vapor deposition,CVD)或原子層沉積(atomic layer deposition,ALD)形成的含金屬光阻劑。在其他實施例中,含金屬光阻劑層藉由旋塗方法形成。
在一些實施例中,光阻劑層15在光阻劑層15形成之後進行操作S530的曝光前烘烤(或第一次加熱的操作)。在一些實施例中,第一次加熱的操作S530包括在大約攝氏40度至大約攝氏150度之間的溫度加熱光阻劑層15大約10秒至大約10分鐘。
光阻劑層15接著在操作S540中選擇性地曝露於光化輻射45/極紫外光輻射97(參見第12C圖和第12F圖)。在一些實施例中,光阻劑層15選擇性地或圖案狀地曝露於紫外光輻射下。在一些實施例中,紫外光輻射是深紫外光輻射(deep ultraviolet radiation,DUV)。在一些實施例中,紫外光輻射是極紫外光(extreme ultraviolet,EUV)輻射。在一些實施例中,光阻劑層15選擇性地或圖案狀地曝露於電子束。在一些實施例中,光阻劑層15是對光化輻射45/極紫外光輻射97具光敏性的光阻劑層。
如第12C圖所示,在一些實施例中,光化輻射45在照射曝露光阻劑層15之前經過光罩30。在一些實施例 中,使用極紫外光微影對光阻劑層15進行選擇性地或圖案狀地曝露,以形成曝露部分50和非曝露部分52。在極紫外光微影操作中,使用反射式光罩65在一些實施例中形成圖案化的曝露光線,如第12F圖所示。此處的光罩、曝露輻射和曝露條件與描述的方法100的操作S150相同。
在一些實施例中,曝光後烘烤(post exposure baking,PEB)接著在操作S550進行。在一些實施例中,光阻劑層15在大約攝氏50度至大約攝氏250度的溫度加熱大約20秒至大約300秒。在一些實施例中,曝光後烘烤在大約攝氏100度到大約攝氏230度的溫度範圍進行,其他實施例則在大約攝氏150度到大約攝氏200度的溫度範圍。在一些實施例中,曝光後烘烤的操作S550使光阻劑層中的第一化合物或第一前驅物與第二化合物或第二前驅物的反應產物交聯。
選擇性地曝露的光阻劑層15接著在操作S560中顯影。光阻劑層15藉由應用基於溶劑的顯影劑58來顯影,此顯影劑包括添加劑,以抑制或防止如氫自由基的自由基對選擇性曝露的光阻劑層造成的光阻劑降解。如第16C圖所示,液態的顯影劑58從分注器62供應到頂層25和光阻劑層15。在一些實施例中,光阻劑層的曝露部分50由於曝露於光化輻射或曝光後烘烤而發生交聯反應,而光阻劑層的非曝露部分52則由顯影劑58移除,以形成光阻劑層15的開口圖案55,進而曝露基板10,如第18B圖所示。
在一些實施例中,光阻劑的顯影劑58包括溶劑、添加劑以及酸或鹼。在一些實施例中,根據光阻劑的顯影劑的總重量,溶劑的濃度在大約60wt%到大約99wt%。在一些實施例中,根據顯影劑的總重量,顯影劑中添加劑的濃度在大約0.001wt%到大約40wt%。在一些實施例中,根據顯影劑的總重量,顯影劑中添加劑的濃度在大約0.1wt%到大約20wt%。根據光阻劑的顯影劑的總重量,酸或鹼的濃度在大約0.001wt%到大約20wt%。在一些實施例中,根據光阻劑的顯影劑的總重量,顯影劑中的酸或鹼濃度在大約0.01wt%到大約15wt%。在揭露的範圍之外的顯影劑組成物,其線寬粗糙度可能會增加或光阻劑圖案可能顯影不足。
在一些實施例中,顯影劑58藉由旋塗製程應用於光阻劑層15。在顯影製程中,顯影劑58在具光阻劑塗層的基板進行旋轉時從光阻劑層15上方應用於光阻劑層15,如第16C圖所示。在一些實施例中,顯影劑58的供應速度在大約每分鐘5毫升到大約每分鐘800毫升之間,而具光阻劑塗層的基板10的旋轉速度在大約每分鐘100轉到大約每分鐘2000轉之間。在一些實施例中,顯影劑的溫度在大約攝氏20度到大約攝氏150度之間。在一些實施例中,顯影的操作持續大約30秒至大約10分鐘。
在一些實施例中,顯影劑58包括有機溶劑。有機溶劑可以是任何合適的溶劑。在一些實施例中,溶劑選自由丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether,PGME)、1-乙氧基-2丙醇(1-ethoxy-2-propanol,PGEE)、γ-丁內酯(γ-butyrolactone,GBL)、環己酮(cyclohexanone,CHN)、乳酸乙酯(ethyl lactate,EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、丁酮、二甲基甲醯胺(dimethylformamide,DMF)、異丙醇(isopropanol,IPA)、四氫呋喃(tetrahydrofuran,THF)、甲基異丁基甲醇(methyl isobutyl carbinol,MIBC)、乙酸正丁酯(n-butyl acetate,nBA)、2-庚酮(2-heptanone,MAK)和二噁烷所組成的群組中的一個或多個。
雖然旋塗操作是曝露後顯影光阻劑層15的合適方法之一,但它意在說明而不是意在限制實施方式。相反地,任何合適的顯影操作,包括浸式製程、水坑式製程和噴霧法都可替代地使用。所有的這些顯影操作都包含在實施例的範圍內。
顯影的操作S560在曝露基板10的光阻劑層部分提供開口圖案55,如第18B圖所示。在顯影的操作之後,當圖案化的光阻劑層15/曝露部分50就位時進行其他製程。例如在一些實施例中使用乾蝕刻或濕蝕刻進行蝕刻操作,以將光阻劑層15/曝露部分50的圖案轉移到下方的基板10從而形成凹陷圖案55',如第19圖所示。基板10具有與光阻劑層15不同的蝕刻抗性。在一些實施例中,蝕刻劑 對基板10比對光阻劑層15更具選擇性。在一些實施例中,圖案化的光阻劑層15/曝露部分50在某些實施例中至少部分在蝕刻操作中移除。在其他實施例中,在蝕刻基板10之後藉由選擇性地蝕刻、使用合適的光阻劑剝離溶劑或藉由光阻劑電漿灰化操作以移除圖案化的光阻劑層15/曝露部分50。
在一些實施例中,基板10至少表面部分包括單晶體半導體層。基板10可能包括單晶體半導體材料,例如但不限於矽、鍺、矽鍺、砷化鎵、銻化銦、磷化鎵、銻化鎵、砷化鋁銦、砷化鎵銦、銻磷化鎵、砷銻化鎵和磷化銦。在一些實施例中,基板10是絕緣層上矽(silicon-on insulator,SOI)基板的矽層。在某些實施例中,基板10由結晶矽製成。
基板10可能在表面區域包括一個或多個緩衝層(未圖示)。緩衝層可以將晶格常數從基板的晶格常數逐漸變成隨後形成的源極/汲極區域的晶格常數。緩衝層可藉由磊晶生長單晶半導體材料形成,例如但不限於矽、鍺、鍺錫、矽鍺、砷化鎵、銻化銦、磷化鎵、銻化鎵、砷化鋁銦、砷化鎵銦、銻磷化鎵、砷銻化鎵、氮化鎵和磷化銦。在實施例中,矽鍺(SiGe)緩衝層在矽的基板10上磊晶生長。矽鍺緩衝層的鍺濃度可能從最底部緩衝層的30原子百分比增加到最頂部緩衝層的70原子百分比。
在一些實施例中,基板10包括一個或多個層,這些層至少具有一個金屬、金屬合金、和金屬-氮化物/硫化 物/氧化物/矽化物,此金屬-氮化物/硫化物/氧化物/矽化物具有公式MXa,其中M是金屬而X是氮、硫、硒、氧、矽,a是大約0.4到大約2.5。在一些實施例中,基板10包括鈦、鋁、鈷、釕、氮化鈦、氮化鎢、氮化鉭及其組合。
在一些實施例中,基板10包括介電材料,此介電材料至少具有公式MXb的矽或金屬氧化物或氮化物,其中M是金屬或Si,X是氮或氧,b範圍從大約0.4到大約2.5。在一些實施例中,基板10包括二氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭及其組合。
如第6B圖所示,在一些實施例中,光阻劑結構22是三層光阻劑結構,包括底部層20a、中間層20b和上方的光阻劑層15。三層的光阻劑結構22的上方層是光敏層或光阻劑層15。
在一些實施例中,底部層20a是具實質上平坦上表面的有機材料,而中間層20b是防反射層。在一些實施例中,底部層20a的有機材料包括多個單體或不交聯的聚合物。在一些實施例中,底部層20a包括可圖案化和/或具可調整組成物以提供抗反射性質的材料。底部層20a的示範材料包括碳鏈聚合物。底部層20a用於平坦化結構,因為其下的結構可能不平坦,且取決於其下裝置層的裝置結構。在一些實施例中,底部層20a藉由旋塗製程形成。在某些實施例中,底部層20a的厚度在大約3奈米到大約500奈米的範圍。
三層的光阻劑結構22的中間層20b可能具有為 微影操作和/或硬光罩性質提供抗反射性質的組成物。在一些實施例中,中間層20b包括含矽層(例如矽硬光罩材料)。中間層20b可能包括含矽的無機聚合物。在其他實施例中,中間層20b包括矽氧烷聚合物。在其他實施例中,中間層20b包括氧化矽(例如旋塗式玻璃(spin-on glass,SOG))、氮化矽、氮氧化矽、多晶矽、含金屬例如鈦、氮化鈦、鋁和/或鉭的含金屬有機聚合物材料和/或其他合適的材料。中間層20b可與鄰近層鍵結,例如通過共價鍵、氫鍵或親水性對親水性作用。在一些實施例中,根據中間層20b的總重量,中間層20b包括濃度在大約0.001wt%到大約30wt%的添加劑。在揭露範圍以下的添加劑濃度,添加劑可能沒有顯著性。在揭露範圍以上的濃度,預期的效果可能沒有顯著的改善,或者中間層的有益性質可能出現不可接受的降解。在一些實施例中,中間層20b的厚度在大約10奈米到大約200奈米。
在一些實施例中,底層20或頂層25含的組成物包括黏著劑,例如六甲基二矽氮烷(hexamethyldisilazane,HMDS)、聚甲基丙烯酸甲酯(polymethylmethacrylate,PMMA)、聚羥基苯乙烯(polyhydroxystyrene,PHS)或旋塗碳(spin-on carbon,SOC);包括添加劑;以及包括溶劑。在一些實施例中,底層20或頂層25藉由旋塗形成。在一些實施例中,底層20的厚度在大約10奈米到大約200奈米。在一些實施例中,頂層25的厚度在大約3奈米到大約100奈 米。低於此範圍的底層和頂層厚度可能無法顯著改善所需的性質。高於此範圍的底層厚度可能不提供任何額外的益處。高於此揭露範圍的頂層厚度可能會干擾光阻劑的微影圖案。
在一些實施例中,底層或頂層組成物的溶劑選自由丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether,PGME)、1-乙氧基-2丙醇(1-ethoxy-2-propanol,PGEE)、γ-丁內酯(γ-butyrolactone,GBL)、環己酮(cyclohexanone,CHN)、乳酸乙酯(ethyl lactate,EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、丁酮、二甲基甲醯胺(dimethylformamide,DMF)、異丙醇(isopropanol,IPA)、四氫呋喃(tetrahydrofuran,THF)、甲基異丁基甲醇(methyl isobutyl carbinol,MIBC)、乙酸正丁酯(n-butyl acetate,nBA)、2-庚酮(2-heptanone,MAK)和二噁烷所組成的群組中的一個或多個。
在一些實施例中,抑制或防止自由基降解光阻劑的添加劑包括一個或多個自由基抑制劑、熱自由基抑制劑和光自由基抑制劑。
在一些實施例中,自由基抑制劑選自由吩噻嗪、2-第三丁基-1,4-苯醌、1,4-苯醌、氫醌、4-第三丁基鄰苯二酚、2,6-二第三丁基苯酚、4-甲氧基苯酚、對苯二胺、 羥胺、二乙基羥胺、2,2,6,6-四甲基哌啶1-氧基、4-氨基-2,2,6,6-四甲基哌啶1-氧基、1,1-二苯基-2-三硝基苯肼自由基、二丁基二硫代氨基甲酸銅(II)、6-第三丁基-2,4-二甲苯酚、2,6-二第三丁基-對甲酚、4-羥基-2,2,6,6-四甲基哌啶1-氧基(4-hydroxy-2,2,6,6-tetramethyl piperidine 1-oxyl,4-羥基-TEMPO,97%)、4-氧代-2,2,6,6-四甲基哌啶1-氧基、2,6-二第三丁基-4-甲基苯酚(2,6-di-tert-butyl-4-methylphenol,BHT,99%)、第三丁基氫醌(tert-butyl hydroquinone,TBHQ,97%)、2,6-二第三丁基-4-甲氧基苯酚(2,6-di-tert-butyl-4-methoxyphenol,DTBMP,98%)和4-甲氧基苯酚所組成的群組中的一個或多個。根據揭露的實施例,自由基抑制劑的示例參見第20圖。
在一些實施例中,熱自由基抑制劑選自由偶氮二異丁腈(azobisisobutyronitrile,AIBN)、2,2'-偶氮二(2-甲基丁腈)(2,2'-azodi(2-methylbutyronitrile),AMBN)、2,2'-偶氮二(2,4-二甲基)戊腈(2,2'-azobis(2,4-dimethyl)valeronitrile,ADVN)、4,4'-偶氮二(4-氰基戊酸)(4,4'-azobis(4-cyanopentanoic acid),ACVA)、2,2'-偶氮二(2-甲基丙酸)二甲酯、2,2'-偶氮二(2-脒基丙烷)二鹽酸鹽(2,2'-azobis(2-amidinopropane)dihydrochloride,AAPH)、2,2'-偶氮二[2-(2-咪唑 啉-2-基))-丙烷]二鹽酸鹽、第三丁基過氧化氫(tert-butyl-hydroperoxide,TBHP)、過氧化氫異丙苯、二第三丁基過氧化物、過氧化二異丙苯、過氧化苯甲醯(benzoyl peroxide,BPO)、雙氰胺、甲苯磺酸環己酯、四氟硼酸甲基二苯基硫鎓鹽、芐基(4-羥苯基)-甲基硫鎓六氟銻酸鹽和(4-羥苯基)甲基-(2-甲基芐基)硫鎓六氟銻酸鹽所組成的群組中的一個或多個。根據揭露的實施例,熱自由基抑制劑的示例參見第21圖。
在一些實施例中,光自由基抑制劑選自由樟腦醌、苯乙酮、3-乙醯苯酚、4-乙醯苯酚、二苯甲酮、2-甲基二苯甲酮、3-甲基二苯甲酮、3-羥基二苯甲酮、3,4-二甲基二苯甲酮、4-羥基二苯甲酮、4-苯甲醯基苯甲酸、2-苯甲醯基苯甲酸、2-苯甲醯基苯甲酸甲酯、4,4'-二羥基二苯甲酮、4-(二甲氨基)-二苯甲酮、4,4'-雙(二甲氨基)-二苯甲酮、4,4'-雙(二乙氨基)-二苯甲酮、4,4'-二氯二苯甲酮,4-(對甲苯硫基)二苯甲酮、4-苯基二苯甲酮、1,4-二苯甲醯苯、芐基、4,4'-二甲基芐基、對茴香醯、2-苯甲醯基-2-丙醇、2-羥基-4'-(2-羥基乙氧基)-2-甲基苯丙酮、1-苯甲醯基環己醇、安息香和茴香偶姻所組成的群組中的一個或多個。根據揭露的實施例,光自由基抑制劑的示例顯示在第22A圖和第22B圖中。
在一些實施例中,根據底層20或頂層25的總重量,添加劑的濃度在大約0.001wt%至大約30wt%。在揭露範圍以下的添加劑濃度,光阻劑性質可能不會顯著 改善。在揭露範圍以上的添加劑濃度,光阻劑性質可能沒有額外的顯著改善,並且可能會對光阻劑性質產生有害影響。
光阻劑層15是藉由曝露於光化輻射進行圖案化的光敏層。通常來說,入射輻射照射光阻劑區域的化學性質的改變方式取決於使用的光阻劑類型。光阻劑層15是正性光阻劑或負性光阻劑其一。正性光阻劑是當曝露於如紫外光輻射時在顯影劑中變可溶解的光阻劑材料,而非曝露(或曝露較少)的光阻劑材料區域在顯影劑中不溶解。另一方面,負性光阻劑是當曝露於輻射時在顯影劑中變不可溶解的光阻劑材料,而非曝露(或曝露較少)的光阻劑區域在顯影劑中可溶解。曝露於輻射即變得不可溶解的負性光阻劑區域可能是由於曝露於輻射而引起交聯反應而變得不可溶解。
在一些實施例中,光阻劑層包括高敏感性的光阻劑組成物。在一些實施例中,高敏感性的光阻劑組成物包括對極紫外光(extreme ultraviolet,EUV)輻射具高吸收的金屬。
在一些實施例中,光阻劑層15由光阻劑組成物製成,包括第一化合物或第一前驅物與第二化合物或第二前驅物組合在蒸汽狀態下。第一前驅物或第一化合物是有機金屬,具有如第23A圖所示的公式:MaRbXc,其中M至少是錫、鉍、銻、銦、碲、鈦、鋯、鉿、釩、鈷、鉬、鎢、鋁、鎵、矽、鍺、磷、砷、釔、鑭、鈰或鎦的其中一個; R是取代或未取代的烷基、烯基或羧酸鹽基團。在一些實施例中,M選自由錫、鉍、銻、銦、碲及其組合所組成的群組。在一些實施例中,R是C3-C6烷基、烯基或羧酸鹽。在一些實施例中,R選自由丙基、異丙基、丁基、異丁基、二級丁基、三級丁基、戊基、異戊基、二級戊基、三級戊基、己基、異己基、二級己基、三級己基及其組合所組成的群組。X是能與第二化合物或第二前驅物反應的配位基、離子或其他官能基;以及在一些實施例中,1
Figure 111100563-A0305-02-0040-1
a
Figure 111100563-A0305-02-0040-2
2、b
Figure 111100563-A0305-02-0040-3
1、c
Figure 111100563-A0305-02-0040-4
1和b+c
Figure 111100563-A0305-02-0040-5
5。在一些實施例中,烷基、烯基或羧酸鹽基團以一個或多個氟基替代。在一些實施例中,有機金屬前驅物是二聚體,如第23A圖所示,其中每個單體單元連接一個胺基。每個單體具有如上定義的公式:MaRbXc
在一些實施例中,R是烷基,例如CnH2n+1,其中n
Figure 111100563-A0305-02-0040-6
3。在一些實施例中,R是氟化的,例如具有公式CnFxH((2n+1)-x)。在一些實施例中,R至少具有一個β-氫或β-氟。在一些實施例中,R選自由異丙基、正丙基、第三丁基、異丁基、正丁基、第二丁基、正戊基、異戊基、第三戊基和第二戊基及其組合所組成的群組。
在一些實施例中,X是任何容易被第二化合物或第二前驅物取代以產生M-OH官能基的官能基,例如選自由胺,包括二烷基氨基和單烷基氨基;烷氧基;羧酸鹽;鹵素和磺酸基所組成的群組。在一些實施例中,磺酸基以一個或多個胺基替代。在一些實施例中,鹵化物選自由氟、氯、溴和碘所組成的群組中的一個或多個。在一些實施例 中,磺酸基包括取代或未替換的C1-C3基團。
在一些實施例中,第一有機金屬化合物或第一有機金屬前驅物包括金屬核M+和在金屬核M+上的配位基L,如第23B圖所示。在一些實施例中,金屬核M+是金屬氧化物。在一些實施例中,配位基L包括C3-C12脂肪或芳香基。脂肪或芳香基可為未分枝或分枝,具有含1-9個碳的環狀或非環狀側鏈基團,包括烷基、烯基和苯基。分支的基團可能進一步以氧氣或鹵素取代。在一些實施例中,C3-C12脂肪或芳香基包括雜環基團。在一些實施例中,C3-C12脂肪或芳香基藉由醚或酯鍵結到金屬上。在一些實施例中,脂肪或芳香基包括亞硝酸鹽和磺酸鹽取代基。
在一些實施例中,有機金屬前驅物或有機金屬化合物包括第二己基三(二甲氨基)錫、第三己基三(二甲氨基)錫、異己基三(二甲氨基)錫、正己基三(二甲氨基)錫、第二戊基三(二甲氨基)錫、第三戊基三(二甲氨基)錫、異戊基三(二甲氨基)錫、正戊基三(二甲氨基)錫、第二丁基三(二甲氨基)錫、第三丁基三(二甲氨基)錫、異丁基三(二甲氨基)錫、正丁基三(二甲氨基)錫、異丙基(三)二甲氨基錫、正丙基三(二乙氨基)錫和類似烷基(三)(第三氧基)錫的化合物,包括第二己基三(第三丁氧基)錫、第三己基三(第三丁氧基)錫、異己基三(第三丁氧基)錫、正己基三(第三丁氧基)錫、第二戊基三(第三丁氧基)錫、第三戊基三(第三丁氧基)錫、異戊基三(第三丁氧基)錫、正戊基三(第三丁氧基)錫、 第三丁基三(第三丁氧基)錫、異丁基三(丁氧基)錫、正丁基三(丁氧基)錫、第二丁基三(丁氧基)錫、異丙基(三)二甲氨基錫或正丙基三(丁氧基)錫。在一些實施例中,有機金屬前驅物或有機金屬化合物是氟化的。在一些實施例中,有機金屬前驅物或化合物的沸點小於攝氏200度。
在一些實施例中,第一化合物或第一前驅物包括一個或多個不飽和鍵,可以與基板介於底層的表面的官能基配位,例如羥基,以改善光阻劑層與基板或底層的黏著力。
在一些實施例中,第二前驅物或第二化合物至少是胺、硼烷、膦或水中的一個。在一些實施例中,胺具公式NpHnXm,其中0
Figure 111100563-A0305-02-0042-7
n
Figure 111100563-A0305-02-0042-8
3、0
Figure 111100563-A0305-02-0042-9
m
Figure 111100563-A0305-02-0042-10
3、當p是1時n+m=3,以及當p是2時n+m=4,每個X是獨立選自由氟、氯、溴和碘所組成的群組。在一些實施例中,硼烷具公式BpHnXm,其中0
Figure 111100563-A0305-02-0042-11
n
Figure 111100563-A0305-02-0042-12
3、0
Figure 111100563-A0305-02-0042-13
m
Figure 111100563-A0305-02-0042-14
3,當p是1時n+m=3,以及當p是2時n+m=4,每個X是獨立選自由氟、氯、溴和碘所組成的群組。在一些實施例中,膦具公式PpHnXm,其中0
Figure 111100563-A0305-02-0042-15
n
Figure 111100563-A0305-02-0042-16
3、0
Figure 111100563-A0305-02-0042-17
m
Figure 111100563-A0305-02-0042-18
3、當p是1時n+m=3,或是當p是2時n+m=4,每個X是獨立選自由氟、氯、溴和碘所組成的群組。
在一些實施例中,第二前驅物或化合物是水、氨水或聯氨。水、氨水或聯氨與有機金屬前驅物或化合物的反應產物可形成氫鍵,以增加反應產物的沸點,防止金屬光阻劑材料的釋出,從而防止金屬污染。氫鍵還有助於防止水分對光阻劑層的品質造成影響。
第23B圖說明在一些實施例中金屬前驅物曝露於光化輻射後發生的反應。由於曝露於光化輻射,配位基L從金屬前驅物的金屬核M+釋出,使兩個或多個金屬前驅物的核相互鍵結。
第23C圖根據揭露的實施例說明有機金屬前驅物的示例。在第23C圖中,Bz是苯基。
在一些實施例中,操作S130、操作S220、操作S320、操作S420和操作S520藉由氣相沉積的操作在基板或底層上進行光阻劑塗層。在一些實施例中,氣相沉積操作包括原子層沉積(atomic layer deposition,ALD)或化學氣相沉積(chemical vapor deposition,CVD)。在一些實施例中,ALD包括電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PE-ALD),而CVD包括電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PE-CVD)、有機金屬化學氣相沉積(metal-organic chemical vapor deposition,MO-CVD)、常壓化學氣相沉積(atmospheric pressure chemical vapor deposition,AP-CVD)和低壓化學氣相沉積(low pressure chemical vapor deposition,LP-CVD)。
根據揭露的一些實施例,第24圖說明光阻劑層的沉積裝置200。在一些實施例中,沉積裝置200是ALD或CVD裝置。沉積裝置200包括真空腔體205。真空腔體205中的基板支撐檯210支撐基板10,例如矽晶圓。 在一些實施例中,基板支撐檯210包括加熱器。在一些實施例中,第一前驅物或化合物氣體供應220和載流/沖洗氣體供應225通過氣體管線235連接到腔體中的進口230,第二前驅物或化合物氣體供應240和載流/沖洗氣體供應225通過另一個氣體管線235'連接到腔體中的另一個進口230'。真空幫浦245通過出口250和排氣管線255將腔體抽真空並且移除多餘的反應物和反應副產物。在一些實施中,前驅物氣體和載流/沖洗氣體的流速或脈衝、多餘的反應物和反應副產物的移除、真空腔體205裡面的壓力以及真空腔體205或基板支撐檯210的溫度由配置以控制每個參數的控制器260所控制。
在一些實施例中,沉積光阻劑層包括將第一化合物或第一前驅物與第二化合物或第二前驅物在蒸氣狀態下結合在一起,以形成光阻劑組成物。在一些實施例中,光阻劑組成物的第一化合物或第一前驅物與第二化合物或第二前驅物通過進口230和進口230'同時引入沉積真空腔體205(CVD腔體)。在一些實施例中,第一化合物或第一前驅物與第二化合物或第二前驅物通過進口230和進口230'以交替的方式引入沉積真空腔體205(ALD腔體),即先第一化合物或前驅物,然後第二化合物或前驅物,接著交替重複引入第一化合物或前驅物再第二化合物或前驅物。
在一些實施例中,沉積腔體的溫度在沉積操作期間從大約攝氏30度到大約攝氏400度,其他實施例中則在 大約攝氏50度到大約攝氏250度之間。在一些實施例中,沉積腔體內的壓力在沉積操作過程中大約5mTorr到大約100Torr的範圍,在其他實施例中則大約100mTorr到大約10Torr。在一些實施例中,電漿功率小於1000W左右。在一些實施例中,電漿功率範圍從大約100W到大約900W。在一些實施例中,第一化合物或前驅物與第二化合物或前驅物的流速在大約100sccm到大約1000sccm。在一些實施例中,有機金屬化合物前驅物對第二化合物或前驅物的流動比在大約1:1到大約1:5。上述的範圍以外的操作參數會造成一些實施例中不令人滿意的光阻劑層。在一些實施例中,光阻劑層的形成在單個腔體(單鍋形成層)發生。
根據揭露的一些實施例,在CVD製程中,兩個或多個有機金屬前驅物和第二前驅物的氣流藉由單獨分開的進口230與氣體管線235的進口路徑以及進口230'與氣體管線235'的進口路徑引入CVD裝置的沉積真空腔體205,使其在氣相中混合和反應以形成反應產物。在一些實施例中,氣流使用單獨分開的注射進口230和進口230'或是雙壓噴頭來引入。沉積裝置配置為使有機金屬前驅物和第二前驅物的氣流混合在腔體中,促使有機金屬前驅物和第二前驅物反應以形成反應產物。在不限制所揭露的機制、功能或效用的情況下,蒸汽相反應的產物據信在分子量上變得更重,並接著藉由濃縮或其他方式沉積到基板10上。
在一些實施例中,ALD製程用以沉積光阻劑層。在ALD時,藉由曝露基板的表面於交替的氣態化合物(或前驅物)以在基板10上生長層。與CVD相比,前驅物的引入為連續且不重疊的脈衝。在每個脈衝中,前驅物分子以自我限制的方式與表面發生反應,使反應一旦消耗表面上所有的反應位置就會終止。因此在單次曝露所有前驅物(所謂的ALD週期)之後,表面上沉積的材料的最大量將取決於前驅物與表面相互作用的性質。
在ALD製程的實施例中,脈衝的有機金屬前驅物在第一半部反應中將含金屬的前驅物送至基板10的表面。在一些實施例中,有機金屬前驅物與合適的其下的物質(例如基板表面的羥或胺官能基)發生反應,以形成新的自飽和表面。在一些實施例中,多餘未使用的反應物和反應副產物則藉由使用真空幫浦245抽真空和/或藉由流動惰性沖洗氣體以移除。接著在一些實施例中,第二前驅物,例如氨(NH3),被脈衝至沉積腔體中。氨與基板上的有機金屬前驅物發生反應,以得到基板表面的反應產物光阻劑。第二前驅物也與其下的反應物質形成自飽和的鍵結,以提供另一種自我限制以及飽和的第二半部反應。在一些實施例中,進行第二次沖洗以移除未使用的反應物和反應副產物。第一前驅物和第二前驅物的脈衝與其中相間的沖洗操作交替進行直到達到所需的光阻劑層厚度。
在一些實施例中,光阻劑層15形成厚度在大約5奈米至大約50奈米,其他實施例的厚度則在大約10奈米 至大約30奈米。本領域中的通常知識者應認識到,上述明確範圍內額外的厚度範圍是可以考慮且涵蓋於當前揭露的範圍。厚度可以根據光阻劑層的光學性質使用非接觸式的X光反射和/或橢圓偏振技術的方法進行評估。在一些實施例中,每個光阻劑層厚度都相當均勻以方便製程。在一些實施例中,沉積的光阻劑層的厚度變異與平均厚度相差不超過±25%,其他實施例中每個光阻劑層厚度變異則不超過平均光阻劑層厚度的±10%。在一些實施例中,例如較大基板上的高均勻性沉積,可使用排除1公分的邊緣來評估光阻劑層的均勻性,即對邊緣1公分以內的塗層部分不進行層的均勻性評估。本領域的通常知識者應認識到,上述明確範圍內的其他範圍也是考慮且涵蓋在當前揭露的範圍內。
在一些實施例中,第一和第二化合物或前驅物藉由載流氣體送至沉積真空腔體205中。載體氣體、沖洗氣體、沉積氣體或其他製程氣體可包括氮氣、氫氣、氬氣、氖氣、氦氣或其組合。
在一些實施例中,有機金屬化合物包括錫(Sn)、銻(Sb)、鉍(Bi)、銦(In)和/或碲(Te)作為金屬成份,但是揭露並不局限於這些金屬。在其他實施例中,另外的適合金屬包括鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈷(Co)、鉬(Mo)、鎢(W)、鋁(Al)、鎵(Ga)、矽(Si)、鍺(Ge)、磷(P)、砷(As)、釔(Y)、鑭(La)、鈰(Ce)、镥(Lu)或其組合。這些另外的金屬可作為錫(Sn)、銻 (Sb)、鉍(Bi)、銦(In)和/或碲(Te)的替代品或額外添加。
使用的特定金屬可能會顯著影響輻射的吸收。因此金屬元件可以根據所需的輻射和吸收橫截面進行選擇。錫、銻、鉍、碲和銦在13.5奈米的極紫外光有強吸收。鉿對電子束和極紫外光輻射的吸收良好。含鈦、釩、鉬或鎢的金屬成份在較長的波長具強吸收,例如對248奈米的紫外光波長具靈敏度。
第25圖根據揭露的實施例說明光阻劑組成物曝露於光化輻射和加熱所發生的反應。第25圖根據揭露的實施例說明在不同階段的光阻劑圖案化方法中光阻劑層的化學結構示例。如第25圖所示,光阻劑組成物包括有機金屬化合物,例如SnX2R2,以及第二化合物,例如氨(NH3)。當有機金屬化合物和氨結合時,有機金屬化合物與蒸汽相的一些氨發生反應,以形成有機金屬化合物中的金屬(錫)接上胺基的反應產物。沉積的光阻劑層中的胺基具有氫鍵,可以實質上增加沉積的光阻劑層的沸點,以防止含金屬光阻劑材料排出氣體,從而防止含金屬光阻劑中的金屬污染沉積腔體和半導體裝置的製程裝置。此外胺基的氫鍵可以控制水分對光阻劑層品質的影響。
在一些實施例中,光阻劑組成物是基於有機聚合物的組成物,並在溶劑中藉由旋轉塗佈製程沉積,接著以第一次加熱移除溶劑。
當接著曝露於極紫外光輻射,有機金屬化合物吸收 極紫外光輻射,一個或多個有機R基團從有機金屬化合物斷鍵,以在輻射曝露區域形成氨基金屬化合物。接著當進行曝光後烘烤(post-exposure bake,PEB)的操作S160、操作S280、操作S370、操作S450和操作S550時,氨基金屬化合物在一些實施例中藉由胺基交聯,如第25圖所示。在一些實施例中,氨基金屬化合物的部分交聯是由於曝露於極紫外光輻射。
第7A圖至第7C圖根據揭露的實施例說明操作的連續過程階段,將添加劑引入光阻劑層15以抑制或防止自由基降解光阻劑。包括添加劑在內的底層20在基板10上形成,如第7A圖所示。底層組成物可以是此處揭露的任何組成物,而底層20的形成方法可以是此處揭露的任何合適的方法。接著光阻劑層15在底層20上形成,如第7B圖所示。光阻劑層的組成物可以是此處揭露的任何組成物,而光阻劑層15的形成方法可以是此處揭露的任何合適的方法。光阻劑層15和底層20接著使用加熱器21加熱或烘烤,如第7C圖所示。在一些實施例中,加熱器21是電阻加熱元件、紅外線加熱元件或加熱板。在一些實施例中,加熱或烘烤的操作(操作S140、操作S260、操作S370和操作S450)包括在溫度大約攝氏40度至大約攝氏250度之間加熱光阻劑層15和底層20大約10秒至大約10分鐘。加熱或烘烤的操作使添加劑從底層20擴散到光阻劑層15。在一些實施例中,添加劑擴散到遍布光阻劑層15。在一些實施例中,添加劑均勻地擴散到遍布光阻劑層15。 在其他實施例中,添加劑擴散到光阻劑層形成濃度梯度,並在光阻劑層15/底層20的介面中具最高濃度。
第8A圖至第8E圖根據揭露的實施例說明操作的連續過程階段,將添加劑引入到光阻劑層15以抑制或防止自由基降解光阻劑。底層20'在基板10上形成,如第8A圖所示。底層組成物可以是此處揭露的任何組成物且不含添加劑,而底層20'的形成方法可以是此處揭露的任何合適的方法。添加劑19接著添加到底層20',如第8B圖所示。添加劑19藉由將添加劑從含添加劑的蒸汽擴散到底層20'而引入底層20'。在一些實施例中,含添加劑的蒸汽藉由揮發添加劑、ALD、CVD、PE-ALD、PE-CVD、MO-CVD、AP-CVD或LP-CVD生成。在一些實施例中,根據蒸汽的總重量,添加劑19在蒸汽中的濃度在大約0.001wt%到大約100wt%。在其他實施例中,添加劑19溶解在溶劑中,且將此添加劑/溶劑混合物應用於底層20',接著溶劑藉由大約攝氏60度到大約攝氏300度的溫度加熱底層20'以移除,進而形成包含添加劑的底層20,如第8C圖所示。在一些實施例中,溶劑是一種或多種有機或水/無機溶劑。有機溶劑包括:丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether,PGME)、1-乙氧基-2丙醇(1-ethoxy-2-propanol,PGEE)、γ-丁內酯(γ-butyrolactone,GBL)、環己酮(cyclohexanone, CHN)、乳酸乙酯(ethyl lactate,EL)、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲醯胺(dimethylformamide,DMF)、異丙醇(isopropanol,IPA)、四氫呋喃(tetrahydrofuran,THF)、甲基異丁基甲醇(methyl isobutyl carbinol,MIBC)、乙酸正丁酯(n-butyl acetate,nBA)、2-庚酮(2-heptanone,MAK)、四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、四丁基氫氧化銨(tetrabutylammonium hydroxide,TBAH)以及其組合等。在一些實施例中,有機溶劑是一種低極性溶劑,包括直鏈或分支烷,例如辛烷和2-甲基庚烷;或醚,包括二丙醚和異戊醚。在一些實施例中,有機溶劑在室溫下是液體,且沸點在大約攝氏60度到大約攝氏280度。在一些實施例中,水/無機溶劑是水、氫氧化鉀、氫氧化鈉、鹽酸、氫氟酸等中的一個或多個。
接著光阻劑層15在底層20上形成,如第8D圖所示。光阻劑層組成物可以是本文所揭露的任何組成物,而形成光阻劑層15的方法可以是本文所揭露的任何合適的方法。光阻劑層15和底層20接著使用加熱器21加熱或烘烤,如第8E圖所示。在一些實施例中,加熱器21是電阻加熱元件、紅外線加熱元件或加熱板。在一些實施例中,加熱或烘烤的操作(操作S140、操作S260、操作S370和操作S450)包括在溫度大約攝氏40度至大約攝氏250度之間加熱光阻劑層15和底層20大約10秒至大約10分鐘。加熱或烘烤的操作使添加劑從底層20擴散到 光阻劑層15。在一些實施例中,添加劑擴散到遍布光阻劑層15。在一些實施例中,添加劑均勻地擴散到遍布光阻劑層15。在其他實施例中,添加劑擴散到光阻劑層形成濃度梯度,並在光阻劑層15/底層20的介面具最高濃度。
第9A圖至第9C圖根據揭露的實施例說明操作的連續過程階段,將添加劑引入到光阻劑層15以抑制或防止自由基降解光阻劑。光阻劑層15在基板10上形成,如第9A圖所示。光阻劑層組成物可以是此處揭露的任何組成物,形成光阻劑層15的方法可以是此處揭露的任何合適的方法。包括添加劑在內的頂層25在光阻劑層15上形成,如第9B圖所示。頂層組成物可以是本文揭露的任何組成物,而形成頂層25的方法可以是本文揭露的任何合適的方法。光阻劑層15和頂層25接著使用加熱器21加熱或烘烤,如第9C圖所示。在一些實施例中,加熱器21是電阻加熱元件、紅外線加熱元件或加熱板。在一些實施例中,加熱或烘烤的操作(操作S140、操作S260、操作S370和操作S450)包括在溫度大約攝氏40度至大約攝氏250度之間加熱光阻劑層15和頂層25大約10秒至大約10分鐘。加熱或烘烤的操作使添加劑從頂層25擴散到光阻劑層15。在一些實施例中,添加劑擴散到遍布光阻劑層15。在一些實施例中,添加劑均勻地擴散到遍布光阻劑層15。在其他實施例中,添加劑擴散到光阻劑層形成濃度梯度,並在光阻劑層15/頂層25的介面具最高濃度。
第10A圖至第10D圖說明根據揭露的實施例操作 的順序過程階段,引入添加劑到光阻劑層15以抑制或防止自由基降解光阻劑。光阻劑層15在基板10上形成,如第10A圖所示。光阻劑層組成物可以是此處揭露的任何組成物,形成光阻劑層15的方法可以是此處揭露的任何合適的方法。頂層25'接著在基板的光阻劑層上形成,如第10B圖所示。頂層組成物可以是此處揭露的任何組成物且不含添加劑,而形成頂層25'的方法可以是此處揭露的任何合適的方法。添加劑19接著添加到頂層25',如第10C圖所示。添加劑19藉由參考此處第8B圖所揭露的任何技術引入到頂層25'以形成具添加劑的頂層25。光阻劑層15和頂層25接著使用加熱器21加熱或烘烤,如第10D圖所示。在一些實施例中,加熱器21是電阻加熱元件、紅外線加熱元件或加熱板。在一些實施例中,加熱或烘烤的操作(操作S140、操作S260、操作S370和操作S450)包括在溫度大約攝氏40度至大約攝氏250度之間加熱光阻劑層15和頂層25大約10秒至大約10分鐘。加熱或烘烤的操作使添加劑從頂層25擴散到光阻劑層15。在一些實施例中,添加劑擴散到遍布光阻劑層15。在一些實施例中,添加劑均勻地擴散到遍布光阻劑層15中。在其他實施例中,添加劑擴散到光阻劑層形成濃度梯度,並在光阻劑層15/頂層25的介面具最高濃度。
第11A圖至第11C圖根據揭露的實施例說明操作的順序過程階段,將添加劑引入到光阻劑層15以抑制或防止自由基降解光阻劑。光阻劑層15在基板10上形成,如 第10A圖所示。光阻劑層組成物可以是此處揭露的任何組成物,形成光阻劑層15的方法可以是此處揭露的任何合適的方法。添加劑19參考此處第8B圖揭露的任何技術直接引入到光阻劑層15,如第11B圖所示。光阻劑層15接著使用加熱器21加熱或烘烤,如第11C圖所示。在一些實施例中,加熱器21是電阻加熱元件、紅外線加熱元件或加熱板。在一些實施例中,加熱或烘烤的操作包括在大約攝氏40度到大約攝氏250度的溫度加熱光阻劑層15大約10秒至大約10分鐘。加熱或烘烤的操作使添加劑擴散到遍布光阻劑層15。在一些實施例中,添加劑均勻地擴散到遍布光阻劑層15。在其他實施例中,添加劑擴散到光阻劑層形成從光阻劑層頂部到光阻劑層底部的濃度梯度。第7A圖到第11C圖說明兩個或兩個以上的實施例可組合,並省略其中的詳細描述。
第12A圖至第12F圖根據揭露的不同實施例說明選擇性地或圖案狀地曝露光阻劑層15的操作(參見第1圖至第5圖中的操作S150、操作S270、操作S340、操作S440和操作S540)。第12A圖根據揭露的實施例說明光化輻射45經過光罩30使底層20上方的光阻劑層15曝露於光化輻射45中,以形成光阻劑層15的曝露部分50和非曝露部分。第12B圖根據揭露的實施例說明光化輻射45經過光罩30使具有頂層25的光阻劑層15曝露於光化輻射45中,以形成光阻劑層15的曝露部分50和非曝光部分52。第12C圖根據揭露的實施例說明光化輻射45經 過光罩30使光阻劑層15曝露於光化輻射45中,以形成光阻劑層15的曝露部分50和非曝露部分52。第12D圖根據揭露的實施例說明經過反射式光罩65反射的極紫外光輻射97使底層20上方的光阻劑層15曝露於極紫外光輻射97中,以形成光阻劑層15的曝露部分50和非曝露部分。第12E圖根據揭露的實施例說明經過反射式光罩65反射的極紫外光輻射97使具有頂層25的光阻劑層15曝露於極紫外光輻射97中,以形成光阻劑層15的曝露部分50和非曝露部分52。第12F圖根據揭露的實施例說明經過反射式光罩65反射的極紫外光輻射97使光阻劑層15曝露於極紫外光輻射97中,以形成光阻劑層15的曝露部分50和非曝露部分52。
在其他的一些實施例中,具有添加劑以抑制或防止自由基降解光阻劑的頂層25形成在選擇性地或圖案性狀地曝露的光阻劑層15上,如第13A圖和第13B圖所示(參見第4圖中的操作S460)。頂層25是由此處揭露的任何合適的組成物和任何合適的方法所形成。在一些實施例中,不含添加劑的頂層25'在選擇性地或圖案狀地曝露的光阻劑層上形成,如第14A圖和第14B圖所示(參見第3圖中的操作S350)。抑制或防止自由基降解光阻劑的添加劑19接著引入到頂層25'中,如第14C圖所示(參見第3圖中的操作S360),以形成頂層25,如第14D圖所示。不含添加劑的頂層25'是由此處揭露的任何合適的組成物和任何適當方法所形成。添加劑19藉由此處揭露的任何合 適的方法引入到頂層25'。
在一些實施例中,藉由自由基抑制或防止光阻劑降解的添加劑19在光阻劑層選擇性地或圖案狀地曝露後直接引入到光阻劑層15,如第15A圖和第15B圖所示。添加劑19由此處揭露的任何參考第8B圖的技術引入選擇性地或圖案狀地曝露的光阻劑層15。
第16A圖至第17C圖根據揭露的各種實施例說明顯影選擇性地或圖案狀地曝露的光阻劑層15的操作(參見第1圖至第5圖中的操作S170、操作S290、操作S380、操作S480和操作S560)。第16A圖說明使用從分注器62供應的液態的顯影劑57的組成物顯影位在底層20上方且選擇性或圖案狀曝露於光化輻射的光阻劑層。顯影劑組成物和顯影的操作可以是此處參見操作S170所揭露的任何合適的顯影劑和操作。第16B圖說明使用從分注器62供應的液態的顯影劑57的組成物顯影具有頂層25位在上方且選擇性或圖案狀曝露於光化輻射的光阻劑層15。顯影劑組成物和顯影的操作可以是此處參見操作S170所揭露的任何合適的顯影劑和操作。第16C圖說明使用從分注器62供應的含添加劑的液體的顯影劑58的組成物顯影選擇性地或圖案狀地曝露於光化輻射的光阻劑層,以抑制或防止自由基降低光阻劑。顯影劑58的組成物包括溶劑和添加劑。溶劑可以是此處參見操作S170所揭露的任何溶劑,而顯影的操作可以是此處參見操作S170所揭露的任何操作。添加劑可以是此處參見第20圖至第22B圖所揭露的 任何添加劑。
第17A圖說明位在底層20上方選擇性或圖案狀曝露的光阻劑層15的乾顯影。第17B圖說明具有頂層25的選擇性或圖案狀曝露的光阻劑層15的乾顯影。第17C圖說明選擇性或圖案狀曝露的光阻劑層15的乾顯影,其中添加劑直接引入到光阻劑層,並且不具底層或頂層。乾顯影劑組成物和乾顯影的操作可以是此處揭露的任何合適的乾顯影組成物或乾顯影的操作。
第26A圖至第26E圖根據揭露的一些實施例說明三層的光阻劑結構22的圖案化。在一些實施例中,三層的光阻劑結構22的底層20包括底部層20a和中間層20b並在基板10上形成。底部層20a和中間層20b可以由此處參見第6B圖所揭露的任何材料所製成。光阻劑層15接著在底層上形成,並且藉由參見此處第26A圖所揭露的光阻劑形成和曝露的操作選擇性地曝露光阻劑層15以形成曝露部分50和非曝露部分52。
如第26B圖所示,光阻劑層15接著藉由此處揭露的任何顯影的操作顯影,以形成具有開口圖案55而曝露出中間層20b的光阻劑層。在一些實施例中,光阻劑層15是正性光阻劑,如第26B圖所示。在其他實施例中,光阻劑層15是負性光阻劑。接著如第26C圖所示,光阻劑層15的開口圖案55藉由蝕刻操作轉移至中間層20b,以從中間層的凹陷圖案55'曝露出底部層20a。光阻劑層接著藉由適當的光阻劑剝離操作移除,而中間層20b的凹陷圖 案55'則藉由適當的蝕刻操作轉移到底部層20a,以從底部層20a的圖案55"曝露出基板10,如第26D圖所示。中間層的圖案55"接著藉由適當的蝕刻操作轉移到基板10以在基板形成圖案55''',而中間層20b和底部層20a藉由適當的蝕刻操作移除,如第26E圖所示。
在一些實施例中,光阻劑結構22包括底層20'和頂層25,如第26F圖所示。在一些實施例中,底層20'包括底部層20a和中間層20b。在一些實施例中,底層20'不含添加劑。在包括底層20'的一些實施例中,光阻劑結構包括含添加劑的頂層。
在其他的實施例中,底層20和頂層25都包含添加劑,當光阻劑結構加熱時,添加劑從底層20和頂層25擴散到光阻劑層15。
在一些實施例中,在形成光阻劑層15之前,欲圖案化的層或目標層60置於基板上,如第27圖所示。在一些實施例中,目標層是金屬層或置於金屬層上的介電層,例如鈍化層。在目標層60是金屬層的實施例中,目標層60是導電材料藉由使用金屬化製程和包括化學氣相沉積、原子層沉積和物理氣象沉積(濺射)的金屬沉積技術所形成。同樣地,如果目標層60是介電層,目標層60則藉由介電層形成技術形成,包括熱氧化、化學氣相沉積、原子層沉積和物理氣相沉積。
如第28圖所示,在一些實施例中,含有添加劑以抑制或防止自由基降解光阻劑的頂層25在光阻劑層上形 成。頂層25和形成頂層25的方法可以是此處揭露的任何合適的頂層組成物和形成方法。在一些實施例中,根據此處揭露的任何合適的底層形成方法,在形成光阻劑層之前,在目標層上形成含有添加劑的底層20。
第29A圖和第29B圖說明選擇性地將頂層25和光阻劑層15曝露於光化輻射45/極紫外光輻射97的實施例。此處揭露的曝露可以是任何參見操作S150、操作S270、操作S340、操作S440和操作S540的曝露操作。
如第30A圖和第30B圖所示。光阻劑層接著藉由此處揭露的任何顯影操作顯影,以形成具有開口圖案55的光阻劑層而曝露出目標層60,可以參見此處的操作S170、操作S290、操作S380、操作S480和操作S560以形成光阻劑的開口圖案而曝露出目標層60,如第31圖所示。第30A圖說明液態的顯影操作,第30B圖說明乾的顯影操作。如第31圖所示,在一些實施例中,光阻劑是負性光阻劑,其中光阻劑的曝露部分50在顯影後保留。在其他實施例中,光阻劑是正性光阻劑。
接著,如第32圖所示,光阻劑層15的開口圖案55藉由蝕刻操作轉移到目標層60,光阻劑層移除即在目標層形成凹陷圖案55'。
揭露的實施例包括光阻劑結構,光阻劑結構包括:含添加劑的底層;包含底部層和中間層的雙層的底層,其中中間層含有添加劑;含添加劑的頂層;含添加劑的底層和頂層;不含添加劑的底層和含添加劑的頂層;不含添加 劑的雙層的底層,而頂層含添加劑;以及沒有底層和頂層。
其他實施例包括於上述的操作之前、之間或之後的其他操作。在一些實施例中,揭露的方法包括形成鰭式場效電晶體(fin field effect transistor,FinFET)結構。在一些實施例中,多個活性鰭形成在半導體基板上。在這些實施例中,進一步包括通過圖案化的硬光罩的開口蝕刻基板,以形成基板的溝槽;用介電材料填充溝槽;進行化學機械拋光(chemical mechanical polishing,CMP)製程,以形成淺溝槽隔離(shallow trench isolation,STI)特徵;以及磊晶生長或凹陷STI特徵,以形成鰭狀的活性區域。在一些實施例中,一個或多個閘極電極在基板上形成。一些實施例包括形成閘極間隙物、摻雜源極/汲極區域、閘極/源極/汲極特徵的接觸等。在其他實施例中,目標圖案以多層互連結構中的金屬線形成。例如金屬線可以在基板的層間介電(inter-layer dielectric,ILD)層中形成,並藉由蝕刻以形成多個溝槽。溝槽可能填充導電材料,例如金屬;以及導電材料可以藉由化學機械平整化(chemical mechanical planarization,CMP)等製程進行拋光,以曝露圖案化的ILD層,從而形成ILD層中的金屬線。以上是使用本法可以製造和/或改進的裝置/結構的非限制性示例。
在一些實施例中,活性元件根據揭露的實施例形成,例如二極體、場效電晶體(field-effect transistors,FETs)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistors,MOSFET)、互補式金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極電晶體、高電壓電晶體、高頻率電晶體、FinFET、其他三維(three-dimensional,3D)場效電晶體、其他記憶體,以及其組合等。
根據揭露的實施例,以添加劑對光阻劑層進行新穎的處理以抑制或防止如氫自由基的自由基對光阻劑層進行降解。根據目前揭露的實施例提供半導體裝置在較高的晶圓曝光通量下具有高的特徵解析度和密度,比起傳統曝光技術以更高的製程效率減少缺陷。與非採用本揭露的光阻劑層以添加劑處理的方法的半導體製造方法相比,揭露的實施例在線寬粗糙度和臨界維度的均勻度提高了3%以上。此外本揭露的實施例使缺陷減少5%以上,並允許微影的曝露劑量減少3%以上。在一些實施例中,根據揭露的方法可形成間距小於40奈米的光阻劑圖案。在一些實施例中,根據此揭露所形成的光阻劑圖案的線寬粗糙度小於5.0奈米左右,而形成此種圖案的曝露劑量小於70mj左右。
揭露的實施例是一種製造半導體裝置的方法,包括:形成光阻劑結構,包括在基板上形成包括光阻劑組成物的光阻劑層;以及在形成光阻劑層之後,以添加劑處理光阻劑層,其中添加劑是選自由自由基抑制劑、熱自由基抑制劑和光自由基抑制劑所組成的群組中的一個或多個。在一些實施例中,光阻劑組成物包括金屬。在一些實施例中, 光阻劑組成物包括有機金屬化合物。在一些實施例中,形成光阻劑結構進一步包括在形成光阻劑層之前在基板上形成包括底層組成物的底層,其中底層阻成物包括添加劑,以及在以添加劑處理光阻劑層時,添加劑從底層擴散到光阻劑層。在一些實施例中,形成光阻劑結構進一步包括在形成光阻劑層之後在光阻劑層上形成包括頂層組成物的頂層,其中頂層組成物包括添加劑,以及在以添加劑處理光阻劑層時,添加劑從頂層擴散到光阻劑層。在一些實施例中,形成光阻劑結構包括形成三層光阻劑結構,包括:形成底部層在基板上;形成中間層在底部層上;以及形成上方層在中間層上,其中上方層是光阻劑層。在一些實施例中,中間層包括添加劑,以及在以添加劑處理光阻劑層時,添加劑從中間層擴散到光阻劑層。在一些實施例中,形成光阻劑結構進一步包括形成頂層在上方層上,頂層包括添加劑,以及在以添加劑處理光阻劑層時,添加劑從頂層擴散到光阻劑層。在一些實施例中,底部層包括有機聚合物,以及中間層包括含矽化合物。在一些實施例中,此方法進一步包括:選擇性地曝露光阻劑層於光化輻射;以及選擇性地曝露光阻劑層於光化輻射之後,應用顯影劑到光阻劑層。在一些實施例中,以添加劑處理光阻劑層包括應用顯影劑,以及顯影劑包括添加劑。
揭露的另一個實施例是一種製造半導體裝置的方法,包括:形成含金屬光阻劑層在基板上;形成第一層,在形成含金屬光阻劑層之前形成在基板上,或是在形成含 金屬光阻劑層之後形成在含金屬光阻劑層上;以及擴散添加劑從第一層到含金屬光阻劑層,其中添加劑是選自由自由基抑制劑、熱自由基抑制劑和光自由基抑制劑所組成的群組中的一個或多個。在一些實施例中,擴散添加劑從第一層到含金屬光阻劑層包括加熱第一層和含金屬光阻劑層。在一些實施例中,添加劑添加到第一層藉由選自由在形成第一層之前混合添加劑到第一層組成物中、以包括添加劑的溶劑混合物處理第一層、以添加劑摻雜第一層、從包括添加劑的蒸氣擴散添加劑到第一層,或是以添加劑共聚第一層所組成的群組中的一個或多個。
揭露的另一個實施例是製造半導體裝置的方法,包括:形成光阻劑層在基板上,其中光阻劑層包括金屬光阻劑組成物;形成頂層在光阻劑層上,其中頂層包括聚合物和自由基抑制化合物;圖案狀曝露光阻劑層於光化輻射;以及顯影圖案狀曝露的光阻劑層,以在光阻劑層形成圖案。在一些實施例中,此方法進一步包括在形成光阻劑層之前形成底層在基板上。在一些實施例中,頂層藉由塗層包括溶劑和自由基抑制化合物的頂層組成物形成在光阻劑層上。在一些實施例中,頂層藉由氣相沉積形成在光阻劑層上。在一些實施例中,此方法進一步包括加熱頂層以從頂層擴散自由基抑制化合物到光阻劑層。在一些實施例中,自由基抑制化合物是選自由自由基抑制劑、熱自由基抑制劑和光自由基抑制劑所組成的群組中的一個或多個。
上述概述了幾個實施例或示例的特徵,使本領域的 通常知識者可以更好地瞭解當前揭露的各個方面。本領域的通常知識者應認識到,他們可以隨時利用本揭露作為設計或修改其他流程和結構的基礎,以實現相同的目的和/或實現此處介紹的實施例或示例的相同優勢。本領域的通常知識者也應該認識到,這種等價結構不會偏離本揭露的精神和範圍,在不偏離本揭露的精神和範圍的情況下他們可以在此做出各種改變、替代和修改。
100:方法
S110:操作
S120:操作
S130:操作
S140:操作
S150:操作
S160:操作
S170:操作

Claims (10)

  1. 一種製造半導體裝置的方法,包括:形成一光阻劑結構,包括在一基板上形成包括一光阻劑組成物的一光阻劑層;以及在形成該光阻劑層之後,使一添加劑擴散到該光阻劑層中,其中該添加劑是選自由一自由基抑制劑、一熱自由基抑制劑和一光自由基抑制劑所組成的群組中的一個或多個。
  2. 如請求項1所述之方法,其中形成該光阻劑結構進一步包括在形成該光阻劑層之前在該基板上形成包括一底層組成物的一底層,其中該底層阻成物包括該添加劑,以及使該添加劑擴散到該光阻劑層中包括使該添加劑從該底層擴散到該光阻劑層中。
  3. 如請求項1所述之方法,其中形成該光阻劑結構進一步包括在形成該光阻劑層之後在該光阻劑層上形成包括一頂層組成物的一頂層,其中該頂層組成物包括該添加劑,以及使該添加劑擴散到該光阻劑層中包括使該添加劑從該頂層擴散到該光阻劑層中。
  4. 如請求項1所述之方法,其中形成該光阻劑結構包括形成一三層光阻劑結構,包括:形成一底部層在該基板上; 形成一中間層在該底部層上;以及形成一上方層在該中間層上,其中該上方層是該光阻劑層。
  5. 如請求項4所述之方法,其中該中間層包括該添加劑,以及使該添加劑擴散到該光阻劑層中包括使該添加劑從該中間層擴散到該光阻劑層中。
  6. 如請求項1所述之方法,進一步包括:選擇性地曝露該光阻劑層於光化輻射;以及選擇性地曝露該光阻劑層於光化輻射之後,應用一顯影劑到該光阻劑層。
  7. 如請求項6所述之方法,其中使該添加劑擴散到該光阻劑層中包括應用該顯影劑,以及該顯影劑包括該添加劑。
  8. 一種製造半導體裝置的方法,包括:形成一含金屬光阻劑層在一基板上;形成一第一層,在形成該含金屬光阻劑層之前形成在該基板上,或是在形成該含金屬光阻劑層之後形成在該含金屬光阻劑層上;以及擴散一添加劑從該第一層到該含金屬光阻劑層,其中該添加劑是選自由一自由基抑制劑、一熱自由基抑制劑和一 光自由基抑制劑所組成的群組中的一個或多個。
  9. 如請求項8所述之方法,其中該添加劑添加到該第一層藉由選自由在形成該第一層之前混合該添加劑到一第一層組成物中、以包括該添加劑的一溶劑混合物處理該第一層、以該添加劑摻雜該第一層、從包括該添加劑的一蒸氣擴散該添加劑到該第一層,或是以該添加劑共聚該第一層所組成的群組中的一個或多個。
  10. 一種製造半導體裝置的方法,包括:形成一光阻劑層在一基板上,其中該光阻劑層包括一金屬光阻劑組成物;形成一頂層在該光阻劑層上,其中該頂層包括一聚合物和一自由基抑制化合物;圖案狀曝露該光阻劑層於光化輻射;以及顯影圖案狀曝露的該光阻劑層,以在該光阻劑層形成一圖案。
TW111100563A 2021-03-10 2022-01-06 製造半導體裝置的方法 TWI831108B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163159333P 2021-03-10 2021-03-10
US63/159,333 2021-03-10
US17/482,112 US20220291587A1 (en) 2021-03-10 2021-09-22 Method of manufacturing a semiconductor device
US17/482,112 2021-09-22

Publications (2)

Publication Number Publication Date
TW202301028A TW202301028A (zh) 2023-01-01
TWI831108B true TWI831108B (zh) 2024-02-01

Family

ID=82236117

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111100563A TWI831108B (zh) 2021-03-10 2022-01-06 製造半導體裝置的方法

Country Status (3)

Country Link
US (1) US20220291587A1 (zh)
CN (1) CN114721218A (zh)
TW (1) TWI831108B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202018424A (zh) * 2018-06-14 2020-05-16 國立大學法人大阪大學 光阻圖案形成方法
TW202024221A (zh) * 2018-10-31 2020-07-01 台灣積體電路製造股份有限公司 製造半導體裝置的方法、形成光阻圖案的方法、以及晶圓保護性組成物
TW202038011A (zh) * 2018-11-30 2020-10-16 台灣積體電路製造股份有限公司 製造半導體裝置的方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6664025B2 (en) * 2002-02-12 2003-12-16 Kodak Polychrome Graphics Llc Visible radiation sensitive composition
WO2012053600A1 (ja) * 2010-10-22 2012-04-26 日産化学工業株式会社 フッ素系添加剤を有するシリコン含有レジスト下層膜形成組成物
JP7011386B2 (ja) * 2016-11-16 2022-01-26 キヤノン株式会社 密着層形成組成物および物品製造方法
US10073347B1 (en) * 2017-08-24 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method of protecting wafer from bevel contamination
KR20200144580A (ko) * 2018-05-11 2020-12-29 램 리써치 코포레이션 Euv 패터닝 가능한 하드 마스크들을 제조하기 위한 방법들
US11215924B2 (en) * 2018-08-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist, developer, and method of forming photoresist pattern

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202018424A (zh) * 2018-06-14 2020-05-16 國立大學法人大阪大學 光阻圖案形成方法
TW202024221A (zh) * 2018-10-31 2020-07-01 台灣積體電路製造股份有限公司 製造半導體裝置的方法、形成光阻圖案的方法、以及晶圓保護性組成物
TW202038011A (zh) * 2018-11-30 2020-10-16 台灣積體電路製造股份有限公司 製造半導體裝置的方法

Also Published As

Publication number Publication date
TW202301028A (zh) 2023-01-01
US20220291587A1 (en) 2022-09-15
CN114721218A (zh) 2022-07-08

Similar Documents

Publication Publication Date Title
TWI798185B (zh) 微影圖案化的方法
KR102647995B1 (ko) 반도체 장치 제조 방법 및 패턴 형성 방법
KR102405489B1 (ko) 반도체 디바이스 제조 방법
KR20210122679A (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
US20230386840A1 (en) Method of manufacturing semiconductor devices and pattern formation method
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
TWI831108B (zh) 製造半導體裝置的方法
KR102630481B1 (ko) 반도체 디바이스 제조 방법
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI796661B (zh) 半導體裝置之製造方法
TW202205374A (zh) 在光阻層中形成圖案的方法及半導體裝置的製造方法
TWI804806B (zh) 製造半導體元件的方法
TWI780715B (zh) 製造半導體裝置的方法及顯影劑組成物
US11942322B2 (en) Method of manufacturing semiconductor devices and pattern formation method
US20220351964A1 (en) Method of manufacturing a semiconductor device
US20230418156A1 (en) Method of manufacturing a semiconductor device and semiconductor device manufacturing tool
US20230004087A1 (en) Method of manufacturing a semiconductor device
TW202416053A (zh) 半導體裝置的製造方法及半導體裝置製造工具
CN116643459A (zh) 制造半导体器件的方法
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具